From bc1786044f667c1e76a441fccdd76fa073a8ced0 Mon Sep 17 00:00:00 2001 From: rbscloud Date: Tue, 14 Aug 2012 18:01:16 +0200 Subject: [PATCH] The way of changing the network size has been updated, now it can be done only by modifying the generic_noc_types.vhd. --- Makefile | 1 + noc/Makefile | 16 + noc/quartus/tile_test_alt/tile_test_alt.qsf | 22 +- noc/src/STgen.cpp | 2 +- noc/src/STprint.cpp | 33 +- .../bt10x10/{ni_ST.vhd => ni_ST_100.vhd} | 97049 ++++++++-------- noc/vhdl/generated/bt10x10/router_ST.vhd | 973 - noc/vhdl/generated/bt10x10/router_ST_100.vhd | 975 + .../bt2x2/{ni_ST.vhd => ni_ST_4.vhd} | 281 +- noc/vhdl/generated/bt2x2/router_ST.vhd | 61 - noc/vhdl/generated/bt2x2/router_ST_4.vhd | 63 + .../bt3x3/{ni_ST.vhd => ni_ST_9.vhd} | 841 +- noc/vhdl/generated/bt3x3/router_ST.vhd | 91 - noc/vhdl/generated/bt3x3/router_ST_9.vhd | 93 + .../bt4x4/{ni_ST.vhd => ni_ST_16.vhd} | 9 +- .../bt4x4/{router_ST.vhd => router_ST_16.vhd} | 12 +- .../bt5x5/{ni_ST.vhd => ni_ST_25.vhd} | 4799 +- noc/vhdl/generated/bt5x5/router_ST.vhd | 193 - noc/vhdl/generated/bt5x5/router_ST_25.vhd | 195 + .../bt6x6/{ni_ST.vhd => ni_ST_36.vhd} | 10129 +- noc/vhdl/generated/bt6x6/router_ST.vhd | 283 - noc/vhdl/generated/bt6x6/router_ST_36.vhd | 285 + .../bt7x7/{ni_ST.vhd => ni_ST_49.vhd} | 18473 ++- noc/vhdl/generated/bt7x7/router_ST.vhd | 379 - noc/vhdl/generated/bt7x7/router_ST_49.vhd | 381 + .../bt8x8/{ni_ST.vhd => ni_ST_64.vhd} | 35249 +++--- noc/vhdl/generated/bt8x8/router_ST.vhd | 553 - noc/vhdl/generated/bt8x8/router_ST_64.vhd | 555 + .../bt9x9/{ni_ST.vhd => ni_ST_81.vhd} | 57235 +++++---- noc/vhdl/generated/bt9x9/router_ST.vhd | 709 - noc/vhdl/generated/bt9x9/router_ST_81.vhd | 711 + noc/vhdl/ni_ST.vhd | 938 +- noc/vhdl/router_ST.vhd | 226 +- 33 files changed, 115439 insertions(+), 116376 deletions(-) rename noc/vhdl/generated/bt10x10/{ni_ST.vhd => ni_ST_100.vhd} (94%) delete mode 100644 noc/vhdl/generated/bt10x10/router_ST.vhd create mode 100644 noc/vhdl/generated/bt10x10/router_ST_100.vhd rename noc/vhdl/generated/bt2x2/{ni_ST.vhd => ni_ST_4.vhd} (86%) delete mode 100644 noc/vhdl/generated/bt2x2/router_ST.vhd create mode 100644 noc/vhdl/generated/bt2x2/router_ST_4.vhd rename noc/vhdl/generated/bt3x3/{ni_ST.vhd => ni_ST_9.vhd} (91%) delete mode 100644 noc/vhdl/generated/bt3x3/router_ST.vhd create mode 100644 noc/vhdl/generated/bt3x3/router_ST_9.vhd rename noc/vhdl/generated/bt4x4/{ni_ST.vhd => ni_ST_16.vhd} (99%) rename noc/vhdl/generated/bt4x4/{router_ST.vhd => router_ST_16.vhd} (94%) rename noc/vhdl/generated/bt5x5/{ni_ST.vhd => ni_ST_25.vhd} (93%) delete mode 100644 noc/vhdl/generated/bt5x5/router_ST.vhd create mode 100644 noc/vhdl/generated/bt5x5/router_ST_25.vhd rename noc/vhdl/generated/bt6x6/{ni_ST.vhd => ni_ST_36.vhd} (94%) delete mode 100644 noc/vhdl/generated/bt6x6/router_ST.vhd create mode 100644 noc/vhdl/generated/bt6x6/router_ST_36.vhd rename noc/vhdl/generated/bt7x7/{ni_ST.vhd => ni_ST_49.vhd} (94%) delete mode 100644 noc/vhdl/generated/bt7x7/router_ST.vhd create mode 100644 noc/vhdl/generated/bt7x7/router_ST_49.vhd rename noc/vhdl/generated/bt8x8/{ni_ST.vhd => ni_ST_64.vhd} (94%) delete mode 100644 noc/vhdl/generated/bt8x8/router_ST.vhd create mode 100644 noc/vhdl/generated/bt8x8/router_ST_64.vhd rename noc/vhdl/generated/bt9x9/{ni_ST.vhd => ni_ST_81.vhd} (94%) delete mode 100644 noc/vhdl/generated/bt9x9/router_ST.vhd create mode 100644 noc/vhdl/generated/bt9x9/router_ST_81.vhd diff --git a/Makefile b/Makefile index 22b4f5b..3088fcb 100644 --- a/Makefile +++ b/Makefile @@ -33,6 +33,7 @@ update_leros: tools: cd leros && make tools + cd noc && make tools compile: # cd leros/LerosMuviumSDK && makeTest.bat diff --git a/noc/Makefile b/noc/Makefile index 5b51315..61d42b0 100644 --- a/noc/Makefile +++ b/noc/Makefile @@ -27,6 +27,8 @@ base: leros $(WINE) vcom $(OPTIONS) $(S4NOCDIR)/serdes.vhd $(WINE) vcom $(OPTIONS) $(S4NOCDIR)/generated/bt$(N)x$(N)/router_ST.vhd $(WINE) vcom $(OPTIONS) $(S4NOCDIR)/generated/bt$(N)x$(N)/ni_ST.vhd + $(WINE) vsom $(OPTIONS) $(S4NOCDIR)/router_ST.vhd + $(WINE) vsom $(OPTIONS) $(S4NOCDIR)/ni_ST.vhd $(WINE) vcom $(OPTIONS) $(S4NOCDIR)/dp_ram.vhd $(WINE) vcom $(OPTIONS) $(S4NOCDIR)/ni_ram.vhd $(WINE) vcom $(OPTIONS) $(S4NOCDIR)/ni_ram_single.vhd @@ -48,6 +50,20 @@ leros: $(WINE) vcom $(OPTIONS) $(LEROSDIR)/core/leros_ex.vhd $(WINE) vcom $(OPTIONS) $(LEROSDIR)/core/leros.vhd +tools: + cd src && make + +schedule: + cd vhdl/generated/bt2x2 && ../../../src/STgen.exe 4 bt2x2.shd + cd vhdl/generated/bt3x3 && ../../../src/STgen.exe 9 bt3x3.shd + cd vhdl/generated/bt4x4 && ../../../src/STgen.exe 16 bt4x4.shd + cd vhdl/generated/bt5x5 && ../../../src/STgen.exe 25 bt5x5.shd + cd vhdl/generated/bt6x6 && ../../../src/STgen.exe 36 bt6x6.shd + cd vhdl/generated/bt7x7 && ../../../src/STgen.exe 49 bt7x7.shd + cd vhdl/generated/bt8x8 && ../../../src/STgen.exe 64 bt8x8.shd + cd vhdl/generated/bt9x9 && ../../../src/STgen.exe 81 bt9x9.shd + cd vhdl/generated/bt10x10 && ../../../src/STgen.exe 100 bt10x10.shd + sim: @echo Starting simulation... $(WINE) vcom $(OPTIONS) $(S4NOCDIR)/simulation/tb_noc.vhd diff --git a/noc/quartus/tile_test_alt/tile_test_alt.qsf b/noc/quartus/tile_test_alt/tile_test_alt.qsf index 5ad2e9e..d5ffd50 100644 --- a/noc/quartus/tile_test_alt/tile_test_alt.qsf +++ b/noc/quartus/tile_test_alt/tile_test_alt.qsf @@ -41,7 +41,7 @@ set_global_assignment -name DEVICE EP2C70F896C6 set_global_assignment -name TOP_LEVEL_ENTITY tile_test_alt set_global_assignment -name ORIGINAL_QUARTUS_VERSION "11.1 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:54:00 MARCH 23, 2012" -set_global_assignment -name LAST_QUARTUS_VERSION 11.1 +set_global_assignment -name LAST_QUARTUS_VERSION 12.0 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 @@ -63,10 +63,24 @@ set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008 set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES OFF +set_global_assignment -name VHDL_FILE ../../vhdl/ni_ST.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt8x8/router_ST_64.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt8x8/ni_ST_64.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt7x7/router_ST_49.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt7x7/ni_ST_49.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt6x6/router_ST_36.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt6x6/ni_ST_36.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt5x5/router_ST_25.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt5x5/ni_ST_25.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt3x3/router_ST_9.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt3x3/ni_ST_9.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt4x4/router_ST_16.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt4x4/ni_ST_16.vhd +set_global_assignment -name VHDL_FILE ../../vhdl/router_ST.vhd set_global_assignment -name VHDL_FILE ../../vhdl/ni_ram_single.vhd set_global_assignment -name VHDL_FILE ../../vhdl/generic_noc_types.vhd -set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt4x4/router_ST.vhd -set_global_assignment -name VHDL_FILE ../../vhdl/generated/bt4x4/ni_ST.vhd set_global_assignment -name VHDL_FILE ../../vhdl/tile_top.vhd set_global_assignment -name VHDL_FILE ../../../leros/vhdl/generated/leros_rom.vhd set_global_assignment -name VHDL_FILE ../../../leros/vhdl/io/uart.vhd @@ -86,6 +100,4 @@ set_global_assignment -name VHDL_FILE ../../vhdl/noc_N.vhd set_global_assignment -name VHDL_FILE ../../vhdl/ni_ram.vhd -hdl_version VHDL_2008 set_global_assignment -name VHDL_FILE ../../vhdl/dp_ram.vhd set_global_assignment -name SIGNALTAP_FILE stp1.stp -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF -set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES OFF set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/noc/src/STgen.cpp b/noc/src/STgen.cpp index 11ac34c..2b0c8b9 100644 --- a/noc/src/STgen.cpp +++ b/noc/src/STgen.cpp @@ -35,7 +35,7 @@ int main(int argc,char *argv[]){ string token; port inputPort = L; int startTime = 0; - STprint* printer = new STprint(); + STprint* printer = new STprint(numOfNodes); cout << "Shd file:\t" << inputPath << endl; diff --git a/noc/src/STprint.cpp b/noc/src/STprint.cpp index 7be2bb4..8285122 100644 --- a/noc/src/STprint.cpp +++ b/noc/src/STprint.cpp @@ -37,6 +37,10 @@ class STprint{ ofstream niST; ofstream routerST; + char niST_name[20]; + char routerST_name[20]; + const int numOfNodes; + string bin(int val, int bits) { int max = (int)pow(2.0,bits-1); string s = ""; @@ -54,9 +58,11 @@ class STprint{ public: - STprint(){ - niST.open("ni_ST.vhd", ios::trunc); - routerST.open("router_ST.vhd", ios::trunc); + STprint(int _numOfNodes): numOfNodes(_numOfNodes){ + sprintf(niST_name,"ni_ST_%i.vhd",numOfNodes); + sprintf(routerST_name,"router_ST_%i.vhd",numOfNodes); + niST.open(niST_name, ios::trunc); + routerST.open(routerST_name, ios::trunc); } ~STprint(){ @@ -78,24 +84,26 @@ class STprint{ void writeHeaderRouter(int countWidth){ routerST << "-------------------------------------------------------------\n"; - routerST << "-- router_ST.vhd\n"; + routerST << "-- " << routerST_name << "\n"; routerST << "-- This is an auto generated file, do not edit by hand.\n"; routerST << "-------------------------------------------------------------\n"; routerST << "library ieee;\n"; routerST << "use ieee.std_logic_1164.all;\n"; routerST << "use ieee.numeric_std.all;\n\n"; - routerST << "use work.leros_types.all;\n"; routerST << "use work.noc_types.all;\n\n"; - routerST << "entity router_ST is\n"; + routerST << "entity router_ST_" << numOfNodes << " is\n"; + routerST << "\tgeneric (\n"; + routerST << "\t\tNI_NUM\t: natural\n"; + routerST << "\t\t);\n"; routerST << "\tport (\n"; routerST << "\t\tcount\t: in unsigned(" << countWidth-1 << " downto 0);\n"; routerST << "\t\tsels\t: out select_signals\n"; routerST << "\t\t);\n"; - routerST << "end router_ST;\n\n"; - routerST << "architecture data of router_ST is\n"; + routerST << "end router_ST_" << numOfNodes << ";\n\n"; + routerST << "architecture data of router_ST_" << numOfNodes << " is\n"; routerST << "begin -- data\n\n"; routerST << "process(count) begin\n\n"; routerST << "\tcase count is\n\n"; @@ -120,17 +128,16 @@ class STprint{ void writeHeaderNI(int countWidth, int numOfNodes){ niST << "-------------------------------------------------------------\n"; - niST << "-- ni_ST.vhd\n"; + niST << "-- " << niST_name << "\n"; niST << "-- This is an auto generated file, do not edit by hand.\n"; niST << "-------------------------------------------------------------\n"; niST << "library ieee;\n"; niST << "use ieee.std_logic_1164.all;\n"; niST << "use ieee.numeric_std.all;\n\n"; - niST << "use work.leros_types.all;\n"; niST << "use work.noc_types.all;\n\n"; - niST << "entity ni_ST is\n"; + niST << "entity ni_ST_" << numOfNodes << " is\n"; niST << "\tgeneric (\n"; niST << "\t\tNI_NUM\t: natural);\n"; niST << "\tport (\n"; @@ -139,8 +146,8 @@ class STprint{ niST << "\t\tsrc\t: out integer range 0 to " << numOfNodes-1 << "\n"; niST << "\t\t);\n"; - niST << "end ni_ST;\n\n"; - niST << "architecture data of ni_ST is\n"; + niST << "end ni_ST_" << numOfNodes << ";\n\n"; + niST << "architecture data of ni_ST_" << numOfNodes << " is\n"; niST << "begin -- data\n\n"; } diff --git a/noc/vhdl/generated/bt10x10/ni_ST.vhd b/noc/vhdl/generated/bt10x10/ni_ST_100.vhd similarity index 94% rename from noc/vhdl/generated/bt10x10/ni_ST.vhd rename to noc/vhdl/generated/bt10x10/ni_ST_100.vhd index bc77b2b..d552e28 100644 --- a/noc/vhdl/generated/bt10x10/ni_ST.vhd +++ b/noc/vhdl/generated/bt10x10/ni_ST_100.vhd @@ -1,48525 +1,48524 @@ -------------------------------------------------------------- --- ni_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity ni_ST is - generic ( - NI_NUM : natural); - port ( - count : in unsigned(7 downto 0); - dest : out integer range 0 to 100; - src : out integer range 0 to 100 - ); -end ni_ST; - -architecture data of ni_ST is -begin -- data - - NI_NUM0 : if NI_NUM = 0 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 16; - src <= 0; - when "00000001" => - dest <= 20; - src <= 0; - when "00000010" => - dest <= 0; - src <= 0; - when "00000011" => - dest <= 90; - src <= 0; - when "00000100" => - dest <= 65; - src <= 0; - when "00000101" => - dest <= 56; - src <= 80; - when "00000110" => - dest <= 0; - src <= 10; - when "00000111" => - dest <= 46; - src <= 94; - when "00001000" => - dest <= 98; - src <= 0; - when "00001001" => - dest <= 0; - src <= 66; - when "00001010" => - dest <= 0; - src <= 55; - when "00001011" => - dest <= 10; - src <= 0; - when "00001100" => - dest <= 36; - src <= 0; - when "00001101" => - dest <= 45; - src <= 12; - when "00001110" => - dest <= 54; - src <= 90; - when "00001111" => - dest <= 30; - src <= 45; - when "00010000" => - dest <= 0; - src <= 54; - when "00010001" => - dest <= 0; - src <= 64; - when "00010010" => - dest <= 0; - src <= 0; - when "00010011" => - dest <= 96; - src <= 0; - when "00010100" => - dest <= 75; - src <= 70; - when "00010101" => - dest <= 0; - src <= 74; - when "00010110" => - dest <= 40; - src <= 0; - when "00010111" => - dest <= 99; - src <= 0; - when "00011000" => - dest <= 64; - src <= 65; - when "00011001" => - dest <= 57; - src <= 56; - when "00011010" => - dest <= 0; - src <= 14; - when "00011011" => - dest <= 47; - src <= 11; - when "00011100" => - dest <= 7; - src <= 60; - when "00011101" => - dest <= 0; - src <= 0; - when "00011110" => - dest <= 0; - src <= 35; - when "00011111" => - dest <= 37; - src <= 0; - when "00100000" => - dest <= 12; - src <= 0; - when "00100001" => - dest <= 66; - src <= 3; - when "00100010" => - dest <= 53; - src <= 46; - when "00100011" => - dest <= 35; - src <= 53; - when "00100100" => - dest <= 0; - src <= 63; - when "00100101" => - dest <= 11; - src <= 98; - when "00100110" => - dest <= 0; - src <= 0; - when "00100111" => - dest <= 26; - src <= 73; - when "00101000" => - dest <= 0; - src <= 0; - when "00101001" => - dest <= 0; - src <= 99; - when "00101010" => - dest <= 85; - src <= 0; - when "00101011" => - dest <= 41; - src <= 44; - when "00101100" => - dest <= 86; - src <= 57; - when "00101101" => - dest <= 9; - src <= 75; - when "00101110" => - dest <= 74; - src <= 0; - when "00101111" => - dest <= 0; - src <= 84; - when "00110000" => - dest <= 0; - src <= 1; - when "00110001" => - dest <= 63; - src <= 0; - when "00110010" => - dest <= 67; - src <= 69; - when "00110011" => - dest <= 0; - src <= 25; - when "00110100" => - dest <= 48; - src <= 24; - when "00110101" => - dest <= 8; - src <= 0; - when "00110110" => - dest <= 0; - src <= 0; - when "00110111" => - dest <= 0; - src <= 36; - when "00111000" => - dest <= 43; - src <= 0; - when "00111001" => - dest <= 76; - src <= 2; - when "00111010" => - dest <= 19; - src <= 47; - when "00111011" => - dest <= 52; - src <= 43; - when "00111100" => - dest <= 0; - src <= 62; - when "00111101" => - dest <= 34; - src <= 0; - when "00111110" => - dest <= 0; - src <= 91; - when "00111111" => - dest <= 25; - src <= 0; - when "01000000" => - dest <= 0; - src <= 0; - when "01000001" => - dest <= 6; - src <= 67; - when "01000010" => - dest <= 58; - src <= 34; - when "01000011" => - dest <= 0; - src <= 0; - when "01000100" => - dest <= 18; - src <= 58; - when "01000101" => - dest <= 0; - src <= 0; - when "01000110" => - dest <= 42; - src <= 76; - when "01000111" => - dest <= 95; - src <= 4; - when "01001000" => - dest <= 77; - src <= 85; - when "01001001" => - dest <= 0; - src <= 92; - when "01001010" => - dest <= 0; - src <= 0; - when "01001011" => - dest <= 0; - src <= 52; - when "01001100" => - dest <= 84; - src <= 0; - when "01001101" => - dest <= 51; - src <= 0; - when "01001110" => - dest <= 0; - src <= 68; - when "01001111" => - dest <= 27; - src <= 15; - when "01010000" => - dest <= 0; - src <= 33; - when "01010001" => - dest <= 38; - src <= 0; - when "01010010" => - dest <= 33; - src <= 0; - when "01010011" => - dest <= 73; - src <= 0; - when "01010100" => - dest <= 0; - src <= 26; - when "01010101" => - dest <= 0; - src <= 59; - when "01010110" => - dest <= 62; - src <= 83; - when "01010111" => - dest <= 0; - src <= 0; - when "01011000" => - dest <= 49; - src <= 72; - when "01011001" => - dest <= 1; - src <= 0; - when "01011010" => - dest <= 59; - src <= 77; - when "01011011" => - dest <= 0; - src <= 37; - when "01011100" => - dest <= 24; - src <= 9; - when "01011101" => - dest <= 39; - src <= 0; - when "01011110" => - dest <= 0; - src <= 48; - when "01011111" => - dest <= 68; - src <= 61; - when "01100000" => - dest <= 15; - src <= 0; - when "01100001" => - dest <= 0; - src <= 0; - when "01100010" => - dest <= 0; - src <= 51; - when "01100011" => - dest <= 28; - src <= 71; - when "01100100" => - dest <= 94; - src <= 86; - when "01100101" => - dest <= 87; - src <= 0; - when "01100110" => - dest <= 0; - src <= 0; - when "01100111" => - dest <= 83; - src <= 42; - when "01101000" => - dest <= 29; - src <= 95; - when "01101001" => - dest <= 72; - src <= 82; - when "01101010" => - dest <= 0; - src <= 0; - when "01101011" => - dest <= 17; - src <= 16; - when "01101100" => - dest <= 61; - src <= 23; - when "01101101" => - dest <= 0; - src <= 81; - when "01101110" => - dest <= 32; - src <= 27; - when "01101111" => - dest <= 0; - src <= 0; - when "01110000" => - dest <= 69; - src <= 38; - when "01110001" => - dest <= 23; - src <= 93; - when "01110010" => - dest <= 0; - src <= 0; - when "01110011" => - dest <= 0; - src <= 49; - when "01110100" => - dest <= 78; - src <= 0; - when "01110101" => - dest <= 14; - src <= 78; - when "01110110" => - dest <= 0; - src <= 0; - when "01110111" => - dest <= 50; - src <= 41; - when "01111000" => - dest <= 0; - src <= 87; - when "01111001" => - dest <= 5; - src <= 0; - when "01111010" => - dest <= 0; - src <= 0; - when "01111011" => - dest <= 0; - src <= 32; - when "01111100" => - dest <= 97; - src <= 96; - when "01111101" => - dest <= 93; - src <= 0; - when "01111110" => - dest <= 0; - src <= 50; - when "01111111" => - dest <= 82; - src <= 0; - when "10000000" => - dest <= 0; - src <= 5; - when "10000001" => - dest <= 71; - src <= 0; - when "10000010" => - dest <= 0; - src <= 13; - when "10000011" => - dest <= 31; - src <= 17; - when "10000100" => - dest <= 79; - src <= 0; - when "10000101" => - dest <= 22; - src <= 28; - when "10000110" => - dest <= 0; - src <= 0; - when "10000111" => - dest <= 88; - src <= 39; - when "10001000" => - dest <= 13; - src <= 0; - when "10001001" => - dest <= 60; - src <= 79; - when "10001010" => - dest <= 0; - src <= 31; - when "10001011" => - dest <= 4; - src <= 88; - when "10001100" => - dest <= 0; - src <= 0; - when "10001101" => - dest <= 0; - src <= 22; - when "10001110" => - dest <= 92; - src <= 97; - when "10001111" => - dest <= 81; - src <= 40; - when "10010000" => - dest <= 0; - src <= 0; - when "10010001" => - dest <= 89; - src <= 6; - when "10010010" => - dest <= 21; - src <= 0; - when "10010011" => - dest <= 70; - src <= 18; - when "10010100" => - dest <= 3; - src <= 29; - when "10010101" => - dest <= 0; - src <= 0; - when "10010110" => - dest <= 91; - src <= 21; - when "10010111" => - dest <= 80; - src <= 89; - when "10011000" => - dest <= 2; - src <= 30; - when "10011001" => - dest <= 0; - src <= 7; - when "10011010" => - dest <= 0; - src <= 19; - when "10011011" => - dest <= 55; - src <= 20; - when "10011100" => - dest <= 44; - src <= 8; - when others => - dest <= 0; - src <= 0; - - end case; - end process; - - end generate NI_NUM0; - - NI_NUM1 : if NI_NUM = 1 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 17; - src <= 1; - when "00000001" => - dest <= 21; - src <= 1; - when "00000010" => - dest <= 1; - src <= 1; - when "00000011" => - dest <= 91; - src <= 1; - when "00000100" => - dest <= 66; - src <= 1; - when "00000101" => - dest <= 57; - src <= 81; - when "00000110" => - dest <= 1; - src <= 11; - when "00000111" => - dest <= 47; - src <= 95; - when "00001000" => - dest <= 99; - src <= 1; - when "00001001" => - dest <= 1; - src <= 67; - when "00001010" => - dest <= 1; - src <= 56; - when "00001011" => - dest <= 11; - src <= 1; - when "00001100" => - dest <= 37; - src <= 1; - when "00001101" => - dest <= 46; - src <= 13; - when "00001110" => - dest <= 55; - src <= 91; - when "00001111" => - dest <= 31; - src <= 46; - when "00010000" => - dest <= 1; - src <= 55; - when "00010001" => - dest <= 1; - src <= 65; - when "00010010" => - dest <= 1; - src <= 1; - when "00010011" => - dest <= 97; - src <= 1; - when "00010100" => - dest <= 76; - src <= 71; - when "00010101" => - dest <= 1; - src <= 75; - when "00010110" => - dest <= 41; - src <= 1; - when "00010111" => - dest <= 90; - src <= 1; - when "00011000" => - dest <= 65; - src <= 66; - when "00011001" => - dest <= 58; - src <= 57; - when "00011010" => - dest <= 1; - src <= 15; - when "00011011" => - dest <= 48; - src <= 12; - when "00011100" => - dest <= 8; - src <= 61; - when "00011101" => - dest <= 1; - src <= 1; - when "00011110" => - dest <= 1; - src <= 36; - when "00011111" => - dest <= 38; - src <= 1; - when "00100000" => - dest <= 13; - src <= 1; - when "00100001" => - dest <= 67; - src <= 4; - when "00100010" => - dest <= 54; - src <= 47; - when "00100011" => - dest <= 36; - src <= 54; - when "00100100" => - dest <= 1; - src <= 64; - when "00100101" => - dest <= 12; - src <= 99; - when "00100110" => - dest <= 1; - src <= 1; - when "00100111" => - dest <= 27; - src <= 74; - when "00101000" => - dest <= 1; - src <= 1; - when "00101001" => - dest <= 1; - src <= 90; - when "00101010" => - dest <= 86; - src <= 1; - when "00101011" => - dest <= 42; - src <= 45; - when "00101100" => - dest <= 87; - src <= 58; - when "00101101" => - dest <= 0; - src <= 76; - when "00101110" => - dest <= 75; - src <= 1; - when "00101111" => - dest <= 1; - src <= 85; - when "00110000" => - dest <= 1; - src <= 2; - when "00110001" => - dest <= 64; - src <= 1; - when "00110010" => - dest <= 68; - src <= 60; - when "00110011" => - dest <= 1; - src <= 26; - when "00110100" => - dest <= 49; - src <= 25; - when "00110101" => - dest <= 9; - src <= 1; - when "00110110" => - dest <= 1; - src <= 1; - when "00110111" => - dest <= 1; - src <= 37; - when "00111000" => - dest <= 44; - src <= 1; - when "00111001" => - dest <= 77; - src <= 3; - when "00111010" => - dest <= 10; - src <= 48; - when "00111011" => - dest <= 53; - src <= 44; - when "00111100" => - dest <= 1; - src <= 63; - when "00111101" => - dest <= 35; - src <= 1; - when "00111110" => - dest <= 1; - src <= 92; - when "00111111" => - dest <= 26; - src <= 1; - when "01000000" => - dest <= 1; - src <= 1; - when "01000001" => - dest <= 7; - src <= 68; - when "01000010" => - dest <= 59; - src <= 35; - when "01000011" => - dest <= 1; - src <= 1; - when "01000100" => - dest <= 19; - src <= 59; - when "01000101" => - dest <= 1; - src <= 1; - when "01000110" => - dest <= 43; - src <= 77; - when "01000111" => - dest <= 96; - src <= 5; - when "01001000" => - dest <= 78; - src <= 86; - when "01001001" => - dest <= 1; - src <= 93; - when "01001010" => - dest <= 1; - src <= 1; - when "01001011" => - dest <= 1; - src <= 53; - when "01001100" => - dest <= 85; - src <= 1; - when "01001101" => - dest <= 52; - src <= 1; - when "01001110" => - dest <= 1; - src <= 69; - when "01001111" => - dest <= 28; - src <= 16; - when "01010000" => - dest <= 1; - src <= 34; - when "01010001" => - dest <= 39; - src <= 1; - when "01010010" => - dest <= 34; - src <= 1; - when "01010011" => - dest <= 74; - src <= 1; - when "01010100" => - dest <= 1; - src <= 27; - when "01010101" => - dest <= 1; - src <= 50; - when "01010110" => - dest <= 63; - src <= 84; - when "01010111" => - dest <= 1; - src <= 1; - when "01011000" => - dest <= 40; - src <= 73; - when "01011001" => - dest <= 2; - src <= 1; - when "01011010" => - dest <= 50; - src <= 78; - when "01011011" => - dest <= 1; - src <= 38; - when "01011100" => - dest <= 25; - src <= 0; - when "01011101" => - dest <= 30; - src <= 1; - when "01011110" => - dest <= 1; - src <= 49; - when "01011111" => - dest <= 69; - src <= 62; - when "01100000" => - dest <= 16; - src <= 1; - when "01100001" => - dest <= 1; - src <= 1; - when "01100010" => - dest <= 1; - src <= 52; - when "01100011" => - dest <= 29; - src <= 72; - when "01100100" => - dest <= 95; - src <= 87; - when "01100101" => - dest <= 88; - src <= 1; - when "01100110" => - dest <= 1; - src <= 1; - when "01100111" => - dest <= 84; - src <= 43; - when "01101000" => - dest <= 20; - src <= 96; - when "01101001" => - dest <= 73; - src <= 83; - when "01101010" => - dest <= 1; - src <= 1; - when "01101011" => - dest <= 18; - src <= 17; - when "01101100" => - dest <= 62; - src <= 24; - when "01101101" => - dest <= 1; - src <= 82; - when "01101110" => - dest <= 33; - src <= 28; - when "01101111" => - dest <= 1; - src <= 1; - when "01110000" => - dest <= 60; - src <= 39; - when "01110001" => - dest <= 24; - src <= 94; - when "01110010" => - dest <= 1; - src <= 1; - when "01110011" => - dest <= 1; - src <= 40; - when "01110100" => - dest <= 79; - src <= 1; - when "01110101" => - dest <= 15; - src <= 79; - when "01110110" => - dest <= 1; - src <= 1; - when "01110111" => - dest <= 51; - src <= 42; - when "01111000" => - dest <= 1; - src <= 88; - when "01111001" => - dest <= 6; - src <= 1; - when "01111010" => - dest <= 1; - src <= 1; - when "01111011" => - dest <= 1; - src <= 33; - when "01111100" => - dest <= 98; - src <= 97; - when "01111101" => - dest <= 94; - src <= 1; - when "01111110" => - dest <= 1; - src <= 51; - when "01111111" => - dest <= 83; - src <= 1; - when "10000000" => - dest <= 1; - src <= 6; - when "10000001" => - dest <= 72; - src <= 1; - when "10000010" => - dest <= 1; - src <= 14; - when "10000011" => - dest <= 32; - src <= 18; - when "10000100" => - dest <= 70; - src <= 1; - when "10000101" => - dest <= 23; - src <= 29; - when "10000110" => - dest <= 1; - src <= 1; - when "10000111" => - dest <= 89; - src <= 30; - when "10001000" => - dest <= 14; - src <= 1; - when "10001001" => - dest <= 61; - src <= 70; - when "10001010" => - dest <= 1; - src <= 32; - when "10001011" => - dest <= 5; - src <= 89; - when "10001100" => - dest <= 1; - src <= 1; - when "10001101" => - dest <= 1; - src <= 23; - when "10001110" => - dest <= 93; - src <= 98; - when "10001111" => - dest <= 82; - src <= 41; - when "10010000" => - dest <= 1; - src <= 1; - when "10010001" => - dest <= 80; - src <= 7; - when "10010010" => - dest <= 22; - src <= 1; - when "10010011" => - dest <= 71; - src <= 19; - when "10010100" => - dest <= 4; - src <= 20; - when "10010101" => - dest <= 1; - src <= 1; - when "10010110" => - dest <= 92; - src <= 22; - when "10010111" => - dest <= 81; - src <= 80; - when "10011000" => - dest <= 3; - src <= 31; - when "10011001" => - dest <= 1; - src <= 8; - when "10011010" => - dest <= 1; - src <= 10; - when "10011011" => - dest <= 56; - src <= 21; - when "10011100" => - dest <= 45; - src <= 9; - when others => - dest <= 1; - src <= 1; - - end case; - end process; - - end generate NI_NUM1; - - NI_NUM2 : if NI_NUM = 2 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 18; - src <= 2; - when "00000001" => - dest <= 22; - src <= 2; - when "00000010" => - dest <= 2; - src <= 2; - when "00000011" => - dest <= 92; - src <= 2; - when "00000100" => - dest <= 67; - src <= 2; - when "00000101" => - dest <= 58; - src <= 82; - when "00000110" => - dest <= 2; - src <= 12; - when "00000111" => - dest <= 48; - src <= 96; - when "00001000" => - dest <= 90; - src <= 2; - when "00001001" => - dest <= 2; - src <= 68; - when "00001010" => - dest <= 2; - src <= 57; - when "00001011" => - dest <= 12; - src <= 2; - when "00001100" => - dest <= 38; - src <= 2; - when "00001101" => - dest <= 47; - src <= 14; - when "00001110" => - dest <= 56; - src <= 92; - when "00001111" => - dest <= 32; - src <= 47; - when "00010000" => - dest <= 2; - src <= 56; - when "00010001" => - dest <= 2; - src <= 66; - when "00010010" => - dest <= 2; - src <= 2; - when "00010011" => - dest <= 98; - src <= 2; - when "00010100" => - dest <= 77; - src <= 72; - when "00010101" => - dest <= 2; - src <= 76; - when "00010110" => - dest <= 42; - src <= 2; - when "00010111" => - dest <= 91; - src <= 2; - when "00011000" => - dest <= 66; - src <= 67; - when "00011001" => - dest <= 59; - src <= 58; - when "00011010" => - dest <= 2; - src <= 16; - when "00011011" => - dest <= 49; - src <= 13; - when "00011100" => - dest <= 9; - src <= 62; - when "00011101" => - dest <= 2; - src <= 2; - when "00011110" => - dest <= 2; - src <= 37; - when "00011111" => - dest <= 39; - src <= 2; - when "00100000" => - dest <= 14; - src <= 2; - when "00100001" => - dest <= 68; - src <= 5; - when "00100010" => - dest <= 55; - src <= 48; - when "00100011" => - dest <= 37; - src <= 55; - when "00100100" => - dest <= 2; - src <= 65; - when "00100101" => - dest <= 13; - src <= 90; - when "00100110" => - dest <= 2; - src <= 2; - when "00100111" => - dest <= 28; - src <= 75; - when "00101000" => - dest <= 2; - src <= 2; - when "00101001" => - dest <= 2; - src <= 91; - when "00101010" => - dest <= 87; - src <= 2; - when "00101011" => - dest <= 43; - src <= 46; - when "00101100" => - dest <= 88; - src <= 59; - when "00101101" => - dest <= 1; - src <= 77; - when "00101110" => - dest <= 76; - src <= 2; - when "00101111" => - dest <= 2; - src <= 86; - when "00110000" => - dest <= 2; - src <= 3; - when "00110001" => - dest <= 65; - src <= 2; - when "00110010" => - dest <= 69; - src <= 61; - when "00110011" => - dest <= 2; - src <= 27; - when "00110100" => - dest <= 40; - src <= 26; - when "00110101" => - dest <= 0; - src <= 2; - when "00110110" => - dest <= 2; - src <= 2; - when "00110111" => - dest <= 2; - src <= 38; - when "00111000" => - dest <= 45; - src <= 2; - when "00111001" => - dest <= 78; - src <= 4; - when "00111010" => - dest <= 11; - src <= 49; - when "00111011" => - dest <= 54; - src <= 45; - when "00111100" => - dest <= 2; - src <= 64; - when "00111101" => - dest <= 36; - src <= 2; - when "00111110" => - dest <= 2; - src <= 93; - when "00111111" => - dest <= 27; - src <= 2; - when "01000000" => - dest <= 2; - src <= 2; - when "01000001" => - dest <= 8; - src <= 69; - when "01000010" => - dest <= 50; - src <= 36; - when "01000011" => - dest <= 2; - src <= 2; - when "01000100" => - dest <= 10; - src <= 50; - when "01000101" => - dest <= 2; - src <= 2; - when "01000110" => - dest <= 44; - src <= 78; - when "01000111" => - dest <= 97; - src <= 6; - when "01001000" => - dest <= 79; - src <= 87; - when "01001001" => - dest <= 2; - src <= 94; - when "01001010" => - dest <= 2; - src <= 2; - when "01001011" => - dest <= 2; - src <= 54; - when "01001100" => - dest <= 86; - src <= 2; - when "01001101" => - dest <= 53; - src <= 2; - when "01001110" => - dest <= 2; - src <= 60; - when "01001111" => - dest <= 29; - src <= 17; - when "01010000" => - dest <= 2; - src <= 35; - when "01010001" => - dest <= 30; - src <= 2; - when "01010010" => - dest <= 35; - src <= 2; - when "01010011" => - dest <= 75; - src <= 2; - when "01010100" => - dest <= 2; - src <= 28; - when "01010101" => - dest <= 2; - src <= 51; - when "01010110" => - dest <= 64; - src <= 85; - when "01010111" => - dest <= 2; - src <= 2; - when "01011000" => - dest <= 41; - src <= 74; - when "01011001" => - dest <= 3; - src <= 2; - when "01011010" => - dest <= 51; - src <= 79; - when "01011011" => - dest <= 2; - src <= 39; - when "01011100" => - dest <= 26; - src <= 1; - when "01011101" => - dest <= 31; - src <= 2; - when "01011110" => - dest <= 2; - src <= 40; - when "01011111" => - dest <= 60; - src <= 63; - when "01100000" => - dest <= 17; - src <= 2; - when "01100001" => - dest <= 2; - src <= 2; - when "01100010" => - dest <= 2; - src <= 53; - when "01100011" => - dest <= 20; - src <= 73; - when "01100100" => - dest <= 96; - src <= 88; - when "01100101" => - dest <= 89; - src <= 2; - when "01100110" => - dest <= 2; - src <= 2; - when "01100111" => - dest <= 85; - src <= 44; - when "01101000" => - dest <= 21; - src <= 97; - when "01101001" => - dest <= 74; - src <= 84; - when "01101010" => - dest <= 2; - src <= 2; - when "01101011" => - dest <= 19; - src <= 18; - when "01101100" => - dest <= 63; - src <= 25; - when "01101101" => - dest <= 2; - src <= 83; - when "01101110" => - dest <= 34; - src <= 29; - when "01101111" => - dest <= 2; - src <= 2; - when "01110000" => - dest <= 61; - src <= 30; - when "01110001" => - dest <= 25; - src <= 95; - when "01110010" => - dest <= 2; - src <= 2; - when "01110011" => - dest <= 2; - src <= 41; - when "01110100" => - dest <= 70; - src <= 2; - when "01110101" => - dest <= 16; - src <= 70; - when "01110110" => - dest <= 2; - src <= 2; - when "01110111" => - dest <= 52; - src <= 43; - when "01111000" => - dest <= 2; - src <= 89; - when "01111001" => - dest <= 7; - src <= 2; - when "01111010" => - dest <= 2; - src <= 2; - when "01111011" => - dest <= 2; - src <= 34; - when "01111100" => - dest <= 99; - src <= 98; - when "01111101" => - dest <= 95; - src <= 2; - when "01111110" => - dest <= 2; - src <= 52; - when "01111111" => - dest <= 84; - src <= 2; - when "10000000" => - dest <= 2; - src <= 7; - when "10000001" => - dest <= 73; - src <= 2; - when "10000010" => - dest <= 2; - src <= 15; - when "10000011" => - dest <= 33; - src <= 19; - when "10000100" => - dest <= 71; - src <= 2; - when "10000101" => - dest <= 24; - src <= 20; - when "10000110" => - dest <= 2; - src <= 2; - when "10000111" => - dest <= 80; - src <= 31; - when "10001000" => - dest <= 15; - src <= 2; - when "10001001" => - dest <= 62; - src <= 71; - when "10001010" => - dest <= 2; - src <= 33; - when "10001011" => - dest <= 6; - src <= 80; - when "10001100" => - dest <= 2; - src <= 2; - when "10001101" => - dest <= 2; - src <= 24; - when "10001110" => - dest <= 94; - src <= 99; - when "10001111" => - dest <= 83; - src <= 42; - when "10010000" => - dest <= 2; - src <= 2; - when "10010001" => - dest <= 81; - src <= 8; - when "10010010" => - dest <= 23; - src <= 2; - when "10010011" => - dest <= 72; - src <= 10; - when "10010100" => - dest <= 5; - src <= 21; - when "10010101" => - dest <= 2; - src <= 2; - when "10010110" => - dest <= 93; - src <= 23; - when "10010111" => - dest <= 82; - src <= 81; - when "10011000" => - dest <= 4; - src <= 32; - when "10011001" => - dest <= 2; - src <= 9; - when "10011010" => - dest <= 2; - src <= 11; - when "10011011" => - dest <= 57; - src <= 22; - when "10011100" => - dest <= 46; - src <= 0; - when others => - dest <= 2; - src <= 2; - - end case; - end process; - - end generate NI_NUM2; - - NI_NUM3 : if NI_NUM = 3 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 19; - src <= 3; - when "00000001" => - dest <= 23; - src <= 3; - when "00000010" => - dest <= 3; - src <= 3; - when "00000011" => - dest <= 93; - src <= 3; - when "00000100" => - dest <= 68; - src <= 3; - when "00000101" => - dest <= 59; - src <= 83; - when "00000110" => - dest <= 3; - src <= 13; - when "00000111" => - dest <= 49; - src <= 97; - when "00001000" => - dest <= 91; - src <= 3; - when "00001001" => - dest <= 3; - src <= 69; - when "00001010" => - dest <= 3; - src <= 58; - when "00001011" => - dest <= 13; - src <= 3; - when "00001100" => - dest <= 39; - src <= 3; - when "00001101" => - dest <= 48; - src <= 15; - when "00001110" => - dest <= 57; - src <= 93; - when "00001111" => - dest <= 33; - src <= 48; - when "00010000" => - dest <= 3; - src <= 57; - when "00010001" => - dest <= 3; - src <= 67; - when "00010010" => - dest <= 3; - src <= 3; - when "00010011" => - dest <= 99; - src <= 3; - when "00010100" => - dest <= 78; - src <= 73; - when "00010101" => - dest <= 3; - src <= 77; - when "00010110" => - dest <= 43; - src <= 3; - when "00010111" => - dest <= 92; - src <= 3; - when "00011000" => - dest <= 67; - src <= 68; - when "00011001" => - dest <= 50; - src <= 59; - when "00011010" => - dest <= 3; - src <= 17; - when "00011011" => - dest <= 40; - src <= 14; - when "00011100" => - dest <= 0; - src <= 63; - when "00011101" => - dest <= 3; - src <= 3; - when "00011110" => - dest <= 3; - src <= 38; - when "00011111" => - dest <= 30; - src <= 3; - when "00100000" => - dest <= 15; - src <= 3; - when "00100001" => - dest <= 69; - src <= 6; - when "00100010" => - dest <= 56; - src <= 49; - when "00100011" => - dest <= 38; - src <= 56; - when "00100100" => - dest <= 3; - src <= 66; - when "00100101" => - dest <= 14; - src <= 91; - when "00100110" => - dest <= 3; - src <= 3; - when "00100111" => - dest <= 29; - src <= 76; - when "00101000" => - dest <= 3; - src <= 3; - when "00101001" => - dest <= 3; - src <= 92; - when "00101010" => - dest <= 88; - src <= 3; - when "00101011" => - dest <= 44; - src <= 47; - when "00101100" => - dest <= 89; - src <= 50; - when "00101101" => - dest <= 2; - src <= 78; - when "00101110" => - dest <= 77; - src <= 3; - when "00101111" => - dest <= 3; - src <= 87; - when "00110000" => - dest <= 3; - src <= 4; - when "00110001" => - dest <= 66; - src <= 3; - when "00110010" => - dest <= 60; - src <= 62; - when "00110011" => - dest <= 3; - src <= 28; - when "00110100" => - dest <= 41; - src <= 27; - when "00110101" => - dest <= 1; - src <= 3; - when "00110110" => - dest <= 3; - src <= 3; - when "00110111" => - dest <= 3; - src <= 39; - when "00111000" => - dest <= 46; - src <= 3; - when "00111001" => - dest <= 79; - src <= 5; - when "00111010" => - dest <= 12; - src <= 40; - when "00111011" => - dest <= 55; - src <= 46; - when "00111100" => - dest <= 3; - src <= 65; - when "00111101" => - dest <= 37; - src <= 3; - when "00111110" => - dest <= 3; - src <= 94; - when "00111111" => - dest <= 28; - src <= 3; - when "01000000" => - dest <= 3; - src <= 3; - when "01000001" => - dest <= 9; - src <= 60; - when "01000010" => - dest <= 51; - src <= 37; - when "01000011" => - dest <= 3; - src <= 3; - when "01000100" => - dest <= 11; - src <= 51; - when "01000101" => - dest <= 3; - src <= 3; - when "01000110" => - dest <= 45; - src <= 79; - when "01000111" => - dest <= 98; - src <= 7; - when "01001000" => - dest <= 70; - src <= 88; - when "01001001" => - dest <= 3; - src <= 95; - when "01001010" => - dest <= 3; - src <= 3; - when "01001011" => - dest <= 3; - src <= 55; - when "01001100" => - dest <= 87; - src <= 3; - when "01001101" => - dest <= 54; - src <= 3; - when "01001110" => - dest <= 3; - src <= 61; - when "01001111" => - dest <= 20; - src <= 18; - when "01010000" => - dest <= 3; - src <= 36; - when "01010001" => - dest <= 31; - src <= 3; - when "01010010" => - dest <= 36; - src <= 3; - when "01010011" => - dest <= 76; - src <= 3; - when "01010100" => - dest <= 3; - src <= 29; - when "01010101" => - dest <= 3; - src <= 52; - when "01010110" => - dest <= 65; - src <= 86; - when "01010111" => - dest <= 3; - src <= 3; - when "01011000" => - dest <= 42; - src <= 75; - when "01011001" => - dest <= 4; - src <= 3; - when "01011010" => - dest <= 52; - src <= 70; - when "01011011" => - dest <= 3; - src <= 30; - when "01011100" => - dest <= 27; - src <= 2; - when "01011101" => - dest <= 32; - src <= 3; - when "01011110" => - dest <= 3; - src <= 41; - when "01011111" => - dest <= 61; - src <= 64; - when "01100000" => - dest <= 18; - src <= 3; - when "01100001" => - dest <= 3; - src <= 3; - when "01100010" => - dest <= 3; - src <= 54; - when "01100011" => - dest <= 21; - src <= 74; - when "01100100" => - dest <= 97; - src <= 89; - when "01100101" => - dest <= 80; - src <= 3; - when "01100110" => - dest <= 3; - src <= 3; - when "01100111" => - dest <= 86; - src <= 45; - when "01101000" => - dest <= 22; - src <= 98; - when "01101001" => - dest <= 75; - src <= 85; - when "01101010" => - dest <= 3; - src <= 3; - when "01101011" => - dest <= 10; - src <= 19; - when "01101100" => - dest <= 64; - src <= 26; - when "01101101" => - dest <= 3; - src <= 84; - when "01101110" => - dest <= 35; - src <= 20; - when "01101111" => - dest <= 3; - src <= 3; - when "01110000" => - dest <= 62; - src <= 31; - when "01110001" => - dest <= 26; - src <= 96; - when "01110010" => - dest <= 3; - src <= 3; - when "01110011" => - dest <= 3; - src <= 42; - when "01110100" => - dest <= 71; - src <= 3; - when "01110101" => - dest <= 17; - src <= 71; - when "01110110" => - dest <= 3; - src <= 3; - when "01110111" => - dest <= 53; - src <= 44; - when "01111000" => - dest <= 3; - src <= 80; - when "01111001" => - dest <= 8; - src <= 3; - when "01111010" => - dest <= 3; - src <= 3; - when "01111011" => - dest <= 3; - src <= 35; - when "01111100" => - dest <= 90; - src <= 99; - when "01111101" => - dest <= 96; - src <= 3; - when "01111110" => - dest <= 3; - src <= 53; - when "01111111" => - dest <= 85; - src <= 3; - when "10000000" => - dest <= 3; - src <= 8; - when "10000001" => - dest <= 74; - src <= 3; - when "10000010" => - dest <= 3; - src <= 16; - when "10000011" => - dest <= 34; - src <= 10; - when "10000100" => - dest <= 72; - src <= 3; - when "10000101" => - dest <= 25; - src <= 21; - when "10000110" => - dest <= 3; - src <= 3; - when "10000111" => - dest <= 81; - src <= 32; - when "10001000" => - dest <= 16; - src <= 3; - when "10001001" => - dest <= 63; - src <= 72; - when "10001010" => - dest <= 3; - src <= 34; - when "10001011" => - dest <= 7; - src <= 81; - when "10001100" => - dest <= 3; - src <= 3; - when "10001101" => - dest <= 3; - src <= 25; - when "10001110" => - dest <= 95; - src <= 90; - when "10001111" => - dest <= 84; - src <= 43; - when "10010000" => - dest <= 3; - src <= 3; - when "10010001" => - dest <= 82; - src <= 9; - when "10010010" => - dest <= 24; - src <= 3; - when "10010011" => - dest <= 73; - src <= 11; - when "10010100" => - dest <= 6; - src <= 22; - when "10010101" => - dest <= 3; - src <= 3; - when "10010110" => - dest <= 94; - src <= 24; - when "10010111" => - dest <= 83; - src <= 82; - when "10011000" => - dest <= 5; - src <= 33; - when "10011001" => - dest <= 3; - src <= 0; - when "10011010" => - dest <= 3; - src <= 12; - when "10011011" => - dest <= 58; - src <= 23; - when "10011100" => - dest <= 47; - src <= 1; - when others => - dest <= 3; - src <= 3; - - end case; - end process; - - end generate NI_NUM3; - - NI_NUM4 : if NI_NUM = 4 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 10; - src <= 4; - when "00000001" => - dest <= 24; - src <= 4; - when "00000010" => - dest <= 4; - src <= 4; - when "00000011" => - dest <= 94; - src <= 4; - when "00000100" => - dest <= 69; - src <= 4; - when "00000101" => - dest <= 50; - src <= 84; - when "00000110" => - dest <= 4; - src <= 14; - when "00000111" => - dest <= 40; - src <= 98; - when "00001000" => - dest <= 92; - src <= 4; - when "00001001" => - dest <= 4; - src <= 60; - when "00001010" => - dest <= 4; - src <= 59; - when "00001011" => - dest <= 14; - src <= 4; - when "00001100" => - dest <= 30; - src <= 4; - when "00001101" => - dest <= 49; - src <= 16; - when "00001110" => - dest <= 58; - src <= 94; - when "00001111" => - dest <= 34; - src <= 49; - when "00010000" => - dest <= 4; - src <= 58; - when "00010001" => - dest <= 4; - src <= 68; - when "00010010" => - dest <= 4; - src <= 4; - when "00010011" => - dest <= 90; - src <= 4; - when "00010100" => - dest <= 79; - src <= 74; - when "00010101" => - dest <= 4; - src <= 78; - when "00010110" => - dest <= 44; - src <= 4; - when "00010111" => - dest <= 93; - src <= 4; - when "00011000" => - dest <= 68; - src <= 69; - when "00011001" => - dest <= 51; - src <= 50; - when "00011010" => - dest <= 4; - src <= 18; - when "00011011" => - dest <= 41; - src <= 15; - when "00011100" => - dest <= 1; - src <= 64; - when "00011101" => - dest <= 4; - src <= 4; - when "00011110" => - dest <= 4; - src <= 39; - when "00011111" => - dest <= 31; - src <= 4; - when "00100000" => - dest <= 16; - src <= 4; - when "00100001" => - dest <= 60; - src <= 7; - when "00100010" => - dest <= 57; - src <= 40; - when "00100011" => - dest <= 39; - src <= 57; - when "00100100" => - dest <= 4; - src <= 67; - when "00100101" => - dest <= 15; - src <= 92; - when "00100110" => - dest <= 4; - src <= 4; - when "00100111" => - dest <= 20; - src <= 77; - when "00101000" => - dest <= 4; - src <= 4; - when "00101001" => - dest <= 4; - src <= 93; - when "00101010" => - dest <= 89; - src <= 4; - when "00101011" => - dest <= 45; - src <= 48; - when "00101100" => - dest <= 80; - src <= 51; - when "00101101" => - dest <= 3; - src <= 79; - when "00101110" => - dest <= 78; - src <= 4; - when "00101111" => - dest <= 4; - src <= 88; - when "00110000" => - dest <= 4; - src <= 5; - when "00110001" => - dest <= 67; - src <= 4; - when "00110010" => - dest <= 61; - src <= 63; - when "00110011" => - dest <= 4; - src <= 29; - when "00110100" => - dest <= 42; - src <= 28; - when "00110101" => - dest <= 2; - src <= 4; - when "00110110" => - dest <= 4; - src <= 4; - when "00110111" => - dest <= 4; - src <= 30; - when "00111000" => - dest <= 47; - src <= 4; - when "00111001" => - dest <= 70; - src <= 6; - when "00111010" => - dest <= 13; - src <= 41; - when "00111011" => - dest <= 56; - src <= 47; - when "00111100" => - dest <= 4; - src <= 66; - when "00111101" => - dest <= 38; - src <= 4; - when "00111110" => - dest <= 4; - src <= 95; - when "00111111" => - dest <= 29; - src <= 4; - when "01000000" => - dest <= 4; - src <= 4; - when "01000001" => - dest <= 0; - src <= 61; - when "01000010" => - dest <= 52; - src <= 38; - when "01000011" => - dest <= 4; - src <= 4; - when "01000100" => - dest <= 12; - src <= 52; - when "01000101" => - dest <= 4; - src <= 4; - when "01000110" => - dest <= 46; - src <= 70; - when "01000111" => - dest <= 99; - src <= 8; - when "01001000" => - dest <= 71; - src <= 89; - when "01001001" => - dest <= 4; - src <= 96; - when "01001010" => - dest <= 4; - src <= 4; - when "01001011" => - dest <= 4; - src <= 56; - when "01001100" => - dest <= 88; - src <= 4; - when "01001101" => - dest <= 55; - src <= 4; - when "01001110" => - dest <= 4; - src <= 62; - when "01001111" => - dest <= 21; - src <= 19; - when "01010000" => - dest <= 4; - src <= 37; - when "01010001" => - dest <= 32; - src <= 4; - when "01010010" => - dest <= 37; - src <= 4; - when "01010011" => - dest <= 77; - src <= 4; - when "01010100" => - dest <= 4; - src <= 20; - when "01010101" => - dest <= 4; - src <= 53; - when "01010110" => - dest <= 66; - src <= 87; - when "01010111" => - dest <= 4; - src <= 4; - when "01011000" => - dest <= 43; - src <= 76; - when "01011001" => - dest <= 5; - src <= 4; - when "01011010" => - dest <= 53; - src <= 71; - when "01011011" => - dest <= 4; - src <= 31; - when "01011100" => - dest <= 28; - src <= 3; - when "01011101" => - dest <= 33; - src <= 4; - when "01011110" => - dest <= 4; - src <= 42; - when "01011111" => - dest <= 62; - src <= 65; - when "01100000" => - dest <= 19; - src <= 4; - when "01100001" => - dest <= 4; - src <= 4; - when "01100010" => - dest <= 4; - src <= 55; - when "01100011" => - dest <= 22; - src <= 75; - when "01100100" => - dest <= 98; - src <= 80; - when "01100101" => - dest <= 81; - src <= 4; - when "01100110" => - dest <= 4; - src <= 4; - when "01100111" => - dest <= 87; - src <= 46; - when "01101000" => - dest <= 23; - src <= 99; - when "01101001" => - dest <= 76; - src <= 86; - when "01101010" => - dest <= 4; - src <= 4; - when "01101011" => - dest <= 11; - src <= 10; - when "01101100" => - dest <= 65; - src <= 27; - when "01101101" => - dest <= 4; - src <= 85; - when "01101110" => - dest <= 36; - src <= 21; - when "01101111" => - dest <= 4; - src <= 4; - when "01110000" => - dest <= 63; - src <= 32; - when "01110001" => - dest <= 27; - src <= 97; - when "01110010" => - dest <= 4; - src <= 4; - when "01110011" => - dest <= 4; - src <= 43; - when "01110100" => - dest <= 72; - src <= 4; - when "01110101" => - dest <= 18; - src <= 72; - when "01110110" => - dest <= 4; - src <= 4; - when "01110111" => - dest <= 54; - src <= 45; - when "01111000" => - dest <= 4; - src <= 81; - when "01111001" => - dest <= 9; - src <= 4; - when "01111010" => - dest <= 4; - src <= 4; - when "01111011" => - dest <= 4; - src <= 36; - when "01111100" => - dest <= 91; - src <= 90; - when "01111101" => - dest <= 97; - src <= 4; - when "01111110" => - dest <= 4; - src <= 54; - when "01111111" => - dest <= 86; - src <= 4; - when "10000000" => - dest <= 4; - src <= 9; - when "10000001" => - dest <= 75; - src <= 4; - when "10000010" => - dest <= 4; - src <= 17; - when "10000011" => - dest <= 35; - src <= 11; - when "10000100" => - dest <= 73; - src <= 4; - when "10000101" => - dest <= 26; - src <= 22; - when "10000110" => - dest <= 4; - src <= 4; - when "10000111" => - dest <= 82; - src <= 33; - when "10001000" => - dest <= 17; - src <= 4; - when "10001001" => - dest <= 64; - src <= 73; - when "10001010" => - dest <= 4; - src <= 35; - when "10001011" => - dest <= 8; - src <= 82; - when "10001100" => - dest <= 4; - src <= 4; - when "10001101" => - dest <= 4; - src <= 26; - when "10001110" => - dest <= 96; - src <= 91; - when "10001111" => - dest <= 85; - src <= 44; - when "10010000" => - dest <= 4; - src <= 4; - when "10010001" => - dest <= 83; - src <= 0; - when "10010010" => - dest <= 25; - src <= 4; - when "10010011" => - dest <= 74; - src <= 12; - when "10010100" => - dest <= 7; - src <= 23; - when "10010101" => - dest <= 4; - src <= 4; - when "10010110" => - dest <= 95; - src <= 25; - when "10010111" => - dest <= 84; - src <= 83; - when "10011000" => - dest <= 6; - src <= 34; - when "10011001" => - dest <= 4; - src <= 1; - when "10011010" => - dest <= 4; - src <= 13; - when "10011011" => - dest <= 59; - src <= 24; - when "10011100" => - dest <= 48; - src <= 2; - when others => - dest <= 4; - src <= 4; - - end case; - end process; - - end generate NI_NUM4; - - NI_NUM5 : if NI_NUM = 5 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 11; - src <= 5; - when "00000001" => - dest <= 25; - src <= 5; - when "00000010" => - dest <= 5; - src <= 5; - when "00000011" => - dest <= 95; - src <= 5; - when "00000100" => - dest <= 60; - src <= 5; - when "00000101" => - dest <= 51; - src <= 85; - when "00000110" => - dest <= 5; - src <= 15; - when "00000111" => - dest <= 41; - src <= 99; - when "00001000" => - dest <= 93; - src <= 5; - when "00001001" => - dest <= 5; - src <= 61; - when "00001010" => - dest <= 5; - src <= 50; - when "00001011" => - dest <= 15; - src <= 5; - when "00001100" => - dest <= 31; - src <= 5; - when "00001101" => - dest <= 40; - src <= 17; - when "00001110" => - dest <= 59; - src <= 95; - when "00001111" => - dest <= 35; - src <= 40; - when "00010000" => - dest <= 5; - src <= 59; - when "00010001" => - dest <= 5; - src <= 69; - when "00010010" => - dest <= 5; - src <= 5; - when "00010011" => - dest <= 91; - src <= 5; - when "00010100" => - dest <= 70; - src <= 75; - when "00010101" => - dest <= 5; - src <= 79; - when "00010110" => - dest <= 45; - src <= 5; - when "00010111" => - dest <= 94; - src <= 5; - when "00011000" => - dest <= 69; - src <= 60; - when "00011001" => - dest <= 52; - src <= 51; - when "00011010" => - dest <= 5; - src <= 19; - when "00011011" => - dest <= 42; - src <= 16; - when "00011100" => - dest <= 2; - src <= 65; - when "00011101" => - dest <= 5; - src <= 5; - when "00011110" => - dest <= 5; - src <= 30; - when "00011111" => - dest <= 32; - src <= 5; - when "00100000" => - dest <= 17; - src <= 5; - when "00100001" => - dest <= 61; - src <= 8; - when "00100010" => - dest <= 58; - src <= 41; - when "00100011" => - dest <= 30; - src <= 58; - when "00100100" => - dest <= 5; - src <= 68; - when "00100101" => - dest <= 16; - src <= 93; - when "00100110" => - dest <= 5; - src <= 5; - when "00100111" => - dest <= 21; - src <= 78; - when "00101000" => - dest <= 5; - src <= 5; - when "00101001" => - dest <= 5; - src <= 94; - when "00101010" => - dest <= 80; - src <= 5; - when "00101011" => - dest <= 46; - src <= 49; - when "00101100" => - dest <= 81; - src <= 52; - when "00101101" => - dest <= 4; - src <= 70; - when "00101110" => - dest <= 79; - src <= 5; - when "00101111" => - dest <= 5; - src <= 89; - when "00110000" => - dest <= 5; - src <= 6; - when "00110001" => - dest <= 68; - src <= 5; - when "00110010" => - dest <= 62; - src <= 64; - when "00110011" => - dest <= 5; - src <= 20; - when "00110100" => - dest <= 43; - src <= 29; - when "00110101" => - dest <= 3; - src <= 5; - when "00110110" => - dest <= 5; - src <= 5; - when "00110111" => - dest <= 5; - src <= 31; - when "00111000" => - dest <= 48; - src <= 5; - when "00111001" => - dest <= 71; - src <= 7; - when "00111010" => - dest <= 14; - src <= 42; - when "00111011" => - dest <= 57; - src <= 48; - when "00111100" => - dest <= 5; - src <= 67; - when "00111101" => - dest <= 39; - src <= 5; - when "00111110" => - dest <= 5; - src <= 96; - when "00111111" => - dest <= 20; - src <= 5; - when "01000000" => - dest <= 5; - src <= 5; - when "01000001" => - dest <= 1; - src <= 62; - when "01000010" => - dest <= 53; - src <= 39; - when "01000011" => - dest <= 5; - src <= 5; - when "01000100" => - dest <= 13; - src <= 53; - when "01000101" => - dest <= 5; - src <= 5; - when "01000110" => - dest <= 47; - src <= 71; - when "01000111" => - dest <= 90; - src <= 9; - when "01001000" => - dest <= 72; - src <= 80; - when "01001001" => - dest <= 5; - src <= 97; - when "01001010" => - dest <= 5; - src <= 5; - when "01001011" => - dest <= 5; - src <= 57; - when "01001100" => - dest <= 89; - src <= 5; - when "01001101" => - dest <= 56; - src <= 5; - when "01001110" => - dest <= 5; - src <= 63; - when "01001111" => - dest <= 22; - src <= 10; - when "01010000" => - dest <= 5; - src <= 38; - when "01010001" => - dest <= 33; - src <= 5; - when "01010010" => - dest <= 38; - src <= 5; - when "01010011" => - dest <= 78; - src <= 5; - when "01010100" => - dest <= 5; - src <= 21; - when "01010101" => - dest <= 5; - src <= 54; - when "01010110" => - dest <= 67; - src <= 88; - when "01010111" => - dest <= 5; - src <= 5; - when "01011000" => - dest <= 44; - src <= 77; - when "01011001" => - dest <= 6; - src <= 5; - when "01011010" => - dest <= 54; - src <= 72; - when "01011011" => - dest <= 5; - src <= 32; - when "01011100" => - dest <= 29; - src <= 4; - when "01011101" => - dest <= 34; - src <= 5; - when "01011110" => - dest <= 5; - src <= 43; - when "01011111" => - dest <= 63; - src <= 66; - when "01100000" => - dest <= 10; - src <= 5; - when "01100001" => - dest <= 5; - src <= 5; - when "01100010" => - dest <= 5; - src <= 56; - when "01100011" => - dest <= 23; - src <= 76; - when "01100100" => - dest <= 99; - src <= 81; - when "01100101" => - dest <= 82; - src <= 5; - when "01100110" => - dest <= 5; - src <= 5; - when "01100111" => - dest <= 88; - src <= 47; - when "01101000" => - dest <= 24; - src <= 90; - when "01101001" => - dest <= 77; - src <= 87; - when "01101010" => - dest <= 5; - src <= 5; - when "01101011" => - dest <= 12; - src <= 11; - when "01101100" => - dest <= 66; - src <= 28; - when "01101101" => - dest <= 5; - src <= 86; - when "01101110" => - dest <= 37; - src <= 22; - when "01101111" => - dest <= 5; - src <= 5; - when "01110000" => - dest <= 64; - src <= 33; - when "01110001" => - dest <= 28; - src <= 98; - when "01110010" => - dest <= 5; - src <= 5; - when "01110011" => - dest <= 5; - src <= 44; - when "01110100" => - dest <= 73; - src <= 5; - when "01110101" => - dest <= 19; - src <= 73; - when "01110110" => - dest <= 5; - src <= 5; - when "01110111" => - dest <= 55; - src <= 46; - when "01111000" => - dest <= 5; - src <= 82; - when "01111001" => - dest <= 0; - src <= 5; - when "01111010" => - dest <= 5; - src <= 5; - when "01111011" => - dest <= 5; - src <= 37; - when "01111100" => - dest <= 92; - src <= 91; - when "01111101" => - dest <= 98; - src <= 5; - when "01111110" => - dest <= 5; - src <= 55; - when "01111111" => - dest <= 87; - src <= 5; - when "10000000" => - dest <= 5; - src <= 0; - when "10000001" => - dest <= 76; - src <= 5; - when "10000010" => - dest <= 5; - src <= 18; - when "10000011" => - dest <= 36; - src <= 12; - when "10000100" => - dest <= 74; - src <= 5; - when "10000101" => - dest <= 27; - src <= 23; - when "10000110" => - dest <= 5; - src <= 5; - when "10000111" => - dest <= 83; - src <= 34; - when "10001000" => - dest <= 18; - src <= 5; - when "10001001" => - dest <= 65; - src <= 74; - when "10001010" => - dest <= 5; - src <= 36; - when "10001011" => - dest <= 9; - src <= 83; - when "10001100" => - dest <= 5; - src <= 5; - when "10001101" => - dest <= 5; - src <= 27; - when "10001110" => - dest <= 97; - src <= 92; - when "10001111" => - dest <= 86; - src <= 45; - when "10010000" => - dest <= 5; - src <= 5; - when "10010001" => - dest <= 84; - src <= 1; - when "10010010" => - dest <= 26; - src <= 5; - when "10010011" => - dest <= 75; - src <= 13; - when "10010100" => - dest <= 8; - src <= 24; - when "10010101" => - dest <= 5; - src <= 5; - when "10010110" => - dest <= 96; - src <= 26; - when "10010111" => - dest <= 85; - src <= 84; - when "10011000" => - dest <= 7; - src <= 35; - when "10011001" => - dest <= 5; - src <= 2; - when "10011010" => - dest <= 5; - src <= 14; - when "10011011" => - dest <= 50; - src <= 25; - when "10011100" => - dest <= 49; - src <= 3; - when others => - dest <= 5; - src <= 5; - - end case; - end process; - - end generate NI_NUM5; - - NI_NUM6 : if NI_NUM = 6 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 12; - src <= 6; - when "00000001" => - dest <= 26; - src <= 6; - when "00000010" => - dest <= 6; - src <= 6; - when "00000011" => - dest <= 96; - src <= 6; - when "00000100" => - dest <= 61; - src <= 6; - when "00000101" => - dest <= 52; - src <= 86; - when "00000110" => - dest <= 6; - src <= 16; - when "00000111" => - dest <= 42; - src <= 90; - when "00001000" => - dest <= 94; - src <= 6; - when "00001001" => - dest <= 6; - src <= 62; - when "00001010" => - dest <= 6; - src <= 51; - when "00001011" => - dest <= 16; - src <= 6; - when "00001100" => - dest <= 32; - src <= 6; - when "00001101" => - dest <= 41; - src <= 18; - when "00001110" => - dest <= 50; - src <= 96; - when "00001111" => - dest <= 36; - src <= 41; - when "00010000" => - dest <= 6; - src <= 50; - when "00010001" => - dest <= 6; - src <= 60; - when "00010010" => - dest <= 6; - src <= 6; - when "00010011" => - dest <= 92; - src <= 6; - when "00010100" => - dest <= 71; - src <= 76; - when "00010101" => - dest <= 6; - src <= 70; - when "00010110" => - dest <= 46; - src <= 6; - when "00010111" => - dest <= 95; - src <= 6; - when "00011000" => - dest <= 60; - src <= 61; - when "00011001" => - dest <= 53; - src <= 52; - when "00011010" => - dest <= 6; - src <= 10; - when "00011011" => - dest <= 43; - src <= 17; - when "00011100" => - dest <= 3; - src <= 66; - when "00011101" => - dest <= 6; - src <= 6; - when "00011110" => - dest <= 6; - src <= 31; - when "00011111" => - dest <= 33; - src <= 6; - when "00100000" => - dest <= 18; - src <= 6; - when "00100001" => - dest <= 62; - src <= 9; - when "00100010" => - dest <= 59; - src <= 42; - when "00100011" => - dest <= 31; - src <= 59; - when "00100100" => - dest <= 6; - src <= 69; - when "00100101" => - dest <= 17; - src <= 94; - when "00100110" => - dest <= 6; - src <= 6; - when "00100111" => - dest <= 22; - src <= 79; - when "00101000" => - dest <= 6; - src <= 6; - when "00101001" => - dest <= 6; - src <= 95; - when "00101010" => - dest <= 81; - src <= 6; - when "00101011" => - dest <= 47; - src <= 40; - when "00101100" => - dest <= 82; - src <= 53; - when "00101101" => - dest <= 5; - src <= 71; - when "00101110" => - dest <= 70; - src <= 6; - when "00101111" => - dest <= 6; - src <= 80; - when "00110000" => - dest <= 6; - src <= 7; - when "00110001" => - dest <= 69; - src <= 6; - when "00110010" => - dest <= 63; - src <= 65; - when "00110011" => - dest <= 6; - src <= 21; - when "00110100" => - dest <= 44; - src <= 20; - when "00110101" => - dest <= 4; - src <= 6; - when "00110110" => - dest <= 6; - src <= 6; - when "00110111" => - dest <= 6; - src <= 32; - when "00111000" => - dest <= 49; - src <= 6; - when "00111001" => - dest <= 72; - src <= 8; - when "00111010" => - dest <= 15; - src <= 43; - when "00111011" => - dest <= 58; - src <= 49; - when "00111100" => - dest <= 6; - src <= 68; - when "00111101" => - dest <= 30; - src <= 6; - when "00111110" => - dest <= 6; - src <= 97; - when "00111111" => - dest <= 21; - src <= 6; - when "01000000" => - dest <= 6; - src <= 6; - when "01000001" => - dest <= 2; - src <= 63; - when "01000010" => - dest <= 54; - src <= 30; - when "01000011" => - dest <= 6; - src <= 6; - when "01000100" => - dest <= 14; - src <= 54; - when "01000101" => - dest <= 6; - src <= 6; - when "01000110" => - dest <= 48; - src <= 72; - when "01000111" => - dest <= 91; - src <= 0; - when "01001000" => - dest <= 73; - src <= 81; - when "01001001" => - dest <= 6; - src <= 98; - when "01001010" => - dest <= 6; - src <= 6; - when "01001011" => - dest <= 6; - src <= 58; - when "01001100" => - dest <= 80; - src <= 6; - when "01001101" => - dest <= 57; - src <= 6; - when "01001110" => - dest <= 6; - src <= 64; - when "01001111" => - dest <= 23; - src <= 11; - when "01010000" => - dest <= 6; - src <= 39; - when "01010001" => - dest <= 34; - src <= 6; - when "01010010" => - dest <= 39; - src <= 6; - when "01010011" => - dest <= 79; - src <= 6; - when "01010100" => - dest <= 6; - src <= 22; - when "01010101" => - dest <= 6; - src <= 55; - when "01010110" => - dest <= 68; - src <= 89; - when "01010111" => - dest <= 6; - src <= 6; - when "01011000" => - dest <= 45; - src <= 78; - when "01011001" => - dest <= 7; - src <= 6; - when "01011010" => - dest <= 55; - src <= 73; - when "01011011" => - dest <= 6; - src <= 33; - when "01011100" => - dest <= 20; - src <= 5; - when "01011101" => - dest <= 35; - src <= 6; - when "01011110" => - dest <= 6; - src <= 44; - when "01011111" => - dest <= 64; - src <= 67; - when "01100000" => - dest <= 11; - src <= 6; - when "01100001" => - dest <= 6; - src <= 6; - when "01100010" => - dest <= 6; - src <= 57; - when "01100011" => - dest <= 24; - src <= 77; - when "01100100" => - dest <= 90; - src <= 82; - when "01100101" => - dest <= 83; - src <= 6; - when "01100110" => - dest <= 6; - src <= 6; - when "01100111" => - dest <= 89; - src <= 48; - when "01101000" => - dest <= 25; - src <= 91; - when "01101001" => - dest <= 78; - src <= 88; - when "01101010" => - dest <= 6; - src <= 6; - when "01101011" => - dest <= 13; - src <= 12; - when "01101100" => - dest <= 67; - src <= 29; - when "01101101" => - dest <= 6; - src <= 87; - when "01101110" => - dest <= 38; - src <= 23; - when "01101111" => - dest <= 6; - src <= 6; - when "01110000" => - dest <= 65; - src <= 34; - when "01110001" => - dest <= 29; - src <= 99; - when "01110010" => - dest <= 6; - src <= 6; - when "01110011" => - dest <= 6; - src <= 45; - when "01110100" => - dest <= 74; - src <= 6; - when "01110101" => - dest <= 10; - src <= 74; - when "01110110" => - dest <= 6; - src <= 6; - when "01110111" => - dest <= 56; - src <= 47; - when "01111000" => - dest <= 6; - src <= 83; - when "01111001" => - dest <= 1; - src <= 6; - when "01111010" => - dest <= 6; - src <= 6; - when "01111011" => - dest <= 6; - src <= 38; - when "01111100" => - dest <= 93; - src <= 92; - when "01111101" => - dest <= 99; - src <= 6; - when "01111110" => - dest <= 6; - src <= 56; - when "01111111" => - dest <= 88; - src <= 6; - when "10000000" => - dest <= 6; - src <= 1; - when "10000001" => - dest <= 77; - src <= 6; - when "10000010" => - dest <= 6; - src <= 19; - when "10000011" => - dest <= 37; - src <= 13; - when "10000100" => - dest <= 75; - src <= 6; - when "10000101" => - dest <= 28; - src <= 24; - when "10000110" => - dest <= 6; - src <= 6; - when "10000111" => - dest <= 84; - src <= 35; - when "10001000" => - dest <= 19; - src <= 6; - when "10001001" => - dest <= 66; - src <= 75; - when "10001010" => - dest <= 6; - src <= 37; - when "10001011" => - dest <= 0; - src <= 84; - when "10001100" => - dest <= 6; - src <= 6; - when "10001101" => - dest <= 6; - src <= 28; - when "10001110" => - dest <= 98; - src <= 93; - when "10001111" => - dest <= 87; - src <= 46; - when "10010000" => - dest <= 6; - src <= 6; - when "10010001" => - dest <= 85; - src <= 2; - when "10010010" => - dest <= 27; - src <= 6; - when "10010011" => - dest <= 76; - src <= 14; - when "10010100" => - dest <= 9; - src <= 25; - when "10010101" => - dest <= 6; - src <= 6; - when "10010110" => - dest <= 97; - src <= 27; - when "10010111" => - dest <= 86; - src <= 85; - when "10011000" => - dest <= 8; - src <= 36; - when "10011001" => - dest <= 6; - src <= 3; - when "10011010" => - dest <= 6; - src <= 15; - when "10011011" => - dest <= 51; - src <= 26; - when "10011100" => - dest <= 40; - src <= 4; - when others => - dest <= 6; - src <= 6; - - end case; - end process; - - end generate NI_NUM6; - - NI_NUM7 : if NI_NUM = 7 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 13; - src <= 7; - when "00000001" => - dest <= 27; - src <= 7; - when "00000010" => - dest <= 7; - src <= 7; - when "00000011" => - dest <= 97; - src <= 7; - when "00000100" => - dest <= 62; - src <= 7; - when "00000101" => - dest <= 53; - src <= 87; - when "00000110" => - dest <= 7; - src <= 17; - when "00000111" => - dest <= 43; - src <= 91; - when "00001000" => - dest <= 95; - src <= 7; - when "00001001" => - dest <= 7; - src <= 63; - when "00001010" => - dest <= 7; - src <= 52; - when "00001011" => - dest <= 17; - src <= 7; - when "00001100" => - dest <= 33; - src <= 7; - when "00001101" => - dest <= 42; - src <= 19; - when "00001110" => - dest <= 51; - src <= 97; - when "00001111" => - dest <= 37; - src <= 42; - when "00010000" => - dest <= 7; - src <= 51; - when "00010001" => - dest <= 7; - src <= 61; - when "00010010" => - dest <= 7; - src <= 7; - when "00010011" => - dest <= 93; - src <= 7; - when "00010100" => - dest <= 72; - src <= 77; - when "00010101" => - dest <= 7; - src <= 71; - when "00010110" => - dest <= 47; - src <= 7; - when "00010111" => - dest <= 96; - src <= 7; - when "00011000" => - dest <= 61; - src <= 62; - when "00011001" => - dest <= 54; - src <= 53; - when "00011010" => - dest <= 7; - src <= 11; - when "00011011" => - dest <= 44; - src <= 18; - when "00011100" => - dest <= 4; - src <= 67; - when "00011101" => - dest <= 7; - src <= 7; - when "00011110" => - dest <= 7; - src <= 32; - when "00011111" => - dest <= 34; - src <= 7; - when "00100000" => - dest <= 19; - src <= 7; - when "00100001" => - dest <= 63; - src <= 0; - when "00100010" => - dest <= 50; - src <= 43; - when "00100011" => - dest <= 32; - src <= 50; - when "00100100" => - dest <= 7; - src <= 60; - when "00100101" => - dest <= 18; - src <= 95; - when "00100110" => - dest <= 7; - src <= 7; - when "00100111" => - dest <= 23; - src <= 70; - when "00101000" => - dest <= 7; - src <= 7; - when "00101001" => - dest <= 7; - src <= 96; - when "00101010" => - dest <= 82; - src <= 7; - when "00101011" => - dest <= 48; - src <= 41; - when "00101100" => - dest <= 83; - src <= 54; - when "00101101" => - dest <= 6; - src <= 72; - when "00101110" => - dest <= 71; - src <= 7; - when "00101111" => - dest <= 7; - src <= 81; - when "00110000" => - dest <= 7; - src <= 8; - when "00110001" => - dest <= 60; - src <= 7; - when "00110010" => - dest <= 64; - src <= 66; - when "00110011" => - dest <= 7; - src <= 22; - when "00110100" => - dest <= 45; - src <= 21; - when "00110101" => - dest <= 5; - src <= 7; - when "00110110" => - dest <= 7; - src <= 7; - when "00110111" => - dest <= 7; - src <= 33; - when "00111000" => - dest <= 40; - src <= 7; - when "00111001" => - dest <= 73; - src <= 9; - when "00111010" => - dest <= 16; - src <= 44; - when "00111011" => - dest <= 59; - src <= 40; - when "00111100" => - dest <= 7; - src <= 69; - when "00111101" => - dest <= 31; - src <= 7; - when "00111110" => - dest <= 7; - src <= 98; - when "00111111" => - dest <= 22; - src <= 7; - when "01000000" => - dest <= 7; - src <= 7; - when "01000001" => - dest <= 3; - src <= 64; - when "01000010" => - dest <= 55; - src <= 31; - when "01000011" => - dest <= 7; - src <= 7; - when "01000100" => - dest <= 15; - src <= 55; - when "01000101" => - dest <= 7; - src <= 7; - when "01000110" => - dest <= 49; - src <= 73; - when "01000111" => - dest <= 92; - src <= 1; - when "01001000" => - dest <= 74; - src <= 82; - when "01001001" => - dest <= 7; - src <= 99; - when "01001010" => - dest <= 7; - src <= 7; - when "01001011" => - dest <= 7; - src <= 59; - when "01001100" => - dest <= 81; - src <= 7; - when "01001101" => - dest <= 58; - src <= 7; - when "01001110" => - dest <= 7; - src <= 65; - when "01001111" => - dest <= 24; - src <= 12; - when "01010000" => - dest <= 7; - src <= 30; - when "01010001" => - dest <= 35; - src <= 7; - when "01010010" => - dest <= 30; - src <= 7; - when "01010011" => - dest <= 70; - src <= 7; - when "01010100" => - dest <= 7; - src <= 23; - when "01010101" => - dest <= 7; - src <= 56; - when "01010110" => - dest <= 69; - src <= 80; - when "01010111" => - dest <= 7; - src <= 7; - when "01011000" => - dest <= 46; - src <= 79; - when "01011001" => - dest <= 8; - src <= 7; - when "01011010" => - dest <= 56; - src <= 74; - when "01011011" => - dest <= 7; - src <= 34; - when "01011100" => - dest <= 21; - src <= 6; - when "01011101" => - dest <= 36; - src <= 7; - when "01011110" => - dest <= 7; - src <= 45; - when "01011111" => - dest <= 65; - src <= 68; - when "01100000" => - dest <= 12; - src <= 7; - when "01100001" => - dest <= 7; - src <= 7; - when "01100010" => - dest <= 7; - src <= 58; - when "01100011" => - dest <= 25; - src <= 78; - when "01100100" => - dest <= 91; - src <= 83; - when "01100101" => - dest <= 84; - src <= 7; - when "01100110" => - dest <= 7; - src <= 7; - when "01100111" => - dest <= 80; - src <= 49; - when "01101000" => - dest <= 26; - src <= 92; - when "01101001" => - dest <= 79; - src <= 89; - when "01101010" => - dest <= 7; - src <= 7; - when "01101011" => - dest <= 14; - src <= 13; - when "01101100" => - dest <= 68; - src <= 20; - when "01101101" => - dest <= 7; - src <= 88; - when "01101110" => - dest <= 39; - src <= 24; - when "01101111" => - dest <= 7; - src <= 7; - when "01110000" => - dest <= 66; - src <= 35; - when "01110001" => - dest <= 20; - src <= 90; - when "01110010" => - dest <= 7; - src <= 7; - when "01110011" => - dest <= 7; - src <= 46; - when "01110100" => - dest <= 75; - src <= 7; - when "01110101" => - dest <= 11; - src <= 75; - when "01110110" => - dest <= 7; - src <= 7; - when "01110111" => - dest <= 57; - src <= 48; - when "01111000" => - dest <= 7; - src <= 84; - when "01111001" => - dest <= 2; - src <= 7; - when "01111010" => - dest <= 7; - src <= 7; - when "01111011" => - dest <= 7; - src <= 39; - when "01111100" => - dest <= 94; - src <= 93; - when "01111101" => - dest <= 90; - src <= 7; - when "01111110" => - dest <= 7; - src <= 57; - when "01111111" => - dest <= 89; - src <= 7; - when "10000000" => - dest <= 7; - src <= 2; - when "10000001" => - dest <= 78; - src <= 7; - when "10000010" => - dest <= 7; - src <= 10; - when "10000011" => - dest <= 38; - src <= 14; - when "10000100" => - dest <= 76; - src <= 7; - when "10000101" => - dest <= 29; - src <= 25; - when "10000110" => - dest <= 7; - src <= 7; - when "10000111" => - dest <= 85; - src <= 36; - when "10001000" => - dest <= 10; - src <= 7; - when "10001001" => - dest <= 67; - src <= 76; - when "10001010" => - dest <= 7; - src <= 38; - when "10001011" => - dest <= 1; - src <= 85; - when "10001100" => - dest <= 7; - src <= 7; - when "10001101" => - dest <= 7; - src <= 29; - when "10001110" => - dest <= 99; - src <= 94; - when "10001111" => - dest <= 88; - src <= 47; - when "10010000" => - dest <= 7; - src <= 7; - when "10010001" => - dest <= 86; - src <= 3; - when "10010010" => - dest <= 28; - src <= 7; - when "10010011" => - dest <= 77; - src <= 15; - when "10010100" => - dest <= 0; - src <= 26; - when "10010101" => - dest <= 7; - src <= 7; - when "10010110" => - dest <= 98; - src <= 28; - when "10010111" => - dest <= 87; - src <= 86; - when "10011000" => - dest <= 9; - src <= 37; - when "10011001" => - dest <= 7; - src <= 4; - when "10011010" => - dest <= 7; - src <= 16; - when "10011011" => - dest <= 52; - src <= 27; - when "10011100" => - dest <= 41; - src <= 5; - when others => - dest <= 7; - src <= 7; - - end case; - end process; - - end generate NI_NUM7; - - NI_NUM8 : if NI_NUM = 8 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 14; - src <= 8; - when "00000001" => - dest <= 28; - src <= 8; - when "00000010" => - dest <= 8; - src <= 8; - when "00000011" => - dest <= 98; - src <= 8; - when "00000100" => - dest <= 63; - src <= 8; - when "00000101" => - dest <= 54; - src <= 88; - when "00000110" => - dest <= 8; - src <= 18; - when "00000111" => - dest <= 44; - src <= 92; - when "00001000" => - dest <= 96; - src <= 8; - when "00001001" => - dest <= 8; - src <= 64; - when "00001010" => - dest <= 8; - src <= 53; - when "00001011" => - dest <= 18; - src <= 8; - when "00001100" => - dest <= 34; - src <= 8; - when "00001101" => - dest <= 43; - src <= 10; - when "00001110" => - dest <= 52; - src <= 98; - when "00001111" => - dest <= 38; - src <= 43; - when "00010000" => - dest <= 8; - src <= 52; - when "00010001" => - dest <= 8; - src <= 62; - when "00010010" => - dest <= 8; - src <= 8; - when "00010011" => - dest <= 94; - src <= 8; - when "00010100" => - dest <= 73; - src <= 78; - when "00010101" => - dest <= 8; - src <= 72; - when "00010110" => - dest <= 48; - src <= 8; - when "00010111" => - dest <= 97; - src <= 8; - when "00011000" => - dest <= 62; - src <= 63; - when "00011001" => - dest <= 55; - src <= 54; - when "00011010" => - dest <= 8; - src <= 12; - when "00011011" => - dest <= 45; - src <= 19; - when "00011100" => - dest <= 5; - src <= 68; - when "00011101" => - dest <= 8; - src <= 8; - when "00011110" => - dest <= 8; - src <= 33; - when "00011111" => - dest <= 35; - src <= 8; - when "00100000" => - dest <= 10; - src <= 8; - when "00100001" => - dest <= 64; - src <= 1; - when "00100010" => - dest <= 51; - src <= 44; - when "00100011" => - dest <= 33; - src <= 51; - when "00100100" => - dest <= 8; - src <= 61; - when "00100101" => - dest <= 19; - src <= 96; - when "00100110" => - dest <= 8; - src <= 8; - when "00100111" => - dest <= 24; - src <= 71; - when "00101000" => - dest <= 8; - src <= 8; - when "00101001" => - dest <= 8; - src <= 97; - when "00101010" => - dest <= 83; - src <= 8; - when "00101011" => - dest <= 49; - src <= 42; - when "00101100" => - dest <= 84; - src <= 55; - when "00101101" => - dest <= 7; - src <= 73; - when "00101110" => - dest <= 72; - src <= 8; - when "00101111" => - dest <= 8; - src <= 82; - when "00110000" => - dest <= 8; - src <= 9; - when "00110001" => - dest <= 61; - src <= 8; - when "00110010" => - dest <= 65; - src <= 67; - when "00110011" => - dest <= 8; - src <= 23; - when "00110100" => - dest <= 46; - src <= 22; - when "00110101" => - dest <= 6; - src <= 8; - when "00110110" => - dest <= 8; - src <= 8; - when "00110111" => - dest <= 8; - src <= 34; - when "00111000" => - dest <= 41; - src <= 8; - when "00111001" => - dest <= 74; - src <= 0; - when "00111010" => - dest <= 17; - src <= 45; - when "00111011" => - dest <= 50; - src <= 41; - when "00111100" => - dest <= 8; - src <= 60; - when "00111101" => - dest <= 32; - src <= 8; - when "00111110" => - dest <= 8; - src <= 99; - when "00111111" => - dest <= 23; - src <= 8; - when "01000000" => - dest <= 8; - src <= 8; - when "01000001" => - dest <= 4; - src <= 65; - when "01000010" => - dest <= 56; - src <= 32; - when "01000011" => - dest <= 8; - src <= 8; - when "01000100" => - dest <= 16; - src <= 56; - when "01000101" => - dest <= 8; - src <= 8; - when "01000110" => - dest <= 40; - src <= 74; - when "01000111" => - dest <= 93; - src <= 2; - when "01001000" => - dest <= 75; - src <= 83; - when "01001001" => - dest <= 8; - src <= 90; - when "01001010" => - dest <= 8; - src <= 8; - when "01001011" => - dest <= 8; - src <= 50; - when "01001100" => - dest <= 82; - src <= 8; - when "01001101" => - dest <= 59; - src <= 8; - when "01001110" => - dest <= 8; - src <= 66; - when "01001111" => - dest <= 25; - src <= 13; - when "01010000" => - dest <= 8; - src <= 31; - when "01010001" => - dest <= 36; - src <= 8; - when "01010010" => - dest <= 31; - src <= 8; - when "01010011" => - dest <= 71; - src <= 8; - when "01010100" => - dest <= 8; - src <= 24; - when "01010101" => - dest <= 8; - src <= 57; - when "01010110" => - dest <= 60; - src <= 81; - when "01010111" => - dest <= 8; - src <= 8; - when "01011000" => - dest <= 47; - src <= 70; - when "01011001" => - dest <= 9; - src <= 8; - when "01011010" => - dest <= 57; - src <= 75; - when "01011011" => - dest <= 8; - src <= 35; - when "01011100" => - dest <= 22; - src <= 7; - when "01011101" => - dest <= 37; - src <= 8; - when "01011110" => - dest <= 8; - src <= 46; - when "01011111" => - dest <= 66; - src <= 69; - when "01100000" => - dest <= 13; - src <= 8; - when "01100001" => - dest <= 8; - src <= 8; - when "01100010" => - dest <= 8; - src <= 59; - when "01100011" => - dest <= 26; - src <= 79; - when "01100100" => - dest <= 92; - src <= 84; - when "01100101" => - dest <= 85; - src <= 8; - when "01100110" => - dest <= 8; - src <= 8; - when "01100111" => - dest <= 81; - src <= 40; - when "01101000" => - dest <= 27; - src <= 93; - when "01101001" => - dest <= 70; - src <= 80; - when "01101010" => - dest <= 8; - src <= 8; - when "01101011" => - dest <= 15; - src <= 14; - when "01101100" => - dest <= 69; - src <= 21; - when "01101101" => - dest <= 8; - src <= 89; - when "01101110" => - dest <= 30; - src <= 25; - when "01101111" => - dest <= 8; - src <= 8; - when "01110000" => - dest <= 67; - src <= 36; - when "01110001" => - dest <= 21; - src <= 91; - when "01110010" => - dest <= 8; - src <= 8; - when "01110011" => - dest <= 8; - src <= 47; - when "01110100" => - dest <= 76; - src <= 8; - when "01110101" => - dest <= 12; - src <= 76; - when "01110110" => - dest <= 8; - src <= 8; - when "01110111" => - dest <= 58; - src <= 49; - when "01111000" => - dest <= 8; - src <= 85; - when "01111001" => - dest <= 3; - src <= 8; - when "01111010" => - dest <= 8; - src <= 8; - when "01111011" => - dest <= 8; - src <= 30; - when "01111100" => - dest <= 95; - src <= 94; - when "01111101" => - dest <= 91; - src <= 8; - when "01111110" => - dest <= 8; - src <= 58; - when "01111111" => - dest <= 80; - src <= 8; - when "10000000" => - dest <= 8; - src <= 3; - when "10000001" => - dest <= 79; - src <= 8; - when "10000010" => - dest <= 8; - src <= 11; - when "10000011" => - dest <= 39; - src <= 15; - when "10000100" => - dest <= 77; - src <= 8; - when "10000101" => - dest <= 20; - src <= 26; - when "10000110" => - dest <= 8; - src <= 8; - when "10000111" => - dest <= 86; - src <= 37; - when "10001000" => - dest <= 11; - src <= 8; - when "10001001" => - dest <= 68; - src <= 77; - when "10001010" => - dest <= 8; - src <= 39; - when "10001011" => - dest <= 2; - src <= 86; - when "10001100" => - dest <= 8; - src <= 8; - when "10001101" => - dest <= 8; - src <= 20; - when "10001110" => - dest <= 90; - src <= 95; - when "10001111" => - dest <= 89; - src <= 48; - when "10010000" => - dest <= 8; - src <= 8; - when "10010001" => - dest <= 87; - src <= 4; - when "10010010" => - dest <= 29; - src <= 8; - when "10010011" => - dest <= 78; - src <= 16; - when "10010100" => - dest <= 1; - src <= 27; - when "10010101" => - dest <= 8; - src <= 8; - when "10010110" => - dest <= 99; - src <= 29; - when "10010111" => - dest <= 88; - src <= 87; - when "10011000" => - dest <= 0; - src <= 38; - when "10011001" => - dest <= 8; - src <= 5; - when "10011010" => - dest <= 8; - src <= 17; - when "10011011" => - dest <= 53; - src <= 28; - when "10011100" => - dest <= 42; - src <= 6; - when others => - dest <= 8; - src <= 8; - - end case; - end process; - - end generate NI_NUM8; - - NI_NUM9 : if NI_NUM = 9 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 15; - src <= 9; - when "00000001" => - dest <= 29; - src <= 9; - when "00000010" => - dest <= 9; - src <= 9; - when "00000011" => - dest <= 99; - src <= 9; - when "00000100" => - dest <= 64; - src <= 9; - when "00000101" => - dest <= 55; - src <= 89; - when "00000110" => - dest <= 9; - src <= 19; - when "00000111" => - dest <= 45; - src <= 93; - when "00001000" => - dest <= 97; - src <= 9; - when "00001001" => - dest <= 9; - src <= 65; - when "00001010" => - dest <= 9; - src <= 54; - when "00001011" => - dest <= 19; - src <= 9; - when "00001100" => - dest <= 35; - src <= 9; - when "00001101" => - dest <= 44; - src <= 11; - when "00001110" => - dest <= 53; - src <= 99; - when "00001111" => - dest <= 39; - src <= 44; - when "00010000" => - dest <= 9; - src <= 53; - when "00010001" => - dest <= 9; - src <= 63; - when "00010010" => - dest <= 9; - src <= 9; - when "00010011" => - dest <= 95; - src <= 9; - when "00010100" => - dest <= 74; - src <= 79; - when "00010101" => - dest <= 9; - src <= 73; - when "00010110" => - dest <= 49; - src <= 9; - when "00010111" => - dest <= 98; - src <= 9; - when "00011000" => - dest <= 63; - src <= 64; - when "00011001" => - dest <= 56; - src <= 55; - when "00011010" => - dest <= 9; - src <= 13; - when "00011011" => - dest <= 46; - src <= 10; - when "00011100" => - dest <= 6; - src <= 69; - when "00011101" => - dest <= 9; - src <= 9; - when "00011110" => - dest <= 9; - src <= 34; - when "00011111" => - dest <= 36; - src <= 9; - when "00100000" => - dest <= 11; - src <= 9; - when "00100001" => - dest <= 65; - src <= 2; - when "00100010" => - dest <= 52; - src <= 45; - when "00100011" => - dest <= 34; - src <= 52; - when "00100100" => - dest <= 9; - src <= 62; - when "00100101" => - dest <= 10; - src <= 97; - when "00100110" => - dest <= 9; - src <= 9; - when "00100111" => - dest <= 25; - src <= 72; - when "00101000" => - dest <= 9; - src <= 9; - when "00101001" => - dest <= 9; - src <= 98; - when "00101010" => - dest <= 84; - src <= 9; - when "00101011" => - dest <= 40; - src <= 43; - when "00101100" => - dest <= 85; - src <= 56; - when "00101101" => - dest <= 8; - src <= 74; - when "00101110" => - dest <= 73; - src <= 9; - when "00101111" => - dest <= 9; - src <= 83; - when "00110000" => - dest <= 9; - src <= 0; - when "00110001" => - dest <= 62; - src <= 9; - when "00110010" => - dest <= 66; - src <= 68; - when "00110011" => - dest <= 9; - src <= 24; - when "00110100" => - dest <= 47; - src <= 23; - when "00110101" => - dest <= 7; - src <= 9; - when "00110110" => - dest <= 9; - src <= 9; - when "00110111" => - dest <= 9; - src <= 35; - when "00111000" => - dest <= 42; - src <= 9; - when "00111001" => - dest <= 75; - src <= 1; - when "00111010" => - dest <= 18; - src <= 46; - when "00111011" => - dest <= 51; - src <= 42; - when "00111100" => - dest <= 9; - src <= 61; - when "00111101" => - dest <= 33; - src <= 9; - when "00111110" => - dest <= 9; - src <= 90; - when "00111111" => - dest <= 24; - src <= 9; - when "01000000" => - dest <= 9; - src <= 9; - when "01000001" => - dest <= 5; - src <= 66; - when "01000010" => - dest <= 57; - src <= 33; - when "01000011" => - dest <= 9; - src <= 9; - when "01000100" => - dest <= 17; - src <= 57; - when "01000101" => - dest <= 9; - src <= 9; - when "01000110" => - dest <= 41; - src <= 75; - when "01000111" => - dest <= 94; - src <= 3; - when "01001000" => - dest <= 76; - src <= 84; - when "01001001" => - dest <= 9; - src <= 91; - when "01001010" => - dest <= 9; - src <= 9; - when "01001011" => - dest <= 9; - src <= 51; - when "01001100" => - dest <= 83; - src <= 9; - when "01001101" => - dest <= 50; - src <= 9; - when "01001110" => - dest <= 9; - src <= 67; - when "01001111" => - dest <= 26; - src <= 14; - when "01010000" => - dest <= 9; - src <= 32; - when "01010001" => - dest <= 37; - src <= 9; - when "01010010" => - dest <= 32; - src <= 9; - when "01010011" => - dest <= 72; - src <= 9; - when "01010100" => - dest <= 9; - src <= 25; - when "01010101" => - dest <= 9; - src <= 58; - when "01010110" => - dest <= 61; - src <= 82; - when "01010111" => - dest <= 9; - src <= 9; - when "01011000" => - dest <= 48; - src <= 71; - when "01011001" => - dest <= 0; - src <= 9; - when "01011010" => - dest <= 58; - src <= 76; - when "01011011" => - dest <= 9; - src <= 36; - when "01011100" => - dest <= 23; - src <= 8; - when "01011101" => - dest <= 38; - src <= 9; - when "01011110" => - dest <= 9; - src <= 47; - when "01011111" => - dest <= 67; - src <= 60; - when "01100000" => - dest <= 14; - src <= 9; - when "01100001" => - dest <= 9; - src <= 9; - when "01100010" => - dest <= 9; - src <= 50; - when "01100011" => - dest <= 27; - src <= 70; - when "01100100" => - dest <= 93; - src <= 85; - when "01100101" => - dest <= 86; - src <= 9; - when "01100110" => - dest <= 9; - src <= 9; - when "01100111" => - dest <= 82; - src <= 41; - when "01101000" => - dest <= 28; - src <= 94; - when "01101001" => - dest <= 71; - src <= 81; - when "01101010" => - dest <= 9; - src <= 9; - when "01101011" => - dest <= 16; - src <= 15; - when "01101100" => - dest <= 60; - src <= 22; - when "01101101" => - dest <= 9; - src <= 80; - when "01101110" => - dest <= 31; - src <= 26; - when "01101111" => - dest <= 9; - src <= 9; - when "01110000" => - dest <= 68; - src <= 37; - when "01110001" => - dest <= 22; - src <= 92; - when "01110010" => - dest <= 9; - src <= 9; - when "01110011" => - dest <= 9; - src <= 48; - when "01110100" => - dest <= 77; - src <= 9; - when "01110101" => - dest <= 13; - src <= 77; - when "01110110" => - dest <= 9; - src <= 9; - when "01110111" => - dest <= 59; - src <= 40; - when "01111000" => - dest <= 9; - src <= 86; - when "01111001" => - dest <= 4; - src <= 9; - when "01111010" => - dest <= 9; - src <= 9; - when "01111011" => - dest <= 9; - src <= 31; - when "01111100" => - dest <= 96; - src <= 95; - when "01111101" => - dest <= 92; - src <= 9; - when "01111110" => - dest <= 9; - src <= 59; - when "01111111" => - dest <= 81; - src <= 9; - when "10000000" => - dest <= 9; - src <= 4; - when "10000001" => - dest <= 70; - src <= 9; - when "10000010" => - dest <= 9; - src <= 12; - when "10000011" => - dest <= 30; - src <= 16; - when "10000100" => - dest <= 78; - src <= 9; - when "10000101" => - dest <= 21; - src <= 27; - when "10000110" => - dest <= 9; - src <= 9; - when "10000111" => - dest <= 87; - src <= 38; - when "10001000" => - dest <= 12; - src <= 9; - when "10001001" => - dest <= 69; - src <= 78; - when "10001010" => - dest <= 9; - src <= 30; - when "10001011" => - dest <= 3; - src <= 87; - when "10001100" => - dest <= 9; - src <= 9; - when "10001101" => - dest <= 9; - src <= 21; - when "10001110" => - dest <= 91; - src <= 96; - when "10001111" => - dest <= 80; - src <= 49; - when "10010000" => - dest <= 9; - src <= 9; - when "10010001" => - dest <= 88; - src <= 5; - when "10010010" => - dest <= 20; - src <= 9; - when "10010011" => - dest <= 79; - src <= 17; - when "10010100" => - dest <= 2; - src <= 28; - when "10010101" => - dest <= 9; - src <= 9; - when "10010110" => - dest <= 90; - src <= 20; - when "10010111" => - dest <= 89; - src <= 88; - when "10011000" => - dest <= 1; - src <= 39; - when "10011001" => - dest <= 9; - src <= 6; - when "10011010" => - dest <= 9; - src <= 18; - when "10011011" => - dest <= 54; - src <= 29; - when "10011100" => - dest <= 43; - src <= 7; - when others => - dest <= 9; - src <= 9; - - end case; - end process; - - end generate NI_NUM9; - - NI_NUM10 : if NI_NUM = 10 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 26; - src <= 10; - when "00000001" => - dest <= 30; - src <= 10; - when "00000010" => - dest <= 10; - src <= 10; - when "00000011" => - dest <= 0; - src <= 10; - when "00000100" => - dest <= 75; - src <= 10; - when "00000101" => - dest <= 66; - src <= 90; - when "00000110" => - dest <= 10; - src <= 20; - when "00000111" => - dest <= 56; - src <= 4; - when "00001000" => - dest <= 8; - src <= 10; - when "00001001" => - dest <= 10; - src <= 76; - when "00001010" => - dest <= 10; - src <= 65; - when "00001011" => - dest <= 20; - src <= 10; - when "00001100" => - dest <= 46; - src <= 10; - when "00001101" => - dest <= 55; - src <= 22; - when "00001110" => - dest <= 64; - src <= 0; - when "00001111" => - dest <= 40; - src <= 55; - when "00010000" => - dest <= 10; - src <= 64; - when "00010001" => - dest <= 10; - src <= 74; - when "00010010" => - dest <= 10; - src <= 10; - when "00010011" => - dest <= 6; - src <= 10; - when "00010100" => - dest <= 85; - src <= 80; - when "00010101" => - dest <= 10; - src <= 84; - when "00010110" => - dest <= 50; - src <= 10; - when "00010111" => - dest <= 9; - src <= 10; - when "00011000" => - dest <= 74; - src <= 75; - when "00011001" => - dest <= 67; - src <= 66; - when "00011010" => - dest <= 10; - src <= 24; - when "00011011" => - dest <= 57; - src <= 21; - when "00011100" => - dest <= 17; - src <= 70; - when "00011101" => - dest <= 10; - src <= 10; - when "00011110" => - dest <= 10; - src <= 45; - when "00011111" => - dest <= 47; - src <= 10; - when "00100000" => - dest <= 22; - src <= 10; - when "00100001" => - dest <= 76; - src <= 13; - when "00100010" => - dest <= 63; - src <= 56; - when "00100011" => - dest <= 45; - src <= 63; - when "00100100" => - dest <= 10; - src <= 73; - when "00100101" => - dest <= 21; - src <= 8; - when "00100110" => - dest <= 10; - src <= 10; - when "00100111" => - dest <= 36; - src <= 83; - when "00101000" => - dest <= 10; - src <= 10; - when "00101001" => - dest <= 10; - src <= 9; - when "00101010" => - dest <= 95; - src <= 10; - when "00101011" => - dest <= 51; - src <= 54; - when "00101100" => - dest <= 96; - src <= 67; - when "00101101" => - dest <= 19; - src <= 85; - when "00101110" => - dest <= 84; - src <= 10; - when "00101111" => - dest <= 10; - src <= 94; - when "00110000" => - dest <= 10; - src <= 11; - when "00110001" => - dest <= 73; - src <= 10; - when "00110010" => - dest <= 77; - src <= 79; - when "00110011" => - dest <= 10; - src <= 35; - when "00110100" => - dest <= 58; - src <= 34; - when "00110101" => - dest <= 18; - src <= 10; - when "00110110" => - dest <= 10; - src <= 10; - when "00110111" => - dest <= 10; - src <= 46; - when "00111000" => - dest <= 53; - src <= 10; - when "00111001" => - dest <= 86; - src <= 12; - when "00111010" => - dest <= 29; - src <= 57; - when "00111011" => - dest <= 62; - src <= 53; - when "00111100" => - dest <= 10; - src <= 72; - when "00111101" => - dest <= 44; - src <= 10; - when "00111110" => - dest <= 10; - src <= 1; - when "00111111" => - dest <= 35; - src <= 10; - when "01000000" => - dest <= 10; - src <= 10; - when "01000001" => - dest <= 16; - src <= 77; - when "01000010" => - dest <= 68; - src <= 44; - when "01000011" => - dest <= 10; - src <= 10; - when "01000100" => - dest <= 28; - src <= 68; - when "01000101" => - dest <= 10; - src <= 10; - when "01000110" => - dest <= 52; - src <= 86; - when "01000111" => - dest <= 5; - src <= 14; - when "01001000" => - dest <= 87; - src <= 95; - when "01001001" => - dest <= 10; - src <= 2; - when "01001010" => - dest <= 10; - src <= 10; - when "01001011" => - dest <= 10; - src <= 62; - when "01001100" => - dest <= 94; - src <= 10; - when "01001101" => - dest <= 61; - src <= 10; - when "01001110" => - dest <= 10; - src <= 78; - when "01001111" => - dest <= 37; - src <= 25; - when "01010000" => - dest <= 10; - src <= 43; - when "01010001" => - dest <= 48; - src <= 10; - when "01010010" => - dest <= 43; - src <= 10; - when "01010011" => - dest <= 83; - src <= 10; - when "01010100" => - dest <= 10; - src <= 36; - when "01010101" => - dest <= 10; - src <= 69; - when "01010110" => - dest <= 72; - src <= 93; - when "01010111" => - dest <= 10; - src <= 10; - when "01011000" => - dest <= 59; - src <= 82; - when "01011001" => - dest <= 11; - src <= 10; - when "01011010" => - dest <= 69; - src <= 87; - when "01011011" => - dest <= 10; - src <= 47; - when "01011100" => - dest <= 34; - src <= 19; - when "01011101" => - dest <= 49; - src <= 10; - when "01011110" => - dest <= 10; - src <= 58; - when "01011111" => - dest <= 78; - src <= 71; - when "01100000" => - dest <= 25; - src <= 10; - when "01100001" => - dest <= 10; - src <= 10; - when "01100010" => - dest <= 10; - src <= 61; - when "01100011" => - dest <= 38; - src <= 81; - when "01100100" => - dest <= 4; - src <= 96; - when "01100101" => - dest <= 97; - src <= 10; - when "01100110" => - dest <= 10; - src <= 10; - when "01100111" => - dest <= 93; - src <= 52; - when "01101000" => - dest <= 39; - src <= 5; - when "01101001" => - dest <= 82; - src <= 92; - when "01101010" => - dest <= 10; - src <= 10; - when "01101011" => - dest <= 27; - src <= 26; - when "01101100" => - dest <= 71; - src <= 33; - when "01101101" => - dest <= 10; - src <= 91; - when "01101110" => - dest <= 42; - src <= 37; - when "01101111" => - dest <= 10; - src <= 10; - when "01110000" => - dest <= 79; - src <= 48; - when "01110001" => - dest <= 33; - src <= 3; - when "01110010" => - dest <= 10; - src <= 10; - when "01110011" => - dest <= 10; - src <= 59; - when "01110100" => - dest <= 88; - src <= 10; - when "01110101" => - dest <= 24; - src <= 88; - when "01110110" => - dest <= 10; - src <= 10; - when "01110111" => - dest <= 60; - src <= 51; - when "01111000" => - dest <= 10; - src <= 97; - when "01111001" => - dest <= 15; - src <= 10; - when "01111010" => - dest <= 10; - src <= 10; - when "01111011" => - dest <= 10; - src <= 42; - when "01111100" => - dest <= 7; - src <= 6; - when "01111101" => - dest <= 3; - src <= 10; - when "01111110" => - dest <= 10; - src <= 60; - when "01111111" => - dest <= 92; - src <= 10; - when "10000000" => - dest <= 10; - src <= 15; - when "10000001" => - dest <= 81; - src <= 10; - when "10000010" => - dest <= 10; - src <= 23; - when "10000011" => - dest <= 41; - src <= 27; - when "10000100" => - dest <= 89; - src <= 10; - when "10000101" => - dest <= 32; - src <= 38; - when "10000110" => - dest <= 10; - src <= 10; - when "10000111" => - dest <= 98; - src <= 49; - when "10001000" => - dest <= 23; - src <= 10; - when "10001001" => - dest <= 70; - src <= 89; - when "10001010" => - dest <= 10; - src <= 41; - when "10001011" => - dest <= 14; - src <= 98; - when "10001100" => - dest <= 10; - src <= 10; - when "10001101" => - dest <= 10; - src <= 32; - when "10001110" => - dest <= 2; - src <= 7; - when "10001111" => - dest <= 91; - src <= 50; - when "10010000" => - dest <= 10; - src <= 10; - when "10010001" => - dest <= 99; - src <= 16; - when "10010010" => - dest <= 31; - src <= 10; - when "10010011" => - dest <= 80; - src <= 28; - when "10010100" => - dest <= 13; - src <= 39; - when "10010101" => - dest <= 10; - src <= 10; - when "10010110" => - dest <= 1; - src <= 31; - when "10010111" => - dest <= 90; - src <= 99; - when "10011000" => - dest <= 12; - src <= 40; - when "10011001" => - dest <= 10; - src <= 17; - when "10011010" => - dest <= 10; - src <= 29; - when "10011011" => - dest <= 65; - src <= 30; - when "10011100" => - dest <= 54; - src <= 18; - when others => - dest <= 10; - src <= 10; - - end case; - end process; - - end generate NI_NUM10; - - NI_NUM11 : if NI_NUM = 11 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 27; - src <= 11; - when "00000001" => - dest <= 31; - src <= 11; - when "00000010" => - dest <= 11; - src <= 11; - when "00000011" => - dest <= 1; - src <= 11; - when "00000100" => - dest <= 76; - src <= 11; - when "00000101" => - dest <= 67; - src <= 91; - when "00000110" => - dest <= 11; - src <= 21; - when "00000111" => - dest <= 57; - src <= 5; - when "00001000" => - dest <= 9; - src <= 11; - when "00001001" => - dest <= 11; - src <= 77; - when "00001010" => - dest <= 11; - src <= 66; - when "00001011" => - dest <= 21; - src <= 11; - when "00001100" => - dest <= 47; - src <= 11; - when "00001101" => - dest <= 56; - src <= 23; - when "00001110" => - dest <= 65; - src <= 1; - when "00001111" => - dest <= 41; - src <= 56; - when "00010000" => - dest <= 11; - src <= 65; - when "00010001" => - dest <= 11; - src <= 75; - when "00010010" => - dest <= 11; - src <= 11; - when "00010011" => - dest <= 7; - src <= 11; - when "00010100" => - dest <= 86; - src <= 81; - when "00010101" => - dest <= 11; - src <= 85; - when "00010110" => - dest <= 51; - src <= 11; - when "00010111" => - dest <= 0; - src <= 11; - when "00011000" => - dest <= 75; - src <= 76; - when "00011001" => - dest <= 68; - src <= 67; - when "00011010" => - dest <= 11; - src <= 25; - when "00011011" => - dest <= 58; - src <= 22; - when "00011100" => - dest <= 18; - src <= 71; - when "00011101" => - dest <= 11; - src <= 11; - when "00011110" => - dest <= 11; - src <= 46; - when "00011111" => - dest <= 48; - src <= 11; - when "00100000" => - dest <= 23; - src <= 11; - when "00100001" => - dest <= 77; - src <= 14; - when "00100010" => - dest <= 64; - src <= 57; - when "00100011" => - dest <= 46; - src <= 64; - when "00100100" => - dest <= 11; - src <= 74; - when "00100101" => - dest <= 22; - src <= 9; - when "00100110" => - dest <= 11; - src <= 11; - when "00100111" => - dest <= 37; - src <= 84; - when "00101000" => - dest <= 11; - src <= 11; - when "00101001" => - dest <= 11; - src <= 0; - when "00101010" => - dest <= 96; - src <= 11; - when "00101011" => - dest <= 52; - src <= 55; - when "00101100" => - dest <= 97; - src <= 68; - when "00101101" => - dest <= 10; - src <= 86; - when "00101110" => - dest <= 85; - src <= 11; - when "00101111" => - dest <= 11; - src <= 95; - when "00110000" => - dest <= 11; - src <= 12; - when "00110001" => - dest <= 74; - src <= 11; - when "00110010" => - dest <= 78; - src <= 70; - when "00110011" => - dest <= 11; - src <= 36; - when "00110100" => - dest <= 59; - src <= 35; - when "00110101" => - dest <= 19; - src <= 11; - when "00110110" => - dest <= 11; - src <= 11; - when "00110111" => - dest <= 11; - src <= 47; - when "00111000" => - dest <= 54; - src <= 11; - when "00111001" => - dest <= 87; - src <= 13; - when "00111010" => - dest <= 20; - src <= 58; - when "00111011" => - dest <= 63; - src <= 54; - when "00111100" => - dest <= 11; - src <= 73; - when "00111101" => - dest <= 45; - src <= 11; - when "00111110" => - dest <= 11; - src <= 2; - when "00111111" => - dest <= 36; - src <= 11; - when "01000000" => - dest <= 11; - src <= 11; - when "01000001" => - dest <= 17; - src <= 78; - when "01000010" => - dest <= 69; - src <= 45; - when "01000011" => - dest <= 11; - src <= 11; - when "01000100" => - dest <= 29; - src <= 69; - when "01000101" => - dest <= 11; - src <= 11; - when "01000110" => - dest <= 53; - src <= 87; - when "01000111" => - dest <= 6; - src <= 15; - when "01001000" => - dest <= 88; - src <= 96; - when "01001001" => - dest <= 11; - src <= 3; - when "01001010" => - dest <= 11; - src <= 11; - when "01001011" => - dest <= 11; - src <= 63; - when "01001100" => - dest <= 95; - src <= 11; - when "01001101" => - dest <= 62; - src <= 11; - when "01001110" => - dest <= 11; - src <= 79; - when "01001111" => - dest <= 38; - src <= 26; - when "01010000" => - dest <= 11; - src <= 44; - when "01010001" => - dest <= 49; - src <= 11; - when "01010010" => - dest <= 44; - src <= 11; - when "01010011" => - dest <= 84; - src <= 11; - when "01010100" => - dest <= 11; - src <= 37; - when "01010101" => - dest <= 11; - src <= 60; - when "01010110" => - dest <= 73; - src <= 94; - when "01010111" => - dest <= 11; - src <= 11; - when "01011000" => - dest <= 50; - src <= 83; - when "01011001" => - dest <= 12; - src <= 11; - when "01011010" => - dest <= 60; - src <= 88; - when "01011011" => - dest <= 11; - src <= 48; - when "01011100" => - dest <= 35; - src <= 10; - when "01011101" => - dest <= 40; - src <= 11; - when "01011110" => - dest <= 11; - src <= 59; - when "01011111" => - dest <= 79; - src <= 72; - when "01100000" => - dest <= 26; - src <= 11; - when "01100001" => - dest <= 11; - src <= 11; - when "01100010" => - dest <= 11; - src <= 62; - when "01100011" => - dest <= 39; - src <= 82; - when "01100100" => - dest <= 5; - src <= 97; - when "01100101" => - dest <= 98; - src <= 11; - when "01100110" => - dest <= 11; - src <= 11; - when "01100111" => - dest <= 94; - src <= 53; - when "01101000" => - dest <= 30; - src <= 6; - when "01101001" => - dest <= 83; - src <= 93; - when "01101010" => - dest <= 11; - src <= 11; - when "01101011" => - dest <= 28; - src <= 27; - when "01101100" => - dest <= 72; - src <= 34; - when "01101101" => - dest <= 11; - src <= 92; - when "01101110" => - dest <= 43; - src <= 38; - when "01101111" => - dest <= 11; - src <= 11; - when "01110000" => - dest <= 70; - src <= 49; - when "01110001" => - dest <= 34; - src <= 4; - when "01110010" => - dest <= 11; - src <= 11; - when "01110011" => - dest <= 11; - src <= 50; - when "01110100" => - dest <= 89; - src <= 11; - when "01110101" => - dest <= 25; - src <= 89; - when "01110110" => - dest <= 11; - src <= 11; - when "01110111" => - dest <= 61; - src <= 52; - when "01111000" => - dest <= 11; - src <= 98; - when "01111001" => - dest <= 16; - src <= 11; - when "01111010" => - dest <= 11; - src <= 11; - when "01111011" => - dest <= 11; - src <= 43; - when "01111100" => - dest <= 8; - src <= 7; - when "01111101" => - dest <= 4; - src <= 11; - when "01111110" => - dest <= 11; - src <= 61; - when "01111111" => - dest <= 93; - src <= 11; - when "10000000" => - dest <= 11; - src <= 16; - when "10000001" => - dest <= 82; - src <= 11; - when "10000010" => - dest <= 11; - src <= 24; - when "10000011" => - dest <= 42; - src <= 28; - when "10000100" => - dest <= 80; - src <= 11; - when "10000101" => - dest <= 33; - src <= 39; - when "10000110" => - dest <= 11; - src <= 11; - when "10000111" => - dest <= 99; - src <= 40; - when "10001000" => - dest <= 24; - src <= 11; - when "10001001" => - dest <= 71; - src <= 80; - when "10001010" => - dest <= 11; - src <= 42; - when "10001011" => - dest <= 15; - src <= 99; - when "10001100" => - dest <= 11; - src <= 11; - when "10001101" => - dest <= 11; - src <= 33; - when "10001110" => - dest <= 3; - src <= 8; - when "10001111" => - dest <= 92; - src <= 51; - when "10010000" => - dest <= 11; - src <= 11; - when "10010001" => - dest <= 90; - src <= 17; - when "10010010" => - dest <= 32; - src <= 11; - when "10010011" => - dest <= 81; - src <= 29; - when "10010100" => - dest <= 14; - src <= 30; - when "10010101" => - dest <= 11; - src <= 11; - when "10010110" => - dest <= 2; - src <= 32; - when "10010111" => - dest <= 91; - src <= 90; - when "10011000" => - dest <= 13; - src <= 41; - when "10011001" => - dest <= 11; - src <= 18; - when "10011010" => - dest <= 11; - src <= 20; - when "10011011" => - dest <= 66; - src <= 31; - when "10011100" => - dest <= 55; - src <= 19; - when others => - dest <= 11; - src <= 11; - - end case; - end process; - - end generate NI_NUM11; - - NI_NUM12 : if NI_NUM = 12 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 28; - src <= 12; - when "00000001" => - dest <= 32; - src <= 12; - when "00000010" => - dest <= 12; - src <= 12; - when "00000011" => - dest <= 2; - src <= 12; - when "00000100" => - dest <= 77; - src <= 12; - when "00000101" => - dest <= 68; - src <= 92; - when "00000110" => - dest <= 12; - src <= 22; - when "00000111" => - dest <= 58; - src <= 6; - when "00001000" => - dest <= 0; - src <= 12; - when "00001001" => - dest <= 12; - src <= 78; - when "00001010" => - dest <= 12; - src <= 67; - when "00001011" => - dest <= 22; - src <= 12; - when "00001100" => - dest <= 48; - src <= 12; - when "00001101" => - dest <= 57; - src <= 24; - when "00001110" => - dest <= 66; - src <= 2; - when "00001111" => - dest <= 42; - src <= 57; - when "00010000" => - dest <= 12; - src <= 66; - when "00010001" => - dest <= 12; - src <= 76; - when "00010010" => - dest <= 12; - src <= 12; - when "00010011" => - dest <= 8; - src <= 12; - when "00010100" => - dest <= 87; - src <= 82; - when "00010101" => - dest <= 12; - src <= 86; - when "00010110" => - dest <= 52; - src <= 12; - when "00010111" => - dest <= 1; - src <= 12; - when "00011000" => - dest <= 76; - src <= 77; - when "00011001" => - dest <= 69; - src <= 68; - when "00011010" => - dest <= 12; - src <= 26; - when "00011011" => - dest <= 59; - src <= 23; - when "00011100" => - dest <= 19; - src <= 72; - when "00011101" => - dest <= 12; - src <= 12; - when "00011110" => - dest <= 12; - src <= 47; - when "00011111" => - dest <= 49; - src <= 12; - when "00100000" => - dest <= 24; - src <= 12; - when "00100001" => - dest <= 78; - src <= 15; - when "00100010" => - dest <= 65; - src <= 58; - when "00100011" => - dest <= 47; - src <= 65; - when "00100100" => - dest <= 12; - src <= 75; - when "00100101" => - dest <= 23; - src <= 0; - when "00100110" => - dest <= 12; - src <= 12; - when "00100111" => - dest <= 38; - src <= 85; - when "00101000" => - dest <= 12; - src <= 12; - when "00101001" => - dest <= 12; - src <= 1; - when "00101010" => - dest <= 97; - src <= 12; - when "00101011" => - dest <= 53; - src <= 56; - when "00101100" => - dest <= 98; - src <= 69; - when "00101101" => - dest <= 11; - src <= 87; - when "00101110" => - dest <= 86; - src <= 12; - when "00101111" => - dest <= 12; - src <= 96; - when "00110000" => - dest <= 12; - src <= 13; - when "00110001" => - dest <= 75; - src <= 12; - when "00110010" => - dest <= 79; - src <= 71; - when "00110011" => - dest <= 12; - src <= 37; - when "00110100" => - dest <= 50; - src <= 36; - when "00110101" => - dest <= 10; - src <= 12; - when "00110110" => - dest <= 12; - src <= 12; - when "00110111" => - dest <= 12; - src <= 48; - when "00111000" => - dest <= 55; - src <= 12; - when "00111001" => - dest <= 88; - src <= 14; - when "00111010" => - dest <= 21; - src <= 59; - when "00111011" => - dest <= 64; - src <= 55; - when "00111100" => - dest <= 12; - src <= 74; - when "00111101" => - dest <= 46; - src <= 12; - when "00111110" => - dest <= 12; - src <= 3; - when "00111111" => - dest <= 37; - src <= 12; - when "01000000" => - dest <= 12; - src <= 12; - when "01000001" => - dest <= 18; - src <= 79; - when "01000010" => - dest <= 60; - src <= 46; - when "01000011" => - dest <= 12; - src <= 12; - when "01000100" => - dest <= 20; - src <= 60; - when "01000101" => - dest <= 12; - src <= 12; - when "01000110" => - dest <= 54; - src <= 88; - when "01000111" => - dest <= 7; - src <= 16; - when "01001000" => - dest <= 89; - src <= 97; - when "01001001" => - dest <= 12; - src <= 4; - when "01001010" => - dest <= 12; - src <= 12; - when "01001011" => - dest <= 12; - src <= 64; - when "01001100" => - dest <= 96; - src <= 12; - when "01001101" => - dest <= 63; - src <= 12; - when "01001110" => - dest <= 12; - src <= 70; - when "01001111" => - dest <= 39; - src <= 27; - when "01010000" => - dest <= 12; - src <= 45; - when "01010001" => - dest <= 40; - src <= 12; - when "01010010" => - dest <= 45; - src <= 12; - when "01010011" => - dest <= 85; - src <= 12; - when "01010100" => - dest <= 12; - src <= 38; - when "01010101" => - dest <= 12; - src <= 61; - when "01010110" => - dest <= 74; - src <= 95; - when "01010111" => - dest <= 12; - src <= 12; - when "01011000" => - dest <= 51; - src <= 84; - when "01011001" => - dest <= 13; - src <= 12; - when "01011010" => - dest <= 61; - src <= 89; - when "01011011" => - dest <= 12; - src <= 49; - when "01011100" => - dest <= 36; - src <= 11; - when "01011101" => - dest <= 41; - src <= 12; - when "01011110" => - dest <= 12; - src <= 50; - when "01011111" => - dest <= 70; - src <= 73; - when "01100000" => - dest <= 27; - src <= 12; - when "01100001" => - dest <= 12; - src <= 12; - when "01100010" => - dest <= 12; - src <= 63; - when "01100011" => - dest <= 30; - src <= 83; - when "01100100" => - dest <= 6; - src <= 98; - when "01100101" => - dest <= 99; - src <= 12; - when "01100110" => - dest <= 12; - src <= 12; - when "01100111" => - dest <= 95; - src <= 54; - when "01101000" => - dest <= 31; - src <= 7; - when "01101001" => - dest <= 84; - src <= 94; - when "01101010" => - dest <= 12; - src <= 12; - when "01101011" => - dest <= 29; - src <= 28; - when "01101100" => - dest <= 73; - src <= 35; - when "01101101" => - dest <= 12; - src <= 93; - when "01101110" => - dest <= 44; - src <= 39; - when "01101111" => - dest <= 12; - src <= 12; - when "01110000" => - dest <= 71; - src <= 40; - when "01110001" => - dest <= 35; - src <= 5; - when "01110010" => - dest <= 12; - src <= 12; - when "01110011" => - dest <= 12; - src <= 51; - when "01110100" => - dest <= 80; - src <= 12; - when "01110101" => - dest <= 26; - src <= 80; - when "01110110" => - dest <= 12; - src <= 12; - when "01110111" => - dest <= 62; - src <= 53; - when "01111000" => - dest <= 12; - src <= 99; - when "01111001" => - dest <= 17; - src <= 12; - when "01111010" => - dest <= 12; - src <= 12; - when "01111011" => - dest <= 12; - src <= 44; - when "01111100" => - dest <= 9; - src <= 8; - when "01111101" => - dest <= 5; - src <= 12; - when "01111110" => - dest <= 12; - src <= 62; - when "01111111" => - dest <= 94; - src <= 12; - when "10000000" => - dest <= 12; - src <= 17; - when "10000001" => - dest <= 83; - src <= 12; - when "10000010" => - dest <= 12; - src <= 25; - when "10000011" => - dest <= 43; - src <= 29; - when "10000100" => - dest <= 81; - src <= 12; - when "10000101" => - dest <= 34; - src <= 30; - when "10000110" => - dest <= 12; - src <= 12; - when "10000111" => - dest <= 90; - src <= 41; - when "10001000" => - dest <= 25; - src <= 12; - when "10001001" => - dest <= 72; - src <= 81; - when "10001010" => - dest <= 12; - src <= 43; - when "10001011" => - dest <= 16; - src <= 90; - when "10001100" => - dest <= 12; - src <= 12; - when "10001101" => - dest <= 12; - src <= 34; - when "10001110" => - dest <= 4; - src <= 9; - when "10001111" => - dest <= 93; - src <= 52; - when "10010000" => - dest <= 12; - src <= 12; - when "10010001" => - dest <= 91; - src <= 18; - when "10010010" => - dest <= 33; - src <= 12; - when "10010011" => - dest <= 82; - src <= 20; - when "10010100" => - dest <= 15; - src <= 31; - when "10010101" => - dest <= 12; - src <= 12; - when "10010110" => - dest <= 3; - src <= 33; - when "10010111" => - dest <= 92; - src <= 91; - when "10011000" => - dest <= 14; - src <= 42; - when "10011001" => - dest <= 12; - src <= 19; - when "10011010" => - dest <= 12; - src <= 21; - when "10011011" => - dest <= 67; - src <= 32; - when "10011100" => - dest <= 56; - src <= 10; - when others => - dest <= 12; - src <= 12; - - end case; - end process; - - end generate NI_NUM12; - - NI_NUM13 : if NI_NUM = 13 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 29; - src <= 13; - when "00000001" => - dest <= 33; - src <= 13; - when "00000010" => - dest <= 13; - src <= 13; - when "00000011" => - dest <= 3; - src <= 13; - when "00000100" => - dest <= 78; - src <= 13; - when "00000101" => - dest <= 69; - src <= 93; - when "00000110" => - dest <= 13; - src <= 23; - when "00000111" => - dest <= 59; - src <= 7; - when "00001000" => - dest <= 1; - src <= 13; - when "00001001" => - dest <= 13; - src <= 79; - when "00001010" => - dest <= 13; - src <= 68; - when "00001011" => - dest <= 23; - src <= 13; - when "00001100" => - dest <= 49; - src <= 13; - when "00001101" => - dest <= 58; - src <= 25; - when "00001110" => - dest <= 67; - src <= 3; - when "00001111" => - dest <= 43; - src <= 58; - when "00010000" => - dest <= 13; - src <= 67; - when "00010001" => - dest <= 13; - src <= 77; - when "00010010" => - dest <= 13; - src <= 13; - when "00010011" => - dest <= 9; - src <= 13; - when "00010100" => - dest <= 88; - src <= 83; - when "00010101" => - dest <= 13; - src <= 87; - when "00010110" => - dest <= 53; - src <= 13; - when "00010111" => - dest <= 2; - src <= 13; - when "00011000" => - dest <= 77; - src <= 78; - when "00011001" => - dest <= 60; - src <= 69; - when "00011010" => - dest <= 13; - src <= 27; - when "00011011" => - dest <= 50; - src <= 24; - when "00011100" => - dest <= 10; - src <= 73; - when "00011101" => - dest <= 13; - src <= 13; - when "00011110" => - dest <= 13; - src <= 48; - when "00011111" => - dest <= 40; - src <= 13; - when "00100000" => - dest <= 25; - src <= 13; - when "00100001" => - dest <= 79; - src <= 16; - when "00100010" => - dest <= 66; - src <= 59; - when "00100011" => - dest <= 48; - src <= 66; - when "00100100" => - dest <= 13; - src <= 76; - when "00100101" => - dest <= 24; - src <= 1; - when "00100110" => - dest <= 13; - src <= 13; - when "00100111" => - dest <= 39; - src <= 86; - when "00101000" => - dest <= 13; - src <= 13; - when "00101001" => - dest <= 13; - src <= 2; - when "00101010" => - dest <= 98; - src <= 13; - when "00101011" => - dest <= 54; - src <= 57; - when "00101100" => - dest <= 99; - src <= 60; - when "00101101" => - dest <= 12; - src <= 88; - when "00101110" => - dest <= 87; - src <= 13; - when "00101111" => - dest <= 13; - src <= 97; - when "00110000" => - dest <= 13; - src <= 14; - when "00110001" => - dest <= 76; - src <= 13; - when "00110010" => - dest <= 70; - src <= 72; - when "00110011" => - dest <= 13; - src <= 38; - when "00110100" => - dest <= 51; - src <= 37; - when "00110101" => - dest <= 11; - src <= 13; - when "00110110" => - dest <= 13; - src <= 13; - when "00110111" => - dest <= 13; - src <= 49; - when "00111000" => - dest <= 56; - src <= 13; - when "00111001" => - dest <= 89; - src <= 15; - when "00111010" => - dest <= 22; - src <= 50; - when "00111011" => - dest <= 65; - src <= 56; - when "00111100" => - dest <= 13; - src <= 75; - when "00111101" => - dest <= 47; - src <= 13; - when "00111110" => - dest <= 13; - src <= 4; - when "00111111" => - dest <= 38; - src <= 13; - when "01000000" => - dest <= 13; - src <= 13; - when "01000001" => - dest <= 19; - src <= 70; - when "01000010" => - dest <= 61; - src <= 47; - when "01000011" => - dest <= 13; - src <= 13; - when "01000100" => - dest <= 21; - src <= 61; - when "01000101" => - dest <= 13; - src <= 13; - when "01000110" => - dest <= 55; - src <= 89; - when "01000111" => - dest <= 8; - src <= 17; - when "01001000" => - dest <= 80; - src <= 98; - when "01001001" => - dest <= 13; - src <= 5; - when "01001010" => - dest <= 13; - src <= 13; - when "01001011" => - dest <= 13; - src <= 65; - when "01001100" => - dest <= 97; - src <= 13; - when "01001101" => - dest <= 64; - src <= 13; - when "01001110" => - dest <= 13; - src <= 71; - when "01001111" => - dest <= 30; - src <= 28; - when "01010000" => - dest <= 13; - src <= 46; - when "01010001" => - dest <= 41; - src <= 13; - when "01010010" => - dest <= 46; - src <= 13; - when "01010011" => - dest <= 86; - src <= 13; - when "01010100" => - dest <= 13; - src <= 39; - when "01010101" => - dest <= 13; - src <= 62; - when "01010110" => - dest <= 75; - src <= 96; - when "01010111" => - dest <= 13; - src <= 13; - when "01011000" => - dest <= 52; - src <= 85; - when "01011001" => - dest <= 14; - src <= 13; - when "01011010" => - dest <= 62; - src <= 80; - when "01011011" => - dest <= 13; - src <= 40; - when "01011100" => - dest <= 37; - src <= 12; - when "01011101" => - dest <= 42; - src <= 13; - when "01011110" => - dest <= 13; - src <= 51; - when "01011111" => - dest <= 71; - src <= 74; - when "01100000" => - dest <= 28; - src <= 13; - when "01100001" => - dest <= 13; - src <= 13; - when "01100010" => - dest <= 13; - src <= 64; - when "01100011" => - dest <= 31; - src <= 84; - when "01100100" => - dest <= 7; - src <= 99; - when "01100101" => - dest <= 90; - src <= 13; - when "01100110" => - dest <= 13; - src <= 13; - when "01100111" => - dest <= 96; - src <= 55; - when "01101000" => - dest <= 32; - src <= 8; - when "01101001" => - dest <= 85; - src <= 95; - when "01101010" => - dest <= 13; - src <= 13; - when "01101011" => - dest <= 20; - src <= 29; - when "01101100" => - dest <= 74; - src <= 36; - when "01101101" => - dest <= 13; - src <= 94; - when "01101110" => - dest <= 45; - src <= 30; - when "01101111" => - dest <= 13; - src <= 13; - when "01110000" => - dest <= 72; - src <= 41; - when "01110001" => - dest <= 36; - src <= 6; - when "01110010" => - dest <= 13; - src <= 13; - when "01110011" => - dest <= 13; - src <= 52; - when "01110100" => - dest <= 81; - src <= 13; - when "01110101" => - dest <= 27; - src <= 81; - when "01110110" => - dest <= 13; - src <= 13; - when "01110111" => - dest <= 63; - src <= 54; - when "01111000" => - dest <= 13; - src <= 90; - when "01111001" => - dest <= 18; - src <= 13; - when "01111010" => - dest <= 13; - src <= 13; - when "01111011" => - dest <= 13; - src <= 45; - when "01111100" => - dest <= 0; - src <= 9; - when "01111101" => - dest <= 6; - src <= 13; - when "01111110" => - dest <= 13; - src <= 63; - when "01111111" => - dest <= 95; - src <= 13; - when "10000000" => - dest <= 13; - src <= 18; - when "10000001" => - dest <= 84; - src <= 13; - when "10000010" => - dest <= 13; - src <= 26; - when "10000011" => - dest <= 44; - src <= 20; - when "10000100" => - dest <= 82; - src <= 13; - when "10000101" => - dest <= 35; - src <= 31; - when "10000110" => - dest <= 13; - src <= 13; - when "10000111" => - dest <= 91; - src <= 42; - when "10001000" => - dest <= 26; - src <= 13; - when "10001001" => - dest <= 73; - src <= 82; - when "10001010" => - dest <= 13; - src <= 44; - when "10001011" => - dest <= 17; - src <= 91; - when "10001100" => - dest <= 13; - src <= 13; - when "10001101" => - dest <= 13; - src <= 35; - when "10001110" => - dest <= 5; - src <= 0; - when "10001111" => - dest <= 94; - src <= 53; - when "10010000" => - dest <= 13; - src <= 13; - when "10010001" => - dest <= 92; - src <= 19; - when "10010010" => - dest <= 34; - src <= 13; - when "10010011" => - dest <= 83; - src <= 21; - when "10010100" => - dest <= 16; - src <= 32; - when "10010101" => - dest <= 13; - src <= 13; - when "10010110" => - dest <= 4; - src <= 34; - when "10010111" => - dest <= 93; - src <= 92; - when "10011000" => - dest <= 15; - src <= 43; - when "10011001" => - dest <= 13; - src <= 10; - when "10011010" => - dest <= 13; - src <= 22; - when "10011011" => - dest <= 68; - src <= 33; - when "10011100" => - dest <= 57; - src <= 11; - when others => - dest <= 13; - src <= 13; - - end case; - end process; - - end generate NI_NUM13; - - NI_NUM14 : if NI_NUM = 14 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 20; - src <= 14; - when "00000001" => - dest <= 34; - src <= 14; - when "00000010" => - dest <= 14; - src <= 14; - when "00000011" => - dest <= 4; - src <= 14; - when "00000100" => - dest <= 79; - src <= 14; - when "00000101" => - dest <= 60; - src <= 94; - when "00000110" => - dest <= 14; - src <= 24; - when "00000111" => - dest <= 50; - src <= 8; - when "00001000" => - dest <= 2; - src <= 14; - when "00001001" => - dest <= 14; - src <= 70; - when "00001010" => - dest <= 14; - src <= 69; - when "00001011" => - dest <= 24; - src <= 14; - when "00001100" => - dest <= 40; - src <= 14; - when "00001101" => - dest <= 59; - src <= 26; - when "00001110" => - dest <= 68; - src <= 4; - when "00001111" => - dest <= 44; - src <= 59; - when "00010000" => - dest <= 14; - src <= 68; - when "00010001" => - dest <= 14; - src <= 78; - when "00010010" => - dest <= 14; - src <= 14; - when "00010011" => - dest <= 0; - src <= 14; - when "00010100" => - dest <= 89; - src <= 84; - when "00010101" => - dest <= 14; - src <= 88; - when "00010110" => - dest <= 54; - src <= 14; - when "00010111" => - dest <= 3; - src <= 14; - when "00011000" => - dest <= 78; - src <= 79; - when "00011001" => - dest <= 61; - src <= 60; - when "00011010" => - dest <= 14; - src <= 28; - when "00011011" => - dest <= 51; - src <= 25; - when "00011100" => - dest <= 11; - src <= 74; - when "00011101" => - dest <= 14; - src <= 14; - when "00011110" => - dest <= 14; - src <= 49; - when "00011111" => - dest <= 41; - src <= 14; - when "00100000" => - dest <= 26; - src <= 14; - when "00100001" => - dest <= 70; - src <= 17; - when "00100010" => - dest <= 67; - src <= 50; - when "00100011" => - dest <= 49; - src <= 67; - when "00100100" => - dest <= 14; - src <= 77; - when "00100101" => - dest <= 25; - src <= 2; - when "00100110" => - dest <= 14; - src <= 14; - when "00100111" => - dest <= 30; - src <= 87; - when "00101000" => - dest <= 14; - src <= 14; - when "00101001" => - dest <= 14; - src <= 3; - when "00101010" => - dest <= 99; - src <= 14; - when "00101011" => - dest <= 55; - src <= 58; - when "00101100" => - dest <= 90; - src <= 61; - when "00101101" => - dest <= 13; - src <= 89; - when "00101110" => - dest <= 88; - src <= 14; - when "00101111" => - dest <= 14; - src <= 98; - when "00110000" => - dest <= 14; - src <= 15; - when "00110001" => - dest <= 77; - src <= 14; - when "00110010" => - dest <= 71; - src <= 73; - when "00110011" => - dest <= 14; - src <= 39; - when "00110100" => - dest <= 52; - src <= 38; - when "00110101" => - dest <= 12; - src <= 14; - when "00110110" => - dest <= 14; - src <= 14; - when "00110111" => - dest <= 14; - src <= 40; - when "00111000" => - dest <= 57; - src <= 14; - when "00111001" => - dest <= 80; - src <= 16; - when "00111010" => - dest <= 23; - src <= 51; - when "00111011" => - dest <= 66; - src <= 57; - when "00111100" => - dest <= 14; - src <= 76; - when "00111101" => - dest <= 48; - src <= 14; - when "00111110" => - dest <= 14; - src <= 5; - when "00111111" => - dest <= 39; - src <= 14; - when "01000000" => - dest <= 14; - src <= 14; - when "01000001" => - dest <= 10; - src <= 71; - when "01000010" => - dest <= 62; - src <= 48; - when "01000011" => - dest <= 14; - src <= 14; - when "01000100" => - dest <= 22; - src <= 62; - when "01000101" => - dest <= 14; - src <= 14; - when "01000110" => - dest <= 56; - src <= 80; - when "01000111" => - dest <= 9; - src <= 18; - when "01001000" => - dest <= 81; - src <= 99; - when "01001001" => - dest <= 14; - src <= 6; - when "01001010" => - dest <= 14; - src <= 14; - when "01001011" => - dest <= 14; - src <= 66; - when "01001100" => - dest <= 98; - src <= 14; - when "01001101" => - dest <= 65; - src <= 14; - when "01001110" => - dest <= 14; - src <= 72; - when "01001111" => - dest <= 31; - src <= 29; - when "01010000" => - dest <= 14; - src <= 47; - when "01010001" => - dest <= 42; - src <= 14; - when "01010010" => - dest <= 47; - src <= 14; - when "01010011" => - dest <= 87; - src <= 14; - when "01010100" => - dest <= 14; - src <= 30; - when "01010101" => - dest <= 14; - src <= 63; - when "01010110" => - dest <= 76; - src <= 97; - when "01010111" => - dest <= 14; - src <= 14; - when "01011000" => - dest <= 53; - src <= 86; - when "01011001" => - dest <= 15; - src <= 14; - when "01011010" => - dest <= 63; - src <= 81; - when "01011011" => - dest <= 14; - src <= 41; - when "01011100" => - dest <= 38; - src <= 13; - when "01011101" => - dest <= 43; - src <= 14; - when "01011110" => - dest <= 14; - src <= 52; - when "01011111" => - dest <= 72; - src <= 75; - when "01100000" => - dest <= 29; - src <= 14; - when "01100001" => - dest <= 14; - src <= 14; - when "01100010" => - dest <= 14; - src <= 65; - when "01100011" => - dest <= 32; - src <= 85; - when "01100100" => - dest <= 8; - src <= 90; - when "01100101" => - dest <= 91; - src <= 14; - when "01100110" => - dest <= 14; - src <= 14; - when "01100111" => - dest <= 97; - src <= 56; - when "01101000" => - dest <= 33; - src <= 9; - when "01101001" => - dest <= 86; - src <= 96; - when "01101010" => - dest <= 14; - src <= 14; - when "01101011" => - dest <= 21; - src <= 20; - when "01101100" => - dest <= 75; - src <= 37; - when "01101101" => - dest <= 14; - src <= 95; - when "01101110" => - dest <= 46; - src <= 31; - when "01101111" => - dest <= 14; - src <= 14; - when "01110000" => - dest <= 73; - src <= 42; - when "01110001" => - dest <= 37; - src <= 7; - when "01110010" => - dest <= 14; - src <= 14; - when "01110011" => - dest <= 14; - src <= 53; - when "01110100" => - dest <= 82; - src <= 14; - when "01110101" => - dest <= 28; - src <= 82; - when "01110110" => - dest <= 14; - src <= 14; - when "01110111" => - dest <= 64; - src <= 55; - when "01111000" => - dest <= 14; - src <= 91; - when "01111001" => - dest <= 19; - src <= 14; - when "01111010" => - dest <= 14; - src <= 14; - when "01111011" => - dest <= 14; - src <= 46; - when "01111100" => - dest <= 1; - src <= 0; - when "01111101" => - dest <= 7; - src <= 14; - when "01111110" => - dest <= 14; - src <= 64; - when "01111111" => - dest <= 96; - src <= 14; - when "10000000" => - dest <= 14; - src <= 19; - when "10000001" => - dest <= 85; - src <= 14; - when "10000010" => - dest <= 14; - src <= 27; - when "10000011" => - dest <= 45; - src <= 21; - when "10000100" => - dest <= 83; - src <= 14; - when "10000101" => - dest <= 36; - src <= 32; - when "10000110" => - dest <= 14; - src <= 14; - when "10000111" => - dest <= 92; - src <= 43; - when "10001000" => - dest <= 27; - src <= 14; - when "10001001" => - dest <= 74; - src <= 83; - when "10001010" => - dest <= 14; - src <= 45; - when "10001011" => - dest <= 18; - src <= 92; - when "10001100" => - dest <= 14; - src <= 14; - when "10001101" => - dest <= 14; - src <= 36; - when "10001110" => - dest <= 6; - src <= 1; - when "10001111" => - dest <= 95; - src <= 54; - when "10010000" => - dest <= 14; - src <= 14; - when "10010001" => - dest <= 93; - src <= 10; - when "10010010" => - dest <= 35; - src <= 14; - when "10010011" => - dest <= 84; - src <= 22; - when "10010100" => - dest <= 17; - src <= 33; - when "10010101" => - dest <= 14; - src <= 14; - when "10010110" => - dest <= 5; - src <= 35; - when "10010111" => - dest <= 94; - src <= 93; - when "10011000" => - dest <= 16; - src <= 44; - when "10011001" => - dest <= 14; - src <= 11; - when "10011010" => - dest <= 14; - src <= 23; - when "10011011" => - dest <= 69; - src <= 34; - when "10011100" => - dest <= 58; - src <= 12; - when others => - dest <= 14; - src <= 14; - - end case; - end process; - - end generate NI_NUM14; - - NI_NUM15 : if NI_NUM = 15 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 21; - src <= 15; - when "00000001" => - dest <= 35; - src <= 15; - when "00000010" => - dest <= 15; - src <= 15; - when "00000011" => - dest <= 5; - src <= 15; - when "00000100" => - dest <= 70; - src <= 15; - when "00000101" => - dest <= 61; - src <= 95; - when "00000110" => - dest <= 15; - src <= 25; - when "00000111" => - dest <= 51; - src <= 9; - when "00001000" => - dest <= 3; - src <= 15; - when "00001001" => - dest <= 15; - src <= 71; - when "00001010" => - dest <= 15; - src <= 60; - when "00001011" => - dest <= 25; - src <= 15; - when "00001100" => - dest <= 41; - src <= 15; - when "00001101" => - dest <= 50; - src <= 27; - when "00001110" => - dest <= 69; - src <= 5; - when "00001111" => - dest <= 45; - src <= 50; - when "00010000" => - dest <= 15; - src <= 69; - when "00010001" => - dest <= 15; - src <= 79; - when "00010010" => - dest <= 15; - src <= 15; - when "00010011" => - dest <= 1; - src <= 15; - when "00010100" => - dest <= 80; - src <= 85; - when "00010101" => - dest <= 15; - src <= 89; - when "00010110" => - dest <= 55; - src <= 15; - when "00010111" => - dest <= 4; - src <= 15; - when "00011000" => - dest <= 79; - src <= 70; - when "00011001" => - dest <= 62; - src <= 61; - when "00011010" => - dest <= 15; - src <= 29; - when "00011011" => - dest <= 52; - src <= 26; - when "00011100" => - dest <= 12; - src <= 75; - when "00011101" => - dest <= 15; - src <= 15; - when "00011110" => - dest <= 15; - src <= 40; - when "00011111" => - dest <= 42; - src <= 15; - when "00100000" => - dest <= 27; - src <= 15; - when "00100001" => - dest <= 71; - src <= 18; - when "00100010" => - dest <= 68; - src <= 51; - when "00100011" => - dest <= 40; - src <= 68; - when "00100100" => - dest <= 15; - src <= 78; - when "00100101" => - dest <= 26; - src <= 3; - when "00100110" => - dest <= 15; - src <= 15; - when "00100111" => - dest <= 31; - src <= 88; - when "00101000" => - dest <= 15; - src <= 15; - when "00101001" => - dest <= 15; - src <= 4; - when "00101010" => - dest <= 90; - src <= 15; - when "00101011" => - dest <= 56; - src <= 59; - when "00101100" => - dest <= 91; - src <= 62; - when "00101101" => - dest <= 14; - src <= 80; - when "00101110" => - dest <= 89; - src <= 15; - when "00101111" => - dest <= 15; - src <= 99; - when "00110000" => - dest <= 15; - src <= 16; - when "00110001" => - dest <= 78; - src <= 15; - when "00110010" => - dest <= 72; - src <= 74; - when "00110011" => - dest <= 15; - src <= 30; - when "00110100" => - dest <= 53; - src <= 39; - when "00110101" => - dest <= 13; - src <= 15; - when "00110110" => - dest <= 15; - src <= 15; - when "00110111" => - dest <= 15; - src <= 41; - when "00111000" => - dest <= 58; - src <= 15; - when "00111001" => - dest <= 81; - src <= 17; - when "00111010" => - dest <= 24; - src <= 52; - when "00111011" => - dest <= 67; - src <= 58; - when "00111100" => - dest <= 15; - src <= 77; - when "00111101" => - dest <= 49; - src <= 15; - when "00111110" => - dest <= 15; - src <= 6; - when "00111111" => - dest <= 30; - src <= 15; - when "01000000" => - dest <= 15; - src <= 15; - when "01000001" => - dest <= 11; - src <= 72; - when "01000010" => - dest <= 63; - src <= 49; - when "01000011" => - dest <= 15; - src <= 15; - when "01000100" => - dest <= 23; - src <= 63; - when "01000101" => - dest <= 15; - src <= 15; - when "01000110" => - dest <= 57; - src <= 81; - when "01000111" => - dest <= 0; - src <= 19; - when "01001000" => - dest <= 82; - src <= 90; - when "01001001" => - dest <= 15; - src <= 7; - when "01001010" => - dest <= 15; - src <= 15; - when "01001011" => - dest <= 15; - src <= 67; - when "01001100" => - dest <= 99; - src <= 15; - when "01001101" => - dest <= 66; - src <= 15; - when "01001110" => - dest <= 15; - src <= 73; - when "01001111" => - dest <= 32; - src <= 20; - when "01010000" => - dest <= 15; - src <= 48; - when "01010001" => - dest <= 43; - src <= 15; - when "01010010" => - dest <= 48; - src <= 15; - when "01010011" => - dest <= 88; - src <= 15; - when "01010100" => - dest <= 15; - src <= 31; - when "01010101" => - dest <= 15; - src <= 64; - when "01010110" => - dest <= 77; - src <= 98; - when "01010111" => - dest <= 15; - src <= 15; - when "01011000" => - dest <= 54; - src <= 87; - when "01011001" => - dest <= 16; - src <= 15; - when "01011010" => - dest <= 64; - src <= 82; - when "01011011" => - dest <= 15; - src <= 42; - when "01011100" => - dest <= 39; - src <= 14; - when "01011101" => - dest <= 44; - src <= 15; - when "01011110" => - dest <= 15; - src <= 53; - when "01011111" => - dest <= 73; - src <= 76; - when "01100000" => - dest <= 20; - src <= 15; - when "01100001" => - dest <= 15; - src <= 15; - when "01100010" => - dest <= 15; - src <= 66; - when "01100011" => - dest <= 33; - src <= 86; - when "01100100" => - dest <= 9; - src <= 91; - when "01100101" => - dest <= 92; - src <= 15; - when "01100110" => - dest <= 15; - src <= 15; - when "01100111" => - dest <= 98; - src <= 57; - when "01101000" => - dest <= 34; - src <= 0; - when "01101001" => - dest <= 87; - src <= 97; - when "01101010" => - dest <= 15; - src <= 15; - when "01101011" => - dest <= 22; - src <= 21; - when "01101100" => - dest <= 76; - src <= 38; - when "01101101" => - dest <= 15; - src <= 96; - when "01101110" => - dest <= 47; - src <= 32; - when "01101111" => - dest <= 15; - src <= 15; - when "01110000" => - dest <= 74; - src <= 43; - when "01110001" => - dest <= 38; - src <= 8; - when "01110010" => - dest <= 15; - src <= 15; - when "01110011" => - dest <= 15; - src <= 54; - when "01110100" => - dest <= 83; - src <= 15; - when "01110101" => - dest <= 29; - src <= 83; - when "01110110" => - dest <= 15; - src <= 15; - when "01110111" => - dest <= 65; - src <= 56; - when "01111000" => - dest <= 15; - src <= 92; - when "01111001" => - dest <= 10; - src <= 15; - when "01111010" => - dest <= 15; - src <= 15; - when "01111011" => - dest <= 15; - src <= 47; - when "01111100" => - dest <= 2; - src <= 1; - when "01111101" => - dest <= 8; - src <= 15; - when "01111110" => - dest <= 15; - src <= 65; - when "01111111" => - dest <= 97; - src <= 15; - when "10000000" => - dest <= 15; - src <= 10; - when "10000001" => - dest <= 86; - src <= 15; - when "10000010" => - dest <= 15; - src <= 28; - when "10000011" => - dest <= 46; - src <= 22; - when "10000100" => - dest <= 84; - src <= 15; - when "10000101" => - dest <= 37; - src <= 33; - when "10000110" => - dest <= 15; - src <= 15; - when "10000111" => - dest <= 93; - src <= 44; - when "10001000" => - dest <= 28; - src <= 15; - when "10001001" => - dest <= 75; - src <= 84; - when "10001010" => - dest <= 15; - src <= 46; - when "10001011" => - dest <= 19; - src <= 93; - when "10001100" => - dest <= 15; - src <= 15; - when "10001101" => - dest <= 15; - src <= 37; - when "10001110" => - dest <= 7; - src <= 2; - when "10001111" => - dest <= 96; - src <= 55; - when "10010000" => - dest <= 15; - src <= 15; - when "10010001" => - dest <= 94; - src <= 11; - when "10010010" => - dest <= 36; - src <= 15; - when "10010011" => - dest <= 85; - src <= 23; - when "10010100" => - dest <= 18; - src <= 34; - when "10010101" => - dest <= 15; - src <= 15; - when "10010110" => - dest <= 6; - src <= 36; - when "10010111" => - dest <= 95; - src <= 94; - when "10011000" => - dest <= 17; - src <= 45; - when "10011001" => - dest <= 15; - src <= 12; - when "10011010" => - dest <= 15; - src <= 24; - when "10011011" => - dest <= 60; - src <= 35; - when "10011100" => - dest <= 59; - src <= 13; - when others => - dest <= 15; - src <= 15; - - end case; - end process; - - end generate NI_NUM15; - - NI_NUM16 : if NI_NUM = 16 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 22; - src <= 16; - when "00000001" => - dest <= 36; - src <= 16; - when "00000010" => - dest <= 16; - src <= 16; - when "00000011" => - dest <= 6; - src <= 16; - when "00000100" => - dest <= 71; - src <= 16; - when "00000101" => - dest <= 62; - src <= 96; - when "00000110" => - dest <= 16; - src <= 26; - when "00000111" => - dest <= 52; - src <= 0; - when "00001000" => - dest <= 4; - src <= 16; - when "00001001" => - dest <= 16; - src <= 72; - when "00001010" => - dest <= 16; - src <= 61; - when "00001011" => - dest <= 26; - src <= 16; - when "00001100" => - dest <= 42; - src <= 16; - when "00001101" => - dest <= 51; - src <= 28; - when "00001110" => - dest <= 60; - src <= 6; - when "00001111" => - dest <= 46; - src <= 51; - when "00010000" => - dest <= 16; - src <= 60; - when "00010001" => - dest <= 16; - src <= 70; - when "00010010" => - dest <= 16; - src <= 16; - when "00010011" => - dest <= 2; - src <= 16; - when "00010100" => - dest <= 81; - src <= 86; - when "00010101" => - dest <= 16; - src <= 80; - when "00010110" => - dest <= 56; - src <= 16; - when "00010111" => - dest <= 5; - src <= 16; - when "00011000" => - dest <= 70; - src <= 71; - when "00011001" => - dest <= 63; - src <= 62; - when "00011010" => - dest <= 16; - src <= 20; - when "00011011" => - dest <= 53; - src <= 27; - when "00011100" => - dest <= 13; - src <= 76; - when "00011101" => - dest <= 16; - src <= 16; - when "00011110" => - dest <= 16; - src <= 41; - when "00011111" => - dest <= 43; - src <= 16; - when "00100000" => - dest <= 28; - src <= 16; - when "00100001" => - dest <= 72; - src <= 19; - when "00100010" => - dest <= 69; - src <= 52; - when "00100011" => - dest <= 41; - src <= 69; - when "00100100" => - dest <= 16; - src <= 79; - when "00100101" => - dest <= 27; - src <= 4; - when "00100110" => - dest <= 16; - src <= 16; - when "00100111" => - dest <= 32; - src <= 89; - when "00101000" => - dest <= 16; - src <= 16; - when "00101001" => - dest <= 16; - src <= 5; - when "00101010" => - dest <= 91; - src <= 16; - when "00101011" => - dest <= 57; - src <= 50; - when "00101100" => - dest <= 92; - src <= 63; - when "00101101" => - dest <= 15; - src <= 81; - when "00101110" => - dest <= 80; - src <= 16; - when "00101111" => - dest <= 16; - src <= 90; - when "00110000" => - dest <= 16; - src <= 17; - when "00110001" => - dest <= 79; - src <= 16; - when "00110010" => - dest <= 73; - src <= 75; - when "00110011" => - dest <= 16; - src <= 31; - when "00110100" => - dest <= 54; - src <= 30; - when "00110101" => - dest <= 14; - src <= 16; - when "00110110" => - dest <= 16; - src <= 16; - when "00110111" => - dest <= 16; - src <= 42; - when "00111000" => - dest <= 59; - src <= 16; - when "00111001" => - dest <= 82; - src <= 18; - when "00111010" => - dest <= 25; - src <= 53; - when "00111011" => - dest <= 68; - src <= 59; - when "00111100" => - dest <= 16; - src <= 78; - when "00111101" => - dest <= 40; - src <= 16; - when "00111110" => - dest <= 16; - src <= 7; - when "00111111" => - dest <= 31; - src <= 16; - when "01000000" => - dest <= 16; - src <= 16; - when "01000001" => - dest <= 12; - src <= 73; - when "01000010" => - dest <= 64; - src <= 40; - when "01000011" => - dest <= 16; - src <= 16; - when "01000100" => - dest <= 24; - src <= 64; - when "01000101" => - dest <= 16; - src <= 16; - when "01000110" => - dest <= 58; - src <= 82; - when "01000111" => - dest <= 1; - src <= 10; - when "01001000" => - dest <= 83; - src <= 91; - when "01001001" => - dest <= 16; - src <= 8; - when "01001010" => - dest <= 16; - src <= 16; - when "01001011" => - dest <= 16; - src <= 68; - when "01001100" => - dest <= 90; - src <= 16; - when "01001101" => - dest <= 67; - src <= 16; - when "01001110" => - dest <= 16; - src <= 74; - when "01001111" => - dest <= 33; - src <= 21; - when "01010000" => - dest <= 16; - src <= 49; - when "01010001" => - dest <= 44; - src <= 16; - when "01010010" => - dest <= 49; - src <= 16; - when "01010011" => - dest <= 89; - src <= 16; - when "01010100" => - dest <= 16; - src <= 32; - when "01010101" => - dest <= 16; - src <= 65; - when "01010110" => - dest <= 78; - src <= 99; - when "01010111" => - dest <= 16; - src <= 16; - when "01011000" => - dest <= 55; - src <= 88; - when "01011001" => - dest <= 17; - src <= 16; - when "01011010" => - dest <= 65; - src <= 83; - when "01011011" => - dest <= 16; - src <= 43; - when "01011100" => - dest <= 30; - src <= 15; - when "01011101" => - dest <= 45; - src <= 16; - when "01011110" => - dest <= 16; - src <= 54; - when "01011111" => - dest <= 74; - src <= 77; - when "01100000" => - dest <= 21; - src <= 16; - when "01100001" => - dest <= 16; - src <= 16; - when "01100010" => - dest <= 16; - src <= 67; - when "01100011" => - dest <= 34; - src <= 87; - when "01100100" => - dest <= 0; - src <= 92; - when "01100101" => - dest <= 93; - src <= 16; - when "01100110" => - dest <= 16; - src <= 16; - when "01100111" => - dest <= 99; - src <= 58; - when "01101000" => - dest <= 35; - src <= 1; - when "01101001" => - dest <= 88; - src <= 98; - when "01101010" => - dest <= 16; - src <= 16; - when "01101011" => - dest <= 23; - src <= 22; - when "01101100" => - dest <= 77; - src <= 39; - when "01101101" => - dest <= 16; - src <= 97; - when "01101110" => - dest <= 48; - src <= 33; - when "01101111" => - dest <= 16; - src <= 16; - when "01110000" => - dest <= 75; - src <= 44; - when "01110001" => - dest <= 39; - src <= 9; - when "01110010" => - dest <= 16; - src <= 16; - when "01110011" => - dest <= 16; - src <= 55; - when "01110100" => - dest <= 84; - src <= 16; - when "01110101" => - dest <= 20; - src <= 84; - when "01110110" => - dest <= 16; - src <= 16; - when "01110111" => - dest <= 66; - src <= 57; - when "01111000" => - dest <= 16; - src <= 93; - when "01111001" => - dest <= 11; - src <= 16; - when "01111010" => - dest <= 16; - src <= 16; - when "01111011" => - dest <= 16; - src <= 48; - when "01111100" => - dest <= 3; - src <= 2; - when "01111101" => - dest <= 9; - src <= 16; - when "01111110" => - dest <= 16; - src <= 66; - when "01111111" => - dest <= 98; - src <= 16; - when "10000000" => - dest <= 16; - src <= 11; - when "10000001" => - dest <= 87; - src <= 16; - when "10000010" => - dest <= 16; - src <= 29; - when "10000011" => - dest <= 47; - src <= 23; - when "10000100" => - dest <= 85; - src <= 16; - when "10000101" => - dest <= 38; - src <= 34; - when "10000110" => - dest <= 16; - src <= 16; - when "10000111" => - dest <= 94; - src <= 45; - when "10001000" => - dest <= 29; - src <= 16; - when "10001001" => - dest <= 76; - src <= 85; - when "10001010" => - dest <= 16; - src <= 47; - when "10001011" => - dest <= 10; - src <= 94; - when "10001100" => - dest <= 16; - src <= 16; - when "10001101" => - dest <= 16; - src <= 38; - when "10001110" => - dest <= 8; - src <= 3; - when "10001111" => - dest <= 97; - src <= 56; - when "10010000" => - dest <= 16; - src <= 16; - when "10010001" => - dest <= 95; - src <= 12; - when "10010010" => - dest <= 37; - src <= 16; - when "10010011" => - dest <= 86; - src <= 24; - when "10010100" => - dest <= 19; - src <= 35; - when "10010101" => - dest <= 16; - src <= 16; - when "10010110" => - dest <= 7; - src <= 37; - when "10010111" => - dest <= 96; - src <= 95; - when "10011000" => - dest <= 18; - src <= 46; - when "10011001" => - dest <= 16; - src <= 13; - when "10011010" => - dest <= 16; - src <= 25; - when "10011011" => - dest <= 61; - src <= 36; - when "10011100" => - dest <= 50; - src <= 14; - when others => - dest <= 16; - src <= 16; - - end case; - end process; - - end generate NI_NUM16; - - NI_NUM17 : if NI_NUM = 17 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 23; - src <= 17; - when "00000001" => - dest <= 37; - src <= 17; - when "00000010" => - dest <= 17; - src <= 17; - when "00000011" => - dest <= 7; - src <= 17; - when "00000100" => - dest <= 72; - src <= 17; - when "00000101" => - dest <= 63; - src <= 97; - when "00000110" => - dest <= 17; - src <= 27; - when "00000111" => - dest <= 53; - src <= 1; - when "00001000" => - dest <= 5; - src <= 17; - when "00001001" => - dest <= 17; - src <= 73; - when "00001010" => - dest <= 17; - src <= 62; - when "00001011" => - dest <= 27; - src <= 17; - when "00001100" => - dest <= 43; - src <= 17; - when "00001101" => - dest <= 52; - src <= 29; - when "00001110" => - dest <= 61; - src <= 7; - when "00001111" => - dest <= 47; - src <= 52; - when "00010000" => - dest <= 17; - src <= 61; - when "00010001" => - dest <= 17; - src <= 71; - when "00010010" => - dest <= 17; - src <= 17; - when "00010011" => - dest <= 3; - src <= 17; - when "00010100" => - dest <= 82; - src <= 87; - when "00010101" => - dest <= 17; - src <= 81; - when "00010110" => - dest <= 57; - src <= 17; - when "00010111" => - dest <= 6; - src <= 17; - when "00011000" => - dest <= 71; - src <= 72; - when "00011001" => - dest <= 64; - src <= 63; - when "00011010" => - dest <= 17; - src <= 21; - when "00011011" => - dest <= 54; - src <= 28; - when "00011100" => - dest <= 14; - src <= 77; - when "00011101" => - dest <= 17; - src <= 17; - when "00011110" => - dest <= 17; - src <= 42; - when "00011111" => - dest <= 44; - src <= 17; - when "00100000" => - dest <= 29; - src <= 17; - when "00100001" => - dest <= 73; - src <= 10; - when "00100010" => - dest <= 60; - src <= 53; - when "00100011" => - dest <= 42; - src <= 60; - when "00100100" => - dest <= 17; - src <= 70; - when "00100101" => - dest <= 28; - src <= 5; - when "00100110" => - dest <= 17; - src <= 17; - when "00100111" => - dest <= 33; - src <= 80; - when "00101000" => - dest <= 17; - src <= 17; - when "00101001" => - dest <= 17; - src <= 6; - when "00101010" => - dest <= 92; - src <= 17; - when "00101011" => - dest <= 58; - src <= 51; - when "00101100" => - dest <= 93; - src <= 64; - when "00101101" => - dest <= 16; - src <= 82; - when "00101110" => - dest <= 81; - src <= 17; - when "00101111" => - dest <= 17; - src <= 91; - when "00110000" => - dest <= 17; - src <= 18; - when "00110001" => - dest <= 70; - src <= 17; - when "00110010" => - dest <= 74; - src <= 76; - when "00110011" => - dest <= 17; - src <= 32; - when "00110100" => - dest <= 55; - src <= 31; - when "00110101" => - dest <= 15; - src <= 17; - when "00110110" => - dest <= 17; - src <= 17; - when "00110111" => - dest <= 17; - src <= 43; - when "00111000" => - dest <= 50; - src <= 17; - when "00111001" => - dest <= 83; - src <= 19; - when "00111010" => - dest <= 26; - src <= 54; - when "00111011" => - dest <= 69; - src <= 50; - when "00111100" => - dest <= 17; - src <= 79; - when "00111101" => - dest <= 41; - src <= 17; - when "00111110" => - dest <= 17; - src <= 8; - when "00111111" => - dest <= 32; - src <= 17; - when "01000000" => - dest <= 17; - src <= 17; - when "01000001" => - dest <= 13; - src <= 74; - when "01000010" => - dest <= 65; - src <= 41; - when "01000011" => - dest <= 17; - src <= 17; - when "01000100" => - dest <= 25; - src <= 65; - when "01000101" => - dest <= 17; - src <= 17; - when "01000110" => - dest <= 59; - src <= 83; - when "01000111" => - dest <= 2; - src <= 11; - when "01001000" => - dest <= 84; - src <= 92; - when "01001001" => - dest <= 17; - src <= 9; - when "01001010" => - dest <= 17; - src <= 17; - when "01001011" => - dest <= 17; - src <= 69; - when "01001100" => - dest <= 91; - src <= 17; - when "01001101" => - dest <= 68; - src <= 17; - when "01001110" => - dest <= 17; - src <= 75; - when "01001111" => - dest <= 34; - src <= 22; - when "01010000" => - dest <= 17; - src <= 40; - when "01010001" => - dest <= 45; - src <= 17; - when "01010010" => - dest <= 40; - src <= 17; - when "01010011" => - dest <= 80; - src <= 17; - when "01010100" => - dest <= 17; - src <= 33; - when "01010101" => - dest <= 17; - src <= 66; - when "01010110" => - dest <= 79; - src <= 90; - when "01010111" => - dest <= 17; - src <= 17; - when "01011000" => - dest <= 56; - src <= 89; - when "01011001" => - dest <= 18; - src <= 17; - when "01011010" => - dest <= 66; - src <= 84; - when "01011011" => - dest <= 17; - src <= 44; - when "01011100" => - dest <= 31; - src <= 16; - when "01011101" => - dest <= 46; - src <= 17; - when "01011110" => - dest <= 17; - src <= 55; - when "01011111" => - dest <= 75; - src <= 78; - when "01100000" => - dest <= 22; - src <= 17; - when "01100001" => - dest <= 17; - src <= 17; - when "01100010" => - dest <= 17; - src <= 68; - when "01100011" => - dest <= 35; - src <= 88; - when "01100100" => - dest <= 1; - src <= 93; - when "01100101" => - dest <= 94; - src <= 17; - when "01100110" => - dest <= 17; - src <= 17; - when "01100111" => - dest <= 90; - src <= 59; - when "01101000" => - dest <= 36; - src <= 2; - when "01101001" => - dest <= 89; - src <= 99; - when "01101010" => - dest <= 17; - src <= 17; - when "01101011" => - dest <= 24; - src <= 23; - when "01101100" => - dest <= 78; - src <= 30; - when "01101101" => - dest <= 17; - src <= 98; - when "01101110" => - dest <= 49; - src <= 34; - when "01101111" => - dest <= 17; - src <= 17; - when "01110000" => - dest <= 76; - src <= 45; - when "01110001" => - dest <= 30; - src <= 0; - when "01110010" => - dest <= 17; - src <= 17; - when "01110011" => - dest <= 17; - src <= 56; - when "01110100" => - dest <= 85; - src <= 17; - when "01110101" => - dest <= 21; - src <= 85; - when "01110110" => - dest <= 17; - src <= 17; - when "01110111" => - dest <= 67; - src <= 58; - when "01111000" => - dest <= 17; - src <= 94; - when "01111001" => - dest <= 12; - src <= 17; - when "01111010" => - dest <= 17; - src <= 17; - when "01111011" => - dest <= 17; - src <= 49; - when "01111100" => - dest <= 4; - src <= 3; - when "01111101" => - dest <= 0; - src <= 17; - when "01111110" => - dest <= 17; - src <= 67; - when "01111111" => - dest <= 99; - src <= 17; - when "10000000" => - dest <= 17; - src <= 12; - when "10000001" => - dest <= 88; - src <= 17; - when "10000010" => - dest <= 17; - src <= 20; - when "10000011" => - dest <= 48; - src <= 24; - when "10000100" => - dest <= 86; - src <= 17; - when "10000101" => - dest <= 39; - src <= 35; - when "10000110" => - dest <= 17; - src <= 17; - when "10000111" => - dest <= 95; - src <= 46; - when "10001000" => - dest <= 20; - src <= 17; - when "10001001" => - dest <= 77; - src <= 86; - when "10001010" => - dest <= 17; - src <= 48; - when "10001011" => - dest <= 11; - src <= 95; - when "10001100" => - dest <= 17; - src <= 17; - when "10001101" => - dest <= 17; - src <= 39; - when "10001110" => - dest <= 9; - src <= 4; - when "10001111" => - dest <= 98; - src <= 57; - when "10010000" => - dest <= 17; - src <= 17; - when "10010001" => - dest <= 96; - src <= 13; - when "10010010" => - dest <= 38; - src <= 17; - when "10010011" => - dest <= 87; - src <= 25; - when "10010100" => - dest <= 10; - src <= 36; - when "10010101" => - dest <= 17; - src <= 17; - when "10010110" => - dest <= 8; - src <= 38; - when "10010111" => - dest <= 97; - src <= 96; - when "10011000" => - dest <= 19; - src <= 47; - when "10011001" => - dest <= 17; - src <= 14; - when "10011010" => - dest <= 17; - src <= 26; - when "10011011" => - dest <= 62; - src <= 37; - when "10011100" => - dest <= 51; - src <= 15; - when others => - dest <= 17; - src <= 17; - - end case; - end process; - - end generate NI_NUM17; - - NI_NUM18 : if NI_NUM = 18 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 24; - src <= 18; - when "00000001" => - dest <= 38; - src <= 18; - when "00000010" => - dest <= 18; - src <= 18; - when "00000011" => - dest <= 8; - src <= 18; - when "00000100" => - dest <= 73; - src <= 18; - when "00000101" => - dest <= 64; - src <= 98; - when "00000110" => - dest <= 18; - src <= 28; - when "00000111" => - dest <= 54; - src <= 2; - when "00001000" => - dest <= 6; - src <= 18; - when "00001001" => - dest <= 18; - src <= 74; - when "00001010" => - dest <= 18; - src <= 63; - when "00001011" => - dest <= 28; - src <= 18; - when "00001100" => - dest <= 44; - src <= 18; - when "00001101" => - dest <= 53; - src <= 20; - when "00001110" => - dest <= 62; - src <= 8; - when "00001111" => - dest <= 48; - src <= 53; - when "00010000" => - dest <= 18; - src <= 62; - when "00010001" => - dest <= 18; - src <= 72; - when "00010010" => - dest <= 18; - src <= 18; - when "00010011" => - dest <= 4; - src <= 18; - when "00010100" => - dest <= 83; - src <= 88; - when "00010101" => - dest <= 18; - src <= 82; - when "00010110" => - dest <= 58; - src <= 18; - when "00010111" => - dest <= 7; - src <= 18; - when "00011000" => - dest <= 72; - src <= 73; - when "00011001" => - dest <= 65; - src <= 64; - when "00011010" => - dest <= 18; - src <= 22; - when "00011011" => - dest <= 55; - src <= 29; - when "00011100" => - dest <= 15; - src <= 78; - when "00011101" => - dest <= 18; - src <= 18; - when "00011110" => - dest <= 18; - src <= 43; - when "00011111" => - dest <= 45; - src <= 18; - when "00100000" => - dest <= 20; - src <= 18; - when "00100001" => - dest <= 74; - src <= 11; - when "00100010" => - dest <= 61; - src <= 54; - when "00100011" => - dest <= 43; - src <= 61; - when "00100100" => - dest <= 18; - src <= 71; - when "00100101" => - dest <= 29; - src <= 6; - when "00100110" => - dest <= 18; - src <= 18; - when "00100111" => - dest <= 34; - src <= 81; - when "00101000" => - dest <= 18; - src <= 18; - when "00101001" => - dest <= 18; - src <= 7; - when "00101010" => - dest <= 93; - src <= 18; - when "00101011" => - dest <= 59; - src <= 52; - when "00101100" => - dest <= 94; - src <= 65; - when "00101101" => - dest <= 17; - src <= 83; - when "00101110" => - dest <= 82; - src <= 18; - when "00101111" => - dest <= 18; - src <= 92; - when "00110000" => - dest <= 18; - src <= 19; - when "00110001" => - dest <= 71; - src <= 18; - when "00110010" => - dest <= 75; - src <= 77; - when "00110011" => - dest <= 18; - src <= 33; - when "00110100" => - dest <= 56; - src <= 32; - when "00110101" => - dest <= 16; - src <= 18; - when "00110110" => - dest <= 18; - src <= 18; - when "00110111" => - dest <= 18; - src <= 44; - when "00111000" => - dest <= 51; - src <= 18; - when "00111001" => - dest <= 84; - src <= 10; - when "00111010" => - dest <= 27; - src <= 55; - when "00111011" => - dest <= 60; - src <= 51; - when "00111100" => - dest <= 18; - src <= 70; - when "00111101" => - dest <= 42; - src <= 18; - when "00111110" => - dest <= 18; - src <= 9; - when "00111111" => - dest <= 33; - src <= 18; - when "01000000" => - dest <= 18; - src <= 18; - when "01000001" => - dest <= 14; - src <= 75; - when "01000010" => - dest <= 66; - src <= 42; - when "01000011" => - dest <= 18; - src <= 18; - when "01000100" => - dest <= 26; - src <= 66; - when "01000101" => - dest <= 18; - src <= 18; - when "01000110" => - dest <= 50; - src <= 84; - when "01000111" => - dest <= 3; - src <= 12; - when "01001000" => - dest <= 85; - src <= 93; - when "01001001" => - dest <= 18; - src <= 0; - when "01001010" => - dest <= 18; - src <= 18; - when "01001011" => - dest <= 18; - src <= 60; - when "01001100" => - dest <= 92; - src <= 18; - when "01001101" => - dest <= 69; - src <= 18; - when "01001110" => - dest <= 18; - src <= 76; - when "01001111" => - dest <= 35; - src <= 23; - when "01010000" => - dest <= 18; - src <= 41; - when "01010001" => - dest <= 46; - src <= 18; - when "01010010" => - dest <= 41; - src <= 18; - when "01010011" => - dest <= 81; - src <= 18; - when "01010100" => - dest <= 18; - src <= 34; - when "01010101" => - dest <= 18; - src <= 67; - when "01010110" => - dest <= 70; - src <= 91; - when "01010111" => - dest <= 18; - src <= 18; - when "01011000" => - dest <= 57; - src <= 80; - when "01011001" => - dest <= 19; - src <= 18; - when "01011010" => - dest <= 67; - src <= 85; - when "01011011" => - dest <= 18; - src <= 45; - when "01011100" => - dest <= 32; - src <= 17; - when "01011101" => - dest <= 47; - src <= 18; - when "01011110" => - dest <= 18; - src <= 56; - when "01011111" => - dest <= 76; - src <= 79; - when "01100000" => - dest <= 23; - src <= 18; - when "01100001" => - dest <= 18; - src <= 18; - when "01100010" => - dest <= 18; - src <= 69; - when "01100011" => - dest <= 36; - src <= 89; - when "01100100" => - dest <= 2; - src <= 94; - when "01100101" => - dest <= 95; - src <= 18; - when "01100110" => - dest <= 18; - src <= 18; - when "01100111" => - dest <= 91; - src <= 50; - when "01101000" => - dest <= 37; - src <= 3; - when "01101001" => - dest <= 80; - src <= 90; - when "01101010" => - dest <= 18; - src <= 18; - when "01101011" => - dest <= 25; - src <= 24; - when "01101100" => - dest <= 79; - src <= 31; - when "01101101" => - dest <= 18; - src <= 99; - when "01101110" => - dest <= 40; - src <= 35; - when "01101111" => - dest <= 18; - src <= 18; - when "01110000" => - dest <= 77; - src <= 46; - when "01110001" => - dest <= 31; - src <= 1; - when "01110010" => - dest <= 18; - src <= 18; - when "01110011" => - dest <= 18; - src <= 57; - when "01110100" => - dest <= 86; - src <= 18; - when "01110101" => - dest <= 22; - src <= 86; - when "01110110" => - dest <= 18; - src <= 18; - when "01110111" => - dest <= 68; - src <= 59; - when "01111000" => - dest <= 18; - src <= 95; - when "01111001" => - dest <= 13; - src <= 18; - when "01111010" => - dest <= 18; - src <= 18; - when "01111011" => - dest <= 18; - src <= 40; - when "01111100" => - dest <= 5; - src <= 4; - when "01111101" => - dest <= 1; - src <= 18; - when "01111110" => - dest <= 18; - src <= 68; - when "01111111" => - dest <= 90; - src <= 18; - when "10000000" => - dest <= 18; - src <= 13; - when "10000001" => - dest <= 89; - src <= 18; - when "10000010" => - dest <= 18; - src <= 21; - when "10000011" => - dest <= 49; - src <= 25; - when "10000100" => - dest <= 87; - src <= 18; - when "10000101" => - dest <= 30; - src <= 36; - when "10000110" => - dest <= 18; - src <= 18; - when "10000111" => - dest <= 96; - src <= 47; - when "10001000" => - dest <= 21; - src <= 18; - when "10001001" => - dest <= 78; - src <= 87; - when "10001010" => - dest <= 18; - src <= 49; - when "10001011" => - dest <= 12; - src <= 96; - when "10001100" => - dest <= 18; - src <= 18; - when "10001101" => - dest <= 18; - src <= 30; - when "10001110" => - dest <= 0; - src <= 5; - when "10001111" => - dest <= 99; - src <= 58; - when "10010000" => - dest <= 18; - src <= 18; - when "10010001" => - dest <= 97; - src <= 14; - when "10010010" => - dest <= 39; - src <= 18; - when "10010011" => - dest <= 88; - src <= 26; - when "10010100" => - dest <= 11; - src <= 37; - when "10010101" => - dest <= 18; - src <= 18; - when "10010110" => - dest <= 9; - src <= 39; - when "10010111" => - dest <= 98; - src <= 97; - when "10011000" => - dest <= 10; - src <= 48; - when "10011001" => - dest <= 18; - src <= 15; - when "10011010" => - dest <= 18; - src <= 27; - when "10011011" => - dest <= 63; - src <= 38; - when "10011100" => - dest <= 52; - src <= 16; - when others => - dest <= 18; - src <= 18; - - end case; - end process; - - end generate NI_NUM18; - - NI_NUM19 : if NI_NUM = 19 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 25; - src <= 19; - when "00000001" => - dest <= 39; - src <= 19; - when "00000010" => - dest <= 19; - src <= 19; - when "00000011" => - dest <= 9; - src <= 19; - when "00000100" => - dest <= 74; - src <= 19; - when "00000101" => - dest <= 65; - src <= 99; - when "00000110" => - dest <= 19; - src <= 29; - when "00000111" => - dest <= 55; - src <= 3; - when "00001000" => - dest <= 7; - src <= 19; - when "00001001" => - dest <= 19; - src <= 75; - when "00001010" => - dest <= 19; - src <= 64; - when "00001011" => - dest <= 29; - src <= 19; - when "00001100" => - dest <= 45; - src <= 19; - when "00001101" => - dest <= 54; - src <= 21; - when "00001110" => - dest <= 63; - src <= 9; - when "00001111" => - dest <= 49; - src <= 54; - when "00010000" => - dest <= 19; - src <= 63; - when "00010001" => - dest <= 19; - src <= 73; - when "00010010" => - dest <= 19; - src <= 19; - when "00010011" => - dest <= 5; - src <= 19; - when "00010100" => - dest <= 84; - src <= 89; - when "00010101" => - dest <= 19; - src <= 83; - when "00010110" => - dest <= 59; - src <= 19; - when "00010111" => - dest <= 8; - src <= 19; - when "00011000" => - dest <= 73; - src <= 74; - when "00011001" => - dest <= 66; - src <= 65; - when "00011010" => - dest <= 19; - src <= 23; - when "00011011" => - dest <= 56; - src <= 20; - when "00011100" => - dest <= 16; - src <= 79; - when "00011101" => - dest <= 19; - src <= 19; - when "00011110" => - dest <= 19; - src <= 44; - when "00011111" => - dest <= 46; - src <= 19; - when "00100000" => - dest <= 21; - src <= 19; - when "00100001" => - dest <= 75; - src <= 12; - when "00100010" => - dest <= 62; - src <= 55; - when "00100011" => - dest <= 44; - src <= 62; - when "00100100" => - dest <= 19; - src <= 72; - when "00100101" => - dest <= 20; - src <= 7; - when "00100110" => - dest <= 19; - src <= 19; - when "00100111" => - dest <= 35; - src <= 82; - when "00101000" => - dest <= 19; - src <= 19; - when "00101001" => - dest <= 19; - src <= 8; - when "00101010" => - dest <= 94; - src <= 19; - when "00101011" => - dest <= 50; - src <= 53; - when "00101100" => - dest <= 95; - src <= 66; - when "00101101" => - dest <= 18; - src <= 84; - when "00101110" => - dest <= 83; - src <= 19; - when "00101111" => - dest <= 19; - src <= 93; - when "00110000" => - dest <= 19; - src <= 10; - when "00110001" => - dest <= 72; - src <= 19; - when "00110010" => - dest <= 76; - src <= 78; - when "00110011" => - dest <= 19; - src <= 34; - when "00110100" => - dest <= 57; - src <= 33; - when "00110101" => - dest <= 17; - src <= 19; - when "00110110" => - dest <= 19; - src <= 19; - when "00110111" => - dest <= 19; - src <= 45; - when "00111000" => - dest <= 52; - src <= 19; - when "00111001" => - dest <= 85; - src <= 11; - when "00111010" => - dest <= 28; - src <= 56; - when "00111011" => - dest <= 61; - src <= 52; - when "00111100" => - dest <= 19; - src <= 71; - when "00111101" => - dest <= 43; - src <= 19; - when "00111110" => - dest <= 19; - src <= 0; - when "00111111" => - dest <= 34; - src <= 19; - when "01000000" => - dest <= 19; - src <= 19; - when "01000001" => - dest <= 15; - src <= 76; - when "01000010" => - dest <= 67; - src <= 43; - when "01000011" => - dest <= 19; - src <= 19; - when "01000100" => - dest <= 27; - src <= 67; - when "01000101" => - dest <= 19; - src <= 19; - when "01000110" => - dest <= 51; - src <= 85; - when "01000111" => - dest <= 4; - src <= 13; - when "01001000" => - dest <= 86; - src <= 94; - when "01001001" => - dest <= 19; - src <= 1; - when "01001010" => - dest <= 19; - src <= 19; - when "01001011" => - dest <= 19; - src <= 61; - when "01001100" => - dest <= 93; - src <= 19; - when "01001101" => - dest <= 60; - src <= 19; - when "01001110" => - dest <= 19; - src <= 77; - when "01001111" => - dest <= 36; - src <= 24; - when "01010000" => - dest <= 19; - src <= 42; - when "01010001" => - dest <= 47; - src <= 19; - when "01010010" => - dest <= 42; - src <= 19; - when "01010011" => - dest <= 82; - src <= 19; - when "01010100" => - dest <= 19; - src <= 35; - when "01010101" => - dest <= 19; - src <= 68; - when "01010110" => - dest <= 71; - src <= 92; - when "01010111" => - dest <= 19; - src <= 19; - when "01011000" => - dest <= 58; - src <= 81; - when "01011001" => - dest <= 10; - src <= 19; - when "01011010" => - dest <= 68; - src <= 86; - when "01011011" => - dest <= 19; - src <= 46; - when "01011100" => - dest <= 33; - src <= 18; - when "01011101" => - dest <= 48; - src <= 19; - when "01011110" => - dest <= 19; - src <= 57; - when "01011111" => - dest <= 77; - src <= 70; - when "01100000" => - dest <= 24; - src <= 19; - when "01100001" => - dest <= 19; - src <= 19; - when "01100010" => - dest <= 19; - src <= 60; - when "01100011" => - dest <= 37; - src <= 80; - when "01100100" => - dest <= 3; - src <= 95; - when "01100101" => - dest <= 96; - src <= 19; - when "01100110" => - dest <= 19; - src <= 19; - when "01100111" => - dest <= 92; - src <= 51; - when "01101000" => - dest <= 38; - src <= 4; - when "01101001" => - dest <= 81; - src <= 91; - when "01101010" => - dest <= 19; - src <= 19; - when "01101011" => - dest <= 26; - src <= 25; - when "01101100" => - dest <= 70; - src <= 32; - when "01101101" => - dest <= 19; - src <= 90; - when "01101110" => - dest <= 41; - src <= 36; - when "01101111" => - dest <= 19; - src <= 19; - when "01110000" => - dest <= 78; - src <= 47; - when "01110001" => - dest <= 32; - src <= 2; - when "01110010" => - dest <= 19; - src <= 19; - when "01110011" => - dest <= 19; - src <= 58; - when "01110100" => - dest <= 87; - src <= 19; - when "01110101" => - dest <= 23; - src <= 87; - when "01110110" => - dest <= 19; - src <= 19; - when "01110111" => - dest <= 69; - src <= 50; - when "01111000" => - dest <= 19; - src <= 96; - when "01111001" => - dest <= 14; - src <= 19; - when "01111010" => - dest <= 19; - src <= 19; - when "01111011" => - dest <= 19; - src <= 41; - when "01111100" => - dest <= 6; - src <= 5; - when "01111101" => - dest <= 2; - src <= 19; - when "01111110" => - dest <= 19; - src <= 69; - when "01111111" => - dest <= 91; - src <= 19; - when "10000000" => - dest <= 19; - src <= 14; - when "10000001" => - dest <= 80; - src <= 19; - when "10000010" => - dest <= 19; - src <= 22; - when "10000011" => - dest <= 40; - src <= 26; - when "10000100" => - dest <= 88; - src <= 19; - when "10000101" => - dest <= 31; - src <= 37; - when "10000110" => - dest <= 19; - src <= 19; - when "10000111" => - dest <= 97; - src <= 48; - when "10001000" => - dest <= 22; - src <= 19; - when "10001001" => - dest <= 79; - src <= 88; - when "10001010" => - dest <= 19; - src <= 40; - when "10001011" => - dest <= 13; - src <= 97; - when "10001100" => - dest <= 19; - src <= 19; - when "10001101" => - dest <= 19; - src <= 31; - when "10001110" => - dest <= 1; - src <= 6; - when "10001111" => - dest <= 90; - src <= 59; - when "10010000" => - dest <= 19; - src <= 19; - when "10010001" => - dest <= 98; - src <= 15; - when "10010010" => - dest <= 30; - src <= 19; - when "10010011" => - dest <= 89; - src <= 27; - when "10010100" => - dest <= 12; - src <= 38; - when "10010101" => - dest <= 19; - src <= 19; - when "10010110" => - dest <= 0; - src <= 30; - when "10010111" => - dest <= 99; - src <= 98; - when "10011000" => - dest <= 11; - src <= 49; - when "10011001" => - dest <= 19; - src <= 16; - when "10011010" => - dest <= 19; - src <= 28; - when "10011011" => - dest <= 64; - src <= 39; - when "10011100" => - dest <= 53; - src <= 17; - when others => - dest <= 19; - src <= 19; - - end case; - end process; - - end generate NI_NUM19; - - NI_NUM20 : if NI_NUM = 20 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 36; - src <= 20; - when "00000001" => - dest <= 40; - src <= 20; - when "00000010" => - dest <= 20; - src <= 20; - when "00000011" => - dest <= 10; - src <= 20; - when "00000100" => - dest <= 85; - src <= 20; - when "00000101" => - dest <= 76; - src <= 0; - when "00000110" => - dest <= 20; - src <= 30; - when "00000111" => - dest <= 66; - src <= 14; - when "00001000" => - dest <= 18; - src <= 20; - when "00001001" => - dest <= 20; - src <= 86; - when "00001010" => - dest <= 20; - src <= 75; - when "00001011" => - dest <= 30; - src <= 20; - when "00001100" => - dest <= 56; - src <= 20; - when "00001101" => - dest <= 65; - src <= 32; - when "00001110" => - dest <= 74; - src <= 10; - when "00001111" => - dest <= 50; - src <= 65; - when "00010000" => - dest <= 20; - src <= 74; - when "00010001" => - dest <= 20; - src <= 84; - when "00010010" => - dest <= 20; - src <= 20; - when "00010011" => - dest <= 16; - src <= 20; - when "00010100" => - dest <= 95; - src <= 90; - when "00010101" => - dest <= 20; - src <= 94; - when "00010110" => - dest <= 60; - src <= 20; - when "00010111" => - dest <= 19; - src <= 20; - when "00011000" => - dest <= 84; - src <= 85; - when "00011001" => - dest <= 77; - src <= 76; - when "00011010" => - dest <= 20; - src <= 34; - when "00011011" => - dest <= 67; - src <= 31; - when "00011100" => - dest <= 27; - src <= 80; - when "00011101" => - dest <= 20; - src <= 20; - when "00011110" => - dest <= 20; - src <= 55; - when "00011111" => - dest <= 57; - src <= 20; - when "00100000" => - dest <= 32; - src <= 20; - when "00100001" => - dest <= 86; - src <= 23; - when "00100010" => - dest <= 73; - src <= 66; - when "00100011" => - dest <= 55; - src <= 73; - when "00100100" => - dest <= 20; - src <= 83; - when "00100101" => - dest <= 31; - src <= 18; - when "00100110" => - dest <= 20; - src <= 20; - when "00100111" => - dest <= 46; - src <= 93; - when "00101000" => - dest <= 20; - src <= 20; - when "00101001" => - dest <= 20; - src <= 19; - when "00101010" => - dest <= 5; - src <= 20; - when "00101011" => - dest <= 61; - src <= 64; - when "00101100" => - dest <= 6; - src <= 77; - when "00101101" => - dest <= 29; - src <= 95; - when "00101110" => - dest <= 94; - src <= 20; - when "00101111" => - dest <= 20; - src <= 4; - when "00110000" => - dest <= 20; - src <= 21; - when "00110001" => - dest <= 83; - src <= 20; - when "00110010" => - dest <= 87; - src <= 89; - when "00110011" => - dest <= 20; - src <= 45; - when "00110100" => - dest <= 68; - src <= 44; - when "00110101" => - dest <= 28; - src <= 20; - when "00110110" => - dest <= 20; - src <= 20; - when "00110111" => - dest <= 20; - src <= 56; - when "00111000" => - dest <= 63; - src <= 20; - when "00111001" => - dest <= 96; - src <= 22; - when "00111010" => - dest <= 39; - src <= 67; - when "00111011" => - dest <= 72; - src <= 63; - when "00111100" => - dest <= 20; - src <= 82; - when "00111101" => - dest <= 54; - src <= 20; - when "00111110" => - dest <= 20; - src <= 11; - when "00111111" => - dest <= 45; - src <= 20; - when "01000000" => - dest <= 20; - src <= 20; - when "01000001" => - dest <= 26; - src <= 87; - when "01000010" => - dest <= 78; - src <= 54; - when "01000011" => - dest <= 20; - src <= 20; - when "01000100" => - dest <= 38; - src <= 78; - when "01000101" => - dest <= 20; - src <= 20; - when "01000110" => - dest <= 62; - src <= 96; - when "01000111" => - dest <= 15; - src <= 24; - when "01001000" => - dest <= 97; - src <= 5; - when "01001001" => - dest <= 20; - src <= 12; - when "01001010" => - dest <= 20; - src <= 20; - when "01001011" => - dest <= 20; - src <= 72; - when "01001100" => - dest <= 4; - src <= 20; - when "01001101" => - dest <= 71; - src <= 20; - when "01001110" => - dest <= 20; - src <= 88; - when "01001111" => - dest <= 47; - src <= 35; - when "01010000" => - dest <= 20; - src <= 53; - when "01010001" => - dest <= 58; - src <= 20; - when "01010010" => - dest <= 53; - src <= 20; - when "01010011" => - dest <= 93; - src <= 20; - when "01010100" => - dest <= 20; - src <= 46; - when "01010101" => - dest <= 20; - src <= 79; - when "01010110" => - dest <= 82; - src <= 3; - when "01010111" => - dest <= 20; - src <= 20; - when "01011000" => - dest <= 69; - src <= 92; - when "01011001" => - dest <= 21; - src <= 20; - when "01011010" => - dest <= 79; - src <= 97; - when "01011011" => - dest <= 20; - src <= 57; - when "01011100" => - dest <= 44; - src <= 29; - when "01011101" => - dest <= 59; - src <= 20; - when "01011110" => - dest <= 20; - src <= 68; - when "01011111" => - dest <= 88; - src <= 81; - when "01100000" => - dest <= 35; - src <= 20; - when "01100001" => - dest <= 20; - src <= 20; - when "01100010" => - dest <= 20; - src <= 71; - when "01100011" => - dest <= 48; - src <= 91; - when "01100100" => - dest <= 14; - src <= 6; - when "01100101" => - dest <= 7; - src <= 20; - when "01100110" => - dest <= 20; - src <= 20; - when "01100111" => - dest <= 3; - src <= 62; - when "01101000" => - dest <= 49; - src <= 15; - when "01101001" => - dest <= 92; - src <= 2; - when "01101010" => - dest <= 20; - src <= 20; - when "01101011" => - dest <= 37; - src <= 36; - when "01101100" => - dest <= 81; - src <= 43; - when "01101101" => - dest <= 20; - src <= 1; - when "01101110" => - dest <= 52; - src <= 47; - when "01101111" => - dest <= 20; - src <= 20; - when "01110000" => - dest <= 89; - src <= 58; - when "01110001" => - dest <= 43; - src <= 13; - when "01110010" => - dest <= 20; - src <= 20; - when "01110011" => - dest <= 20; - src <= 69; - when "01110100" => - dest <= 98; - src <= 20; - when "01110101" => - dest <= 34; - src <= 98; - when "01110110" => - dest <= 20; - src <= 20; - when "01110111" => - dest <= 70; - src <= 61; - when "01111000" => - dest <= 20; - src <= 7; - when "01111001" => - dest <= 25; - src <= 20; - when "01111010" => - dest <= 20; - src <= 20; - when "01111011" => - dest <= 20; - src <= 52; - when "01111100" => - dest <= 17; - src <= 16; - when "01111101" => - dest <= 13; - src <= 20; - when "01111110" => - dest <= 20; - src <= 70; - when "01111111" => - dest <= 2; - src <= 20; - when "10000000" => - dest <= 20; - src <= 25; - when "10000001" => - dest <= 91; - src <= 20; - when "10000010" => - dest <= 20; - src <= 33; - when "10000011" => - dest <= 51; - src <= 37; - when "10000100" => - dest <= 99; - src <= 20; - when "10000101" => - dest <= 42; - src <= 48; - when "10000110" => - dest <= 20; - src <= 20; - when "10000111" => - dest <= 8; - src <= 59; - when "10001000" => - dest <= 33; - src <= 20; - when "10001001" => - dest <= 80; - src <= 99; - when "10001010" => - dest <= 20; - src <= 51; - when "10001011" => - dest <= 24; - src <= 8; - when "10001100" => - dest <= 20; - src <= 20; - when "10001101" => - dest <= 20; - src <= 42; - when "10001110" => - dest <= 12; - src <= 17; - when "10001111" => - dest <= 1; - src <= 60; - when "10010000" => - dest <= 20; - src <= 20; - when "10010001" => - dest <= 9; - src <= 26; - when "10010010" => - dest <= 41; - src <= 20; - when "10010011" => - dest <= 90; - src <= 38; - when "10010100" => - dest <= 23; - src <= 49; - when "10010101" => - dest <= 20; - src <= 20; - when "10010110" => - dest <= 11; - src <= 41; - when "10010111" => - dest <= 0; - src <= 9; - when "10011000" => - dest <= 22; - src <= 50; - when "10011001" => - dest <= 20; - src <= 27; - when "10011010" => - dest <= 20; - src <= 39; - when "10011011" => - dest <= 75; - src <= 40; - when "10011100" => - dest <= 64; - src <= 28; - when others => - dest <= 20; - src <= 20; - - end case; - end process; - - end generate NI_NUM20; - - NI_NUM21 : if NI_NUM = 21 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 37; - src <= 21; - when "00000001" => - dest <= 41; - src <= 21; - when "00000010" => - dest <= 21; - src <= 21; - when "00000011" => - dest <= 11; - src <= 21; - when "00000100" => - dest <= 86; - src <= 21; - when "00000101" => - dest <= 77; - src <= 1; - when "00000110" => - dest <= 21; - src <= 31; - when "00000111" => - dest <= 67; - src <= 15; - when "00001000" => - dest <= 19; - src <= 21; - when "00001001" => - dest <= 21; - src <= 87; - when "00001010" => - dest <= 21; - src <= 76; - when "00001011" => - dest <= 31; - src <= 21; - when "00001100" => - dest <= 57; - src <= 21; - when "00001101" => - dest <= 66; - src <= 33; - when "00001110" => - dest <= 75; - src <= 11; - when "00001111" => - dest <= 51; - src <= 66; - when "00010000" => - dest <= 21; - src <= 75; - when "00010001" => - dest <= 21; - src <= 85; - when "00010010" => - dest <= 21; - src <= 21; - when "00010011" => - dest <= 17; - src <= 21; - when "00010100" => - dest <= 96; - src <= 91; - when "00010101" => - dest <= 21; - src <= 95; - when "00010110" => - dest <= 61; - src <= 21; - when "00010111" => - dest <= 10; - src <= 21; - when "00011000" => - dest <= 85; - src <= 86; - when "00011001" => - dest <= 78; - src <= 77; - when "00011010" => - dest <= 21; - src <= 35; - when "00011011" => - dest <= 68; - src <= 32; - when "00011100" => - dest <= 28; - src <= 81; - when "00011101" => - dest <= 21; - src <= 21; - when "00011110" => - dest <= 21; - src <= 56; - when "00011111" => - dest <= 58; - src <= 21; - when "00100000" => - dest <= 33; - src <= 21; - when "00100001" => - dest <= 87; - src <= 24; - when "00100010" => - dest <= 74; - src <= 67; - when "00100011" => - dest <= 56; - src <= 74; - when "00100100" => - dest <= 21; - src <= 84; - when "00100101" => - dest <= 32; - src <= 19; - when "00100110" => - dest <= 21; - src <= 21; - when "00100111" => - dest <= 47; - src <= 94; - when "00101000" => - dest <= 21; - src <= 21; - when "00101001" => - dest <= 21; - src <= 10; - when "00101010" => - dest <= 6; - src <= 21; - when "00101011" => - dest <= 62; - src <= 65; - when "00101100" => - dest <= 7; - src <= 78; - when "00101101" => - dest <= 20; - src <= 96; - when "00101110" => - dest <= 95; - src <= 21; - when "00101111" => - dest <= 21; - src <= 5; - when "00110000" => - dest <= 21; - src <= 22; - when "00110001" => - dest <= 84; - src <= 21; - when "00110010" => - dest <= 88; - src <= 80; - when "00110011" => - dest <= 21; - src <= 46; - when "00110100" => - dest <= 69; - src <= 45; - when "00110101" => - dest <= 29; - src <= 21; - when "00110110" => - dest <= 21; - src <= 21; - when "00110111" => - dest <= 21; - src <= 57; - when "00111000" => - dest <= 64; - src <= 21; - when "00111001" => - dest <= 97; - src <= 23; - when "00111010" => - dest <= 30; - src <= 68; - when "00111011" => - dest <= 73; - src <= 64; - when "00111100" => - dest <= 21; - src <= 83; - when "00111101" => - dest <= 55; - src <= 21; - when "00111110" => - dest <= 21; - src <= 12; - when "00111111" => - dest <= 46; - src <= 21; - when "01000000" => - dest <= 21; - src <= 21; - when "01000001" => - dest <= 27; - src <= 88; - when "01000010" => - dest <= 79; - src <= 55; - when "01000011" => - dest <= 21; - src <= 21; - when "01000100" => - dest <= 39; - src <= 79; - when "01000101" => - dest <= 21; - src <= 21; - when "01000110" => - dest <= 63; - src <= 97; - when "01000111" => - dest <= 16; - src <= 25; - when "01001000" => - dest <= 98; - src <= 6; - when "01001001" => - dest <= 21; - src <= 13; - when "01001010" => - dest <= 21; - src <= 21; - when "01001011" => - dest <= 21; - src <= 73; - when "01001100" => - dest <= 5; - src <= 21; - when "01001101" => - dest <= 72; - src <= 21; - when "01001110" => - dest <= 21; - src <= 89; - when "01001111" => - dest <= 48; - src <= 36; - when "01010000" => - dest <= 21; - src <= 54; - when "01010001" => - dest <= 59; - src <= 21; - when "01010010" => - dest <= 54; - src <= 21; - when "01010011" => - dest <= 94; - src <= 21; - when "01010100" => - dest <= 21; - src <= 47; - when "01010101" => - dest <= 21; - src <= 70; - when "01010110" => - dest <= 83; - src <= 4; - when "01010111" => - dest <= 21; - src <= 21; - when "01011000" => - dest <= 60; - src <= 93; - when "01011001" => - dest <= 22; - src <= 21; - when "01011010" => - dest <= 70; - src <= 98; - when "01011011" => - dest <= 21; - src <= 58; - when "01011100" => - dest <= 45; - src <= 20; - when "01011101" => - dest <= 50; - src <= 21; - when "01011110" => - dest <= 21; - src <= 69; - when "01011111" => - dest <= 89; - src <= 82; - when "01100000" => - dest <= 36; - src <= 21; - when "01100001" => - dest <= 21; - src <= 21; - when "01100010" => - dest <= 21; - src <= 72; - when "01100011" => - dest <= 49; - src <= 92; - when "01100100" => - dest <= 15; - src <= 7; - when "01100101" => - dest <= 8; - src <= 21; - when "01100110" => - dest <= 21; - src <= 21; - when "01100111" => - dest <= 4; - src <= 63; - when "01101000" => - dest <= 40; - src <= 16; - when "01101001" => - dest <= 93; - src <= 3; - when "01101010" => - dest <= 21; - src <= 21; - when "01101011" => - dest <= 38; - src <= 37; - when "01101100" => - dest <= 82; - src <= 44; - when "01101101" => - dest <= 21; - src <= 2; - when "01101110" => - dest <= 53; - src <= 48; - when "01101111" => - dest <= 21; - src <= 21; - when "01110000" => - dest <= 80; - src <= 59; - when "01110001" => - dest <= 44; - src <= 14; - when "01110010" => - dest <= 21; - src <= 21; - when "01110011" => - dest <= 21; - src <= 60; - when "01110100" => - dest <= 99; - src <= 21; - when "01110101" => - dest <= 35; - src <= 99; - when "01110110" => - dest <= 21; - src <= 21; - when "01110111" => - dest <= 71; - src <= 62; - when "01111000" => - dest <= 21; - src <= 8; - when "01111001" => - dest <= 26; - src <= 21; - when "01111010" => - dest <= 21; - src <= 21; - when "01111011" => - dest <= 21; - src <= 53; - when "01111100" => - dest <= 18; - src <= 17; - when "01111101" => - dest <= 14; - src <= 21; - when "01111110" => - dest <= 21; - src <= 71; - when "01111111" => - dest <= 3; - src <= 21; - when "10000000" => - dest <= 21; - src <= 26; - when "10000001" => - dest <= 92; - src <= 21; - when "10000010" => - dest <= 21; - src <= 34; - when "10000011" => - dest <= 52; - src <= 38; - when "10000100" => - dest <= 90; - src <= 21; - when "10000101" => - dest <= 43; - src <= 49; - when "10000110" => - dest <= 21; - src <= 21; - when "10000111" => - dest <= 9; - src <= 50; - when "10001000" => - dest <= 34; - src <= 21; - when "10001001" => - dest <= 81; - src <= 90; - when "10001010" => - dest <= 21; - src <= 52; - when "10001011" => - dest <= 25; - src <= 9; - when "10001100" => - dest <= 21; - src <= 21; - when "10001101" => - dest <= 21; - src <= 43; - when "10001110" => - dest <= 13; - src <= 18; - when "10001111" => - dest <= 2; - src <= 61; - when "10010000" => - dest <= 21; - src <= 21; - when "10010001" => - dest <= 0; - src <= 27; - when "10010010" => - dest <= 42; - src <= 21; - when "10010011" => - dest <= 91; - src <= 39; - when "10010100" => - dest <= 24; - src <= 40; - when "10010101" => - dest <= 21; - src <= 21; - when "10010110" => - dest <= 12; - src <= 42; - when "10010111" => - dest <= 1; - src <= 0; - when "10011000" => - dest <= 23; - src <= 51; - when "10011001" => - dest <= 21; - src <= 28; - when "10011010" => - dest <= 21; - src <= 30; - when "10011011" => - dest <= 76; - src <= 41; - when "10011100" => - dest <= 65; - src <= 29; - when others => - dest <= 21; - src <= 21; - - end case; - end process; - - end generate NI_NUM21; - - NI_NUM22 : if NI_NUM = 22 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 38; - src <= 22; - when "00000001" => - dest <= 42; - src <= 22; - when "00000010" => - dest <= 22; - src <= 22; - when "00000011" => - dest <= 12; - src <= 22; - when "00000100" => - dest <= 87; - src <= 22; - when "00000101" => - dest <= 78; - src <= 2; - when "00000110" => - dest <= 22; - src <= 32; - when "00000111" => - dest <= 68; - src <= 16; - when "00001000" => - dest <= 10; - src <= 22; - when "00001001" => - dest <= 22; - src <= 88; - when "00001010" => - dest <= 22; - src <= 77; - when "00001011" => - dest <= 32; - src <= 22; - when "00001100" => - dest <= 58; - src <= 22; - when "00001101" => - dest <= 67; - src <= 34; - when "00001110" => - dest <= 76; - src <= 12; - when "00001111" => - dest <= 52; - src <= 67; - when "00010000" => - dest <= 22; - src <= 76; - when "00010001" => - dest <= 22; - src <= 86; - when "00010010" => - dest <= 22; - src <= 22; - when "00010011" => - dest <= 18; - src <= 22; - when "00010100" => - dest <= 97; - src <= 92; - when "00010101" => - dest <= 22; - src <= 96; - when "00010110" => - dest <= 62; - src <= 22; - when "00010111" => - dest <= 11; - src <= 22; - when "00011000" => - dest <= 86; - src <= 87; - when "00011001" => - dest <= 79; - src <= 78; - when "00011010" => - dest <= 22; - src <= 36; - when "00011011" => - dest <= 69; - src <= 33; - when "00011100" => - dest <= 29; - src <= 82; - when "00011101" => - dest <= 22; - src <= 22; - when "00011110" => - dest <= 22; - src <= 57; - when "00011111" => - dest <= 59; - src <= 22; - when "00100000" => - dest <= 34; - src <= 22; - when "00100001" => - dest <= 88; - src <= 25; - when "00100010" => - dest <= 75; - src <= 68; - when "00100011" => - dest <= 57; - src <= 75; - when "00100100" => - dest <= 22; - src <= 85; - when "00100101" => - dest <= 33; - src <= 10; - when "00100110" => - dest <= 22; - src <= 22; - when "00100111" => - dest <= 48; - src <= 95; - when "00101000" => - dest <= 22; - src <= 22; - when "00101001" => - dest <= 22; - src <= 11; - when "00101010" => - dest <= 7; - src <= 22; - when "00101011" => - dest <= 63; - src <= 66; - when "00101100" => - dest <= 8; - src <= 79; - when "00101101" => - dest <= 21; - src <= 97; - when "00101110" => - dest <= 96; - src <= 22; - when "00101111" => - dest <= 22; - src <= 6; - when "00110000" => - dest <= 22; - src <= 23; - when "00110001" => - dest <= 85; - src <= 22; - when "00110010" => - dest <= 89; - src <= 81; - when "00110011" => - dest <= 22; - src <= 47; - when "00110100" => - dest <= 60; - src <= 46; - when "00110101" => - dest <= 20; - src <= 22; - when "00110110" => - dest <= 22; - src <= 22; - when "00110111" => - dest <= 22; - src <= 58; - when "00111000" => - dest <= 65; - src <= 22; - when "00111001" => - dest <= 98; - src <= 24; - when "00111010" => - dest <= 31; - src <= 69; - when "00111011" => - dest <= 74; - src <= 65; - when "00111100" => - dest <= 22; - src <= 84; - when "00111101" => - dest <= 56; - src <= 22; - when "00111110" => - dest <= 22; - src <= 13; - when "00111111" => - dest <= 47; - src <= 22; - when "01000000" => - dest <= 22; - src <= 22; - when "01000001" => - dest <= 28; - src <= 89; - when "01000010" => - dest <= 70; - src <= 56; - when "01000011" => - dest <= 22; - src <= 22; - when "01000100" => - dest <= 30; - src <= 70; - when "01000101" => - dest <= 22; - src <= 22; - when "01000110" => - dest <= 64; - src <= 98; - when "01000111" => - dest <= 17; - src <= 26; - when "01001000" => - dest <= 99; - src <= 7; - when "01001001" => - dest <= 22; - src <= 14; - when "01001010" => - dest <= 22; - src <= 22; - when "01001011" => - dest <= 22; - src <= 74; - when "01001100" => - dest <= 6; - src <= 22; - when "01001101" => - dest <= 73; - src <= 22; - when "01001110" => - dest <= 22; - src <= 80; - when "01001111" => - dest <= 49; - src <= 37; - when "01010000" => - dest <= 22; - src <= 55; - when "01010001" => - dest <= 50; - src <= 22; - when "01010010" => - dest <= 55; - src <= 22; - when "01010011" => - dest <= 95; - src <= 22; - when "01010100" => - dest <= 22; - src <= 48; - when "01010101" => - dest <= 22; - src <= 71; - when "01010110" => - dest <= 84; - src <= 5; - when "01010111" => - dest <= 22; - src <= 22; - when "01011000" => - dest <= 61; - src <= 94; - when "01011001" => - dest <= 23; - src <= 22; - when "01011010" => - dest <= 71; - src <= 99; - when "01011011" => - dest <= 22; - src <= 59; - when "01011100" => - dest <= 46; - src <= 21; - when "01011101" => - dest <= 51; - src <= 22; - when "01011110" => - dest <= 22; - src <= 60; - when "01011111" => - dest <= 80; - src <= 83; - when "01100000" => - dest <= 37; - src <= 22; - when "01100001" => - dest <= 22; - src <= 22; - when "01100010" => - dest <= 22; - src <= 73; - when "01100011" => - dest <= 40; - src <= 93; - when "01100100" => - dest <= 16; - src <= 8; - when "01100101" => - dest <= 9; - src <= 22; - when "01100110" => - dest <= 22; - src <= 22; - when "01100111" => - dest <= 5; - src <= 64; - when "01101000" => - dest <= 41; - src <= 17; - when "01101001" => - dest <= 94; - src <= 4; - when "01101010" => - dest <= 22; - src <= 22; - when "01101011" => - dest <= 39; - src <= 38; - when "01101100" => - dest <= 83; - src <= 45; - when "01101101" => - dest <= 22; - src <= 3; - when "01101110" => - dest <= 54; - src <= 49; - when "01101111" => - dest <= 22; - src <= 22; - when "01110000" => - dest <= 81; - src <= 50; - when "01110001" => - dest <= 45; - src <= 15; - when "01110010" => - dest <= 22; - src <= 22; - when "01110011" => - dest <= 22; - src <= 61; - when "01110100" => - dest <= 90; - src <= 22; - when "01110101" => - dest <= 36; - src <= 90; - when "01110110" => - dest <= 22; - src <= 22; - when "01110111" => - dest <= 72; - src <= 63; - when "01111000" => - dest <= 22; - src <= 9; - when "01111001" => - dest <= 27; - src <= 22; - when "01111010" => - dest <= 22; - src <= 22; - when "01111011" => - dest <= 22; - src <= 54; - when "01111100" => - dest <= 19; - src <= 18; - when "01111101" => - dest <= 15; - src <= 22; - when "01111110" => - dest <= 22; - src <= 72; - when "01111111" => - dest <= 4; - src <= 22; - when "10000000" => - dest <= 22; - src <= 27; - when "10000001" => - dest <= 93; - src <= 22; - when "10000010" => - dest <= 22; - src <= 35; - when "10000011" => - dest <= 53; - src <= 39; - when "10000100" => - dest <= 91; - src <= 22; - when "10000101" => - dest <= 44; - src <= 40; - when "10000110" => - dest <= 22; - src <= 22; - when "10000111" => - dest <= 0; - src <= 51; - when "10001000" => - dest <= 35; - src <= 22; - when "10001001" => - dest <= 82; - src <= 91; - when "10001010" => - dest <= 22; - src <= 53; - when "10001011" => - dest <= 26; - src <= 0; - when "10001100" => - dest <= 22; - src <= 22; - when "10001101" => - dest <= 22; - src <= 44; - when "10001110" => - dest <= 14; - src <= 19; - when "10001111" => - dest <= 3; - src <= 62; - when "10010000" => - dest <= 22; - src <= 22; - when "10010001" => - dest <= 1; - src <= 28; - when "10010010" => - dest <= 43; - src <= 22; - when "10010011" => - dest <= 92; - src <= 30; - when "10010100" => - dest <= 25; - src <= 41; - when "10010101" => - dest <= 22; - src <= 22; - when "10010110" => - dest <= 13; - src <= 43; - when "10010111" => - dest <= 2; - src <= 1; - when "10011000" => - dest <= 24; - src <= 52; - when "10011001" => - dest <= 22; - src <= 29; - when "10011010" => - dest <= 22; - src <= 31; - when "10011011" => - dest <= 77; - src <= 42; - when "10011100" => - dest <= 66; - src <= 20; - when others => - dest <= 22; - src <= 22; - - end case; - end process; - - end generate NI_NUM22; - - NI_NUM23 : if NI_NUM = 23 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 39; - src <= 23; - when "00000001" => - dest <= 43; - src <= 23; - when "00000010" => - dest <= 23; - src <= 23; - when "00000011" => - dest <= 13; - src <= 23; - when "00000100" => - dest <= 88; - src <= 23; - when "00000101" => - dest <= 79; - src <= 3; - when "00000110" => - dest <= 23; - src <= 33; - when "00000111" => - dest <= 69; - src <= 17; - when "00001000" => - dest <= 11; - src <= 23; - when "00001001" => - dest <= 23; - src <= 89; - when "00001010" => - dest <= 23; - src <= 78; - when "00001011" => - dest <= 33; - src <= 23; - when "00001100" => - dest <= 59; - src <= 23; - when "00001101" => - dest <= 68; - src <= 35; - when "00001110" => - dest <= 77; - src <= 13; - when "00001111" => - dest <= 53; - src <= 68; - when "00010000" => - dest <= 23; - src <= 77; - when "00010001" => - dest <= 23; - src <= 87; - when "00010010" => - dest <= 23; - src <= 23; - when "00010011" => - dest <= 19; - src <= 23; - when "00010100" => - dest <= 98; - src <= 93; - when "00010101" => - dest <= 23; - src <= 97; - when "00010110" => - dest <= 63; - src <= 23; - when "00010111" => - dest <= 12; - src <= 23; - when "00011000" => - dest <= 87; - src <= 88; - when "00011001" => - dest <= 70; - src <= 79; - when "00011010" => - dest <= 23; - src <= 37; - when "00011011" => - dest <= 60; - src <= 34; - when "00011100" => - dest <= 20; - src <= 83; - when "00011101" => - dest <= 23; - src <= 23; - when "00011110" => - dest <= 23; - src <= 58; - when "00011111" => - dest <= 50; - src <= 23; - when "00100000" => - dest <= 35; - src <= 23; - when "00100001" => - dest <= 89; - src <= 26; - when "00100010" => - dest <= 76; - src <= 69; - when "00100011" => - dest <= 58; - src <= 76; - when "00100100" => - dest <= 23; - src <= 86; - when "00100101" => - dest <= 34; - src <= 11; - when "00100110" => - dest <= 23; - src <= 23; - when "00100111" => - dest <= 49; - src <= 96; - when "00101000" => - dest <= 23; - src <= 23; - when "00101001" => - dest <= 23; - src <= 12; - when "00101010" => - dest <= 8; - src <= 23; - when "00101011" => - dest <= 64; - src <= 67; - when "00101100" => - dest <= 9; - src <= 70; - when "00101101" => - dest <= 22; - src <= 98; - when "00101110" => - dest <= 97; - src <= 23; - when "00101111" => - dest <= 23; - src <= 7; - when "00110000" => - dest <= 23; - src <= 24; - when "00110001" => - dest <= 86; - src <= 23; - when "00110010" => - dest <= 80; - src <= 82; - when "00110011" => - dest <= 23; - src <= 48; - when "00110100" => - dest <= 61; - src <= 47; - when "00110101" => - dest <= 21; - src <= 23; - when "00110110" => - dest <= 23; - src <= 23; - when "00110111" => - dest <= 23; - src <= 59; - when "00111000" => - dest <= 66; - src <= 23; - when "00111001" => - dest <= 99; - src <= 25; - when "00111010" => - dest <= 32; - src <= 60; - when "00111011" => - dest <= 75; - src <= 66; - when "00111100" => - dest <= 23; - src <= 85; - when "00111101" => - dest <= 57; - src <= 23; - when "00111110" => - dest <= 23; - src <= 14; - when "00111111" => - dest <= 48; - src <= 23; - when "01000000" => - dest <= 23; - src <= 23; - when "01000001" => - dest <= 29; - src <= 80; - when "01000010" => - dest <= 71; - src <= 57; - when "01000011" => - dest <= 23; - src <= 23; - when "01000100" => - dest <= 31; - src <= 71; - when "01000101" => - dest <= 23; - src <= 23; - when "01000110" => - dest <= 65; - src <= 99; - when "01000111" => - dest <= 18; - src <= 27; - when "01001000" => - dest <= 90; - src <= 8; - when "01001001" => - dest <= 23; - src <= 15; - when "01001010" => - dest <= 23; - src <= 23; - when "01001011" => - dest <= 23; - src <= 75; - when "01001100" => - dest <= 7; - src <= 23; - when "01001101" => - dest <= 74; - src <= 23; - when "01001110" => - dest <= 23; - src <= 81; - when "01001111" => - dest <= 40; - src <= 38; - when "01010000" => - dest <= 23; - src <= 56; - when "01010001" => - dest <= 51; - src <= 23; - when "01010010" => - dest <= 56; - src <= 23; - when "01010011" => - dest <= 96; - src <= 23; - when "01010100" => - dest <= 23; - src <= 49; - when "01010101" => - dest <= 23; - src <= 72; - when "01010110" => - dest <= 85; - src <= 6; - when "01010111" => - dest <= 23; - src <= 23; - when "01011000" => - dest <= 62; - src <= 95; - when "01011001" => - dest <= 24; - src <= 23; - when "01011010" => - dest <= 72; - src <= 90; - when "01011011" => - dest <= 23; - src <= 50; - when "01011100" => - dest <= 47; - src <= 22; - when "01011101" => - dest <= 52; - src <= 23; - when "01011110" => - dest <= 23; - src <= 61; - when "01011111" => - dest <= 81; - src <= 84; - when "01100000" => - dest <= 38; - src <= 23; - when "01100001" => - dest <= 23; - src <= 23; - when "01100010" => - dest <= 23; - src <= 74; - when "01100011" => - dest <= 41; - src <= 94; - when "01100100" => - dest <= 17; - src <= 9; - when "01100101" => - dest <= 0; - src <= 23; - when "01100110" => - dest <= 23; - src <= 23; - when "01100111" => - dest <= 6; - src <= 65; - when "01101000" => - dest <= 42; - src <= 18; - when "01101001" => - dest <= 95; - src <= 5; - when "01101010" => - dest <= 23; - src <= 23; - when "01101011" => - dest <= 30; - src <= 39; - when "01101100" => - dest <= 84; - src <= 46; - when "01101101" => - dest <= 23; - src <= 4; - when "01101110" => - dest <= 55; - src <= 40; - when "01101111" => - dest <= 23; - src <= 23; - when "01110000" => - dest <= 82; - src <= 51; - when "01110001" => - dest <= 46; - src <= 16; - when "01110010" => - dest <= 23; - src <= 23; - when "01110011" => - dest <= 23; - src <= 62; - when "01110100" => - dest <= 91; - src <= 23; - when "01110101" => - dest <= 37; - src <= 91; - when "01110110" => - dest <= 23; - src <= 23; - when "01110111" => - dest <= 73; - src <= 64; - when "01111000" => - dest <= 23; - src <= 0; - when "01111001" => - dest <= 28; - src <= 23; - when "01111010" => - dest <= 23; - src <= 23; - when "01111011" => - dest <= 23; - src <= 55; - when "01111100" => - dest <= 10; - src <= 19; - when "01111101" => - dest <= 16; - src <= 23; - when "01111110" => - dest <= 23; - src <= 73; - when "01111111" => - dest <= 5; - src <= 23; - when "10000000" => - dest <= 23; - src <= 28; - when "10000001" => - dest <= 94; - src <= 23; - when "10000010" => - dest <= 23; - src <= 36; - when "10000011" => - dest <= 54; - src <= 30; - when "10000100" => - dest <= 92; - src <= 23; - when "10000101" => - dest <= 45; - src <= 41; - when "10000110" => - dest <= 23; - src <= 23; - when "10000111" => - dest <= 1; - src <= 52; - when "10001000" => - dest <= 36; - src <= 23; - when "10001001" => - dest <= 83; - src <= 92; - when "10001010" => - dest <= 23; - src <= 54; - when "10001011" => - dest <= 27; - src <= 1; - when "10001100" => - dest <= 23; - src <= 23; - when "10001101" => - dest <= 23; - src <= 45; - when "10001110" => - dest <= 15; - src <= 10; - when "10001111" => - dest <= 4; - src <= 63; - when "10010000" => - dest <= 23; - src <= 23; - when "10010001" => - dest <= 2; - src <= 29; - when "10010010" => - dest <= 44; - src <= 23; - when "10010011" => - dest <= 93; - src <= 31; - when "10010100" => - dest <= 26; - src <= 42; - when "10010101" => - dest <= 23; - src <= 23; - when "10010110" => - dest <= 14; - src <= 44; - when "10010111" => - dest <= 3; - src <= 2; - when "10011000" => - dest <= 25; - src <= 53; - when "10011001" => - dest <= 23; - src <= 20; - when "10011010" => - dest <= 23; - src <= 32; - when "10011011" => - dest <= 78; - src <= 43; - when "10011100" => - dest <= 67; - src <= 21; - when others => - dest <= 23; - src <= 23; - - end case; - end process; - - end generate NI_NUM23; - - NI_NUM24 : if NI_NUM = 24 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 30; - src <= 24; - when "00000001" => - dest <= 44; - src <= 24; - when "00000010" => - dest <= 24; - src <= 24; - when "00000011" => - dest <= 14; - src <= 24; - when "00000100" => - dest <= 89; - src <= 24; - when "00000101" => - dest <= 70; - src <= 4; - when "00000110" => - dest <= 24; - src <= 34; - when "00000111" => - dest <= 60; - src <= 18; - when "00001000" => - dest <= 12; - src <= 24; - when "00001001" => - dest <= 24; - src <= 80; - when "00001010" => - dest <= 24; - src <= 79; - when "00001011" => - dest <= 34; - src <= 24; - when "00001100" => - dest <= 50; - src <= 24; - when "00001101" => - dest <= 69; - src <= 36; - when "00001110" => - dest <= 78; - src <= 14; - when "00001111" => - dest <= 54; - src <= 69; - when "00010000" => - dest <= 24; - src <= 78; - when "00010001" => - dest <= 24; - src <= 88; - when "00010010" => - dest <= 24; - src <= 24; - when "00010011" => - dest <= 10; - src <= 24; - when "00010100" => - dest <= 99; - src <= 94; - when "00010101" => - dest <= 24; - src <= 98; - when "00010110" => - dest <= 64; - src <= 24; - when "00010111" => - dest <= 13; - src <= 24; - when "00011000" => - dest <= 88; - src <= 89; - when "00011001" => - dest <= 71; - src <= 70; - when "00011010" => - dest <= 24; - src <= 38; - when "00011011" => - dest <= 61; - src <= 35; - when "00011100" => - dest <= 21; - src <= 84; - when "00011101" => - dest <= 24; - src <= 24; - when "00011110" => - dest <= 24; - src <= 59; - when "00011111" => - dest <= 51; - src <= 24; - when "00100000" => - dest <= 36; - src <= 24; - when "00100001" => - dest <= 80; - src <= 27; - when "00100010" => - dest <= 77; - src <= 60; - when "00100011" => - dest <= 59; - src <= 77; - when "00100100" => - dest <= 24; - src <= 87; - when "00100101" => - dest <= 35; - src <= 12; - when "00100110" => - dest <= 24; - src <= 24; - when "00100111" => - dest <= 40; - src <= 97; - when "00101000" => - dest <= 24; - src <= 24; - when "00101001" => - dest <= 24; - src <= 13; - when "00101010" => - dest <= 9; - src <= 24; - when "00101011" => - dest <= 65; - src <= 68; - when "00101100" => - dest <= 0; - src <= 71; - when "00101101" => - dest <= 23; - src <= 99; - when "00101110" => - dest <= 98; - src <= 24; - when "00101111" => - dest <= 24; - src <= 8; - when "00110000" => - dest <= 24; - src <= 25; - when "00110001" => - dest <= 87; - src <= 24; - when "00110010" => - dest <= 81; - src <= 83; - when "00110011" => - dest <= 24; - src <= 49; - when "00110100" => - dest <= 62; - src <= 48; - when "00110101" => - dest <= 22; - src <= 24; - when "00110110" => - dest <= 24; - src <= 24; - when "00110111" => - dest <= 24; - src <= 50; - when "00111000" => - dest <= 67; - src <= 24; - when "00111001" => - dest <= 90; - src <= 26; - when "00111010" => - dest <= 33; - src <= 61; - when "00111011" => - dest <= 76; - src <= 67; - when "00111100" => - dest <= 24; - src <= 86; - when "00111101" => - dest <= 58; - src <= 24; - when "00111110" => - dest <= 24; - src <= 15; - when "00111111" => - dest <= 49; - src <= 24; - when "01000000" => - dest <= 24; - src <= 24; - when "01000001" => - dest <= 20; - src <= 81; - when "01000010" => - dest <= 72; - src <= 58; - when "01000011" => - dest <= 24; - src <= 24; - when "01000100" => - dest <= 32; - src <= 72; - when "01000101" => - dest <= 24; - src <= 24; - when "01000110" => - dest <= 66; - src <= 90; - when "01000111" => - dest <= 19; - src <= 28; - when "01001000" => - dest <= 91; - src <= 9; - when "01001001" => - dest <= 24; - src <= 16; - when "01001010" => - dest <= 24; - src <= 24; - when "01001011" => - dest <= 24; - src <= 76; - when "01001100" => - dest <= 8; - src <= 24; - when "01001101" => - dest <= 75; - src <= 24; - when "01001110" => - dest <= 24; - src <= 82; - when "01001111" => - dest <= 41; - src <= 39; - when "01010000" => - dest <= 24; - src <= 57; - when "01010001" => - dest <= 52; - src <= 24; - when "01010010" => - dest <= 57; - src <= 24; - when "01010011" => - dest <= 97; - src <= 24; - when "01010100" => - dest <= 24; - src <= 40; - when "01010101" => - dest <= 24; - src <= 73; - when "01010110" => - dest <= 86; - src <= 7; - when "01010111" => - dest <= 24; - src <= 24; - when "01011000" => - dest <= 63; - src <= 96; - when "01011001" => - dest <= 25; - src <= 24; - when "01011010" => - dest <= 73; - src <= 91; - when "01011011" => - dest <= 24; - src <= 51; - when "01011100" => - dest <= 48; - src <= 23; - when "01011101" => - dest <= 53; - src <= 24; - when "01011110" => - dest <= 24; - src <= 62; - when "01011111" => - dest <= 82; - src <= 85; - when "01100000" => - dest <= 39; - src <= 24; - when "01100001" => - dest <= 24; - src <= 24; - when "01100010" => - dest <= 24; - src <= 75; - when "01100011" => - dest <= 42; - src <= 95; - when "01100100" => - dest <= 18; - src <= 0; - when "01100101" => - dest <= 1; - src <= 24; - when "01100110" => - dest <= 24; - src <= 24; - when "01100111" => - dest <= 7; - src <= 66; - when "01101000" => - dest <= 43; - src <= 19; - when "01101001" => - dest <= 96; - src <= 6; - when "01101010" => - dest <= 24; - src <= 24; - when "01101011" => - dest <= 31; - src <= 30; - when "01101100" => - dest <= 85; - src <= 47; - when "01101101" => - dest <= 24; - src <= 5; - when "01101110" => - dest <= 56; - src <= 41; - when "01101111" => - dest <= 24; - src <= 24; - when "01110000" => - dest <= 83; - src <= 52; - when "01110001" => - dest <= 47; - src <= 17; - when "01110010" => - dest <= 24; - src <= 24; - when "01110011" => - dest <= 24; - src <= 63; - when "01110100" => - dest <= 92; - src <= 24; - when "01110101" => - dest <= 38; - src <= 92; - when "01110110" => - dest <= 24; - src <= 24; - when "01110111" => - dest <= 74; - src <= 65; - when "01111000" => - dest <= 24; - src <= 1; - when "01111001" => - dest <= 29; - src <= 24; - when "01111010" => - dest <= 24; - src <= 24; - when "01111011" => - dest <= 24; - src <= 56; - when "01111100" => - dest <= 11; - src <= 10; - when "01111101" => - dest <= 17; - src <= 24; - when "01111110" => - dest <= 24; - src <= 74; - when "01111111" => - dest <= 6; - src <= 24; - when "10000000" => - dest <= 24; - src <= 29; - when "10000001" => - dest <= 95; - src <= 24; - when "10000010" => - dest <= 24; - src <= 37; - when "10000011" => - dest <= 55; - src <= 31; - when "10000100" => - dest <= 93; - src <= 24; - when "10000101" => - dest <= 46; - src <= 42; - when "10000110" => - dest <= 24; - src <= 24; - when "10000111" => - dest <= 2; - src <= 53; - when "10001000" => - dest <= 37; - src <= 24; - when "10001001" => - dest <= 84; - src <= 93; - when "10001010" => - dest <= 24; - src <= 55; - when "10001011" => - dest <= 28; - src <= 2; - when "10001100" => - dest <= 24; - src <= 24; - when "10001101" => - dest <= 24; - src <= 46; - when "10001110" => - dest <= 16; - src <= 11; - when "10001111" => - dest <= 5; - src <= 64; - when "10010000" => - dest <= 24; - src <= 24; - when "10010001" => - dest <= 3; - src <= 20; - when "10010010" => - dest <= 45; - src <= 24; - when "10010011" => - dest <= 94; - src <= 32; - when "10010100" => - dest <= 27; - src <= 43; - when "10010101" => - dest <= 24; - src <= 24; - when "10010110" => - dest <= 15; - src <= 45; - when "10010111" => - dest <= 4; - src <= 3; - when "10011000" => - dest <= 26; - src <= 54; - when "10011001" => - dest <= 24; - src <= 21; - when "10011010" => - dest <= 24; - src <= 33; - when "10011011" => - dest <= 79; - src <= 44; - when "10011100" => - dest <= 68; - src <= 22; - when others => - dest <= 24; - src <= 24; - - end case; - end process; - - end generate NI_NUM24; - - NI_NUM25 : if NI_NUM = 25 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 31; - src <= 25; - when "00000001" => - dest <= 45; - src <= 25; - when "00000010" => - dest <= 25; - src <= 25; - when "00000011" => - dest <= 15; - src <= 25; - when "00000100" => - dest <= 80; - src <= 25; - when "00000101" => - dest <= 71; - src <= 5; - when "00000110" => - dest <= 25; - src <= 35; - when "00000111" => - dest <= 61; - src <= 19; - when "00001000" => - dest <= 13; - src <= 25; - when "00001001" => - dest <= 25; - src <= 81; - when "00001010" => - dest <= 25; - src <= 70; - when "00001011" => - dest <= 35; - src <= 25; - when "00001100" => - dest <= 51; - src <= 25; - when "00001101" => - dest <= 60; - src <= 37; - when "00001110" => - dest <= 79; - src <= 15; - when "00001111" => - dest <= 55; - src <= 60; - when "00010000" => - dest <= 25; - src <= 79; - when "00010001" => - dest <= 25; - src <= 89; - when "00010010" => - dest <= 25; - src <= 25; - when "00010011" => - dest <= 11; - src <= 25; - when "00010100" => - dest <= 90; - src <= 95; - when "00010101" => - dest <= 25; - src <= 99; - when "00010110" => - dest <= 65; - src <= 25; - when "00010111" => - dest <= 14; - src <= 25; - when "00011000" => - dest <= 89; - src <= 80; - when "00011001" => - dest <= 72; - src <= 71; - when "00011010" => - dest <= 25; - src <= 39; - when "00011011" => - dest <= 62; - src <= 36; - when "00011100" => - dest <= 22; - src <= 85; - when "00011101" => - dest <= 25; - src <= 25; - when "00011110" => - dest <= 25; - src <= 50; - when "00011111" => - dest <= 52; - src <= 25; - when "00100000" => - dest <= 37; - src <= 25; - when "00100001" => - dest <= 81; - src <= 28; - when "00100010" => - dest <= 78; - src <= 61; - when "00100011" => - dest <= 50; - src <= 78; - when "00100100" => - dest <= 25; - src <= 88; - when "00100101" => - dest <= 36; - src <= 13; - when "00100110" => - dest <= 25; - src <= 25; - when "00100111" => - dest <= 41; - src <= 98; - when "00101000" => - dest <= 25; - src <= 25; - when "00101001" => - dest <= 25; - src <= 14; - when "00101010" => - dest <= 0; - src <= 25; - when "00101011" => - dest <= 66; - src <= 69; - when "00101100" => - dest <= 1; - src <= 72; - when "00101101" => - dest <= 24; - src <= 90; - when "00101110" => - dest <= 99; - src <= 25; - when "00101111" => - dest <= 25; - src <= 9; - when "00110000" => - dest <= 25; - src <= 26; - when "00110001" => - dest <= 88; - src <= 25; - when "00110010" => - dest <= 82; - src <= 84; - when "00110011" => - dest <= 25; - src <= 40; - when "00110100" => - dest <= 63; - src <= 49; - when "00110101" => - dest <= 23; - src <= 25; - when "00110110" => - dest <= 25; - src <= 25; - when "00110111" => - dest <= 25; - src <= 51; - when "00111000" => - dest <= 68; - src <= 25; - when "00111001" => - dest <= 91; - src <= 27; - when "00111010" => - dest <= 34; - src <= 62; - when "00111011" => - dest <= 77; - src <= 68; - when "00111100" => - dest <= 25; - src <= 87; - when "00111101" => - dest <= 59; - src <= 25; - when "00111110" => - dest <= 25; - src <= 16; - when "00111111" => - dest <= 40; - src <= 25; - when "01000000" => - dest <= 25; - src <= 25; - when "01000001" => - dest <= 21; - src <= 82; - when "01000010" => - dest <= 73; - src <= 59; - when "01000011" => - dest <= 25; - src <= 25; - when "01000100" => - dest <= 33; - src <= 73; - when "01000101" => - dest <= 25; - src <= 25; - when "01000110" => - dest <= 67; - src <= 91; - when "01000111" => - dest <= 10; - src <= 29; - when "01001000" => - dest <= 92; - src <= 0; - when "01001001" => - dest <= 25; - src <= 17; - when "01001010" => - dest <= 25; - src <= 25; - when "01001011" => - dest <= 25; - src <= 77; - when "01001100" => - dest <= 9; - src <= 25; - when "01001101" => - dest <= 76; - src <= 25; - when "01001110" => - dest <= 25; - src <= 83; - when "01001111" => - dest <= 42; - src <= 30; - when "01010000" => - dest <= 25; - src <= 58; - when "01010001" => - dest <= 53; - src <= 25; - when "01010010" => - dest <= 58; - src <= 25; - when "01010011" => - dest <= 98; - src <= 25; - when "01010100" => - dest <= 25; - src <= 41; - when "01010101" => - dest <= 25; - src <= 74; - when "01010110" => - dest <= 87; - src <= 8; - when "01010111" => - dest <= 25; - src <= 25; - when "01011000" => - dest <= 64; - src <= 97; - when "01011001" => - dest <= 26; - src <= 25; - when "01011010" => - dest <= 74; - src <= 92; - when "01011011" => - dest <= 25; - src <= 52; - when "01011100" => - dest <= 49; - src <= 24; - when "01011101" => - dest <= 54; - src <= 25; - when "01011110" => - dest <= 25; - src <= 63; - when "01011111" => - dest <= 83; - src <= 86; - when "01100000" => - dest <= 30; - src <= 25; - when "01100001" => - dest <= 25; - src <= 25; - when "01100010" => - dest <= 25; - src <= 76; - when "01100011" => - dest <= 43; - src <= 96; - when "01100100" => - dest <= 19; - src <= 1; - when "01100101" => - dest <= 2; - src <= 25; - when "01100110" => - dest <= 25; - src <= 25; - when "01100111" => - dest <= 8; - src <= 67; - when "01101000" => - dest <= 44; - src <= 10; - when "01101001" => - dest <= 97; - src <= 7; - when "01101010" => - dest <= 25; - src <= 25; - when "01101011" => - dest <= 32; - src <= 31; - when "01101100" => - dest <= 86; - src <= 48; - when "01101101" => - dest <= 25; - src <= 6; - when "01101110" => - dest <= 57; - src <= 42; - when "01101111" => - dest <= 25; - src <= 25; - when "01110000" => - dest <= 84; - src <= 53; - when "01110001" => - dest <= 48; - src <= 18; - when "01110010" => - dest <= 25; - src <= 25; - when "01110011" => - dest <= 25; - src <= 64; - when "01110100" => - dest <= 93; - src <= 25; - when "01110101" => - dest <= 39; - src <= 93; - when "01110110" => - dest <= 25; - src <= 25; - when "01110111" => - dest <= 75; - src <= 66; - when "01111000" => - dest <= 25; - src <= 2; - when "01111001" => - dest <= 20; - src <= 25; - when "01111010" => - dest <= 25; - src <= 25; - when "01111011" => - dest <= 25; - src <= 57; - when "01111100" => - dest <= 12; - src <= 11; - when "01111101" => - dest <= 18; - src <= 25; - when "01111110" => - dest <= 25; - src <= 75; - when "01111111" => - dest <= 7; - src <= 25; - when "10000000" => - dest <= 25; - src <= 20; - when "10000001" => - dest <= 96; - src <= 25; - when "10000010" => - dest <= 25; - src <= 38; - when "10000011" => - dest <= 56; - src <= 32; - when "10000100" => - dest <= 94; - src <= 25; - when "10000101" => - dest <= 47; - src <= 43; - when "10000110" => - dest <= 25; - src <= 25; - when "10000111" => - dest <= 3; - src <= 54; - when "10001000" => - dest <= 38; - src <= 25; - when "10001001" => - dest <= 85; - src <= 94; - when "10001010" => - dest <= 25; - src <= 56; - when "10001011" => - dest <= 29; - src <= 3; - when "10001100" => - dest <= 25; - src <= 25; - when "10001101" => - dest <= 25; - src <= 47; - when "10001110" => - dest <= 17; - src <= 12; - when "10001111" => - dest <= 6; - src <= 65; - when "10010000" => - dest <= 25; - src <= 25; - when "10010001" => - dest <= 4; - src <= 21; - when "10010010" => - dest <= 46; - src <= 25; - when "10010011" => - dest <= 95; - src <= 33; - when "10010100" => - dest <= 28; - src <= 44; - when "10010101" => - dest <= 25; - src <= 25; - when "10010110" => - dest <= 16; - src <= 46; - when "10010111" => - dest <= 5; - src <= 4; - when "10011000" => - dest <= 27; - src <= 55; - when "10011001" => - dest <= 25; - src <= 22; - when "10011010" => - dest <= 25; - src <= 34; - when "10011011" => - dest <= 70; - src <= 45; - when "10011100" => - dest <= 69; - src <= 23; - when others => - dest <= 25; - src <= 25; - - end case; - end process; - - end generate NI_NUM25; - - NI_NUM26 : if NI_NUM = 26 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 32; - src <= 26; - when "00000001" => - dest <= 46; - src <= 26; - when "00000010" => - dest <= 26; - src <= 26; - when "00000011" => - dest <= 16; - src <= 26; - when "00000100" => - dest <= 81; - src <= 26; - when "00000101" => - dest <= 72; - src <= 6; - when "00000110" => - dest <= 26; - src <= 36; - when "00000111" => - dest <= 62; - src <= 10; - when "00001000" => - dest <= 14; - src <= 26; - when "00001001" => - dest <= 26; - src <= 82; - when "00001010" => - dest <= 26; - src <= 71; - when "00001011" => - dest <= 36; - src <= 26; - when "00001100" => - dest <= 52; - src <= 26; - when "00001101" => - dest <= 61; - src <= 38; - when "00001110" => - dest <= 70; - src <= 16; - when "00001111" => - dest <= 56; - src <= 61; - when "00010000" => - dest <= 26; - src <= 70; - when "00010001" => - dest <= 26; - src <= 80; - when "00010010" => - dest <= 26; - src <= 26; - when "00010011" => - dest <= 12; - src <= 26; - when "00010100" => - dest <= 91; - src <= 96; - when "00010101" => - dest <= 26; - src <= 90; - when "00010110" => - dest <= 66; - src <= 26; - when "00010111" => - dest <= 15; - src <= 26; - when "00011000" => - dest <= 80; - src <= 81; - when "00011001" => - dest <= 73; - src <= 72; - when "00011010" => - dest <= 26; - src <= 30; - when "00011011" => - dest <= 63; - src <= 37; - when "00011100" => - dest <= 23; - src <= 86; - when "00011101" => - dest <= 26; - src <= 26; - when "00011110" => - dest <= 26; - src <= 51; - when "00011111" => - dest <= 53; - src <= 26; - when "00100000" => - dest <= 38; - src <= 26; - when "00100001" => - dest <= 82; - src <= 29; - when "00100010" => - dest <= 79; - src <= 62; - when "00100011" => - dest <= 51; - src <= 79; - when "00100100" => - dest <= 26; - src <= 89; - when "00100101" => - dest <= 37; - src <= 14; - when "00100110" => - dest <= 26; - src <= 26; - when "00100111" => - dest <= 42; - src <= 99; - when "00101000" => - dest <= 26; - src <= 26; - when "00101001" => - dest <= 26; - src <= 15; - when "00101010" => - dest <= 1; - src <= 26; - when "00101011" => - dest <= 67; - src <= 60; - when "00101100" => - dest <= 2; - src <= 73; - when "00101101" => - dest <= 25; - src <= 91; - when "00101110" => - dest <= 90; - src <= 26; - when "00101111" => - dest <= 26; - src <= 0; - when "00110000" => - dest <= 26; - src <= 27; - when "00110001" => - dest <= 89; - src <= 26; - when "00110010" => - dest <= 83; - src <= 85; - when "00110011" => - dest <= 26; - src <= 41; - when "00110100" => - dest <= 64; - src <= 40; - when "00110101" => - dest <= 24; - src <= 26; - when "00110110" => - dest <= 26; - src <= 26; - when "00110111" => - dest <= 26; - src <= 52; - when "00111000" => - dest <= 69; - src <= 26; - when "00111001" => - dest <= 92; - src <= 28; - when "00111010" => - dest <= 35; - src <= 63; - when "00111011" => - dest <= 78; - src <= 69; - when "00111100" => - dest <= 26; - src <= 88; - when "00111101" => - dest <= 50; - src <= 26; - when "00111110" => - dest <= 26; - src <= 17; - when "00111111" => - dest <= 41; - src <= 26; - when "01000000" => - dest <= 26; - src <= 26; - when "01000001" => - dest <= 22; - src <= 83; - when "01000010" => - dest <= 74; - src <= 50; - when "01000011" => - dest <= 26; - src <= 26; - when "01000100" => - dest <= 34; - src <= 74; - when "01000101" => - dest <= 26; - src <= 26; - when "01000110" => - dest <= 68; - src <= 92; - when "01000111" => - dest <= 11; - src <= 20; - when "01001000" => - dest <= 93; - src <= 1; - when "01001001" => - dest <= 26; - src <= 18; - when "01001010" => - dest <= 26; - src <= 26; - when "01001011" => - dest <= 26; - src <= 78; - when "01001100" => - dest <= 0; - src <= 26; - when "01001101" => - dest <= 77; - src <= 26; - when "01001110" => - dest <= 26; - src <= 84; - when "01001111" => - dest <= 43; - src <= 31; - when "01010000" => - dest <= 26; - src <= 59; - when "01010001" => - dest <= 54; - src <= 26; - when "01010010" => - dest <= 59; - src <= 26; - when "01010011" => - dest <= 99; - src <= 26; - when "01010100" => - dest <= 26; - src <= 42; - when "01010101" => - dest <= 26; - src <= 75; - when "01010110" => - dest <= 88; - src <= 9; - when "01010111" => - dest <= 26; - src <= 26; - when "01011000" => - dest <= 65; - src <= 98; - when "01011001" => - dest <= 27; - src <= 26; - when "01011010" => - dest <= 75; - src <= 93; - when "01011011" => - dest <= 26; - src <= 53; - when "01011100" => - dest <= 40; - src <= 25; - when "01011101" => - dest <= 55; - src <= 26; - when "01011110" => - dest <= 26; - src <= 64; - when "01011111" => - dest <= 84; - src <= 87; - when "01100000" => - dest <= 31; - src <= 26; - when "01100001" => - dest <= 26; - src <= 26; - when "01100010" => - dest <= 26; - src <= 77; - when "01100011" => - dest <= 44; - src <= 97; - when "01100100" => - dest <= 10; - src <= 2; - when "01100101" => - dest <= 3; - src <= 26; - when "01100110" => - dest <= 26; - src <= 26; - when "01100111" => - dest <= 9; - src <= 68; - when "01101000" => - dest <= 45; - src <= 11; - when "01101001" => - dest <= 98; - src <= 8; - when "01101010" => - dest <= 26; - src <= 26; - when "01101011" => - dest <= 33; - src <= 32; - when "01101100" => - dest <= 87; - src <= 49; - when "01101101" => - dest <= 26; - src <= 7; - when "01101110" => - dest <= 58; - src <= 43; - when "01101111" => - dest <= 26; - src <= 26; - when "01110000" => - dest <= 85; - src <= 54; - when "01110001" => - dest <= 49; - src <= 19; - when "01110010" => - dest <= 26; - src <= 26; - when "01110011" => - dest <= 26; - src <= 65; - when "01110100" => - dest <= 94; - src <= 26; - when "01110101" => - dest <= 30; - src <= 94; - when "01110110" => - dest <= 26; - src <= 26; - when "01110111" => - dest <= 76; - src <= 67; - when "01111000" => - dest <= 26; - src <= 3; - when "01111001" => - dest <= 21; - src <= 26; - when "01111010" => - dest <= 26; - src <= 26; - when "01111011" => - dest <= 26; - src <= 58; - when "01111100" => - dest <= 13; - src <= 12; - when "01111101" => - dest <= 19; - src <= 26; - when "01111110" => - dest <= 26; - src <= 76; - when "01111111" => - dest <= 8; - src <= 26; - when "10000000" => - dest <= 26; - src <= 21; - when "10000001" => - dest <= 97; - src <= 26; - when "10000010" => - dest <= 26; - src <= 39; - when "10000011" => - dest <= 57; - src <= 33; - when "10000100" => - dest <= 95; - src <= 26; - when "10000101" => - dest <= 48; - src <= 44; - when "10000110" => - dest <= 26; - src <= 26; - when "10000111" => - dest <= 4; - src <= 55; - when "10001000" => - dest <= 39; - src <= 26; - when "10001001" => - dest <= 86; - src <= 95; - when "10001010" => - dest <= 26; - src <= 57; - when "10001011" => - dest <= 20; - src <= 4; - when "10001100" => - dest <= 26; - src <= 26; - when "10001101" => - dest <= 26; - src <= 48; - when "10001110" => - dest <= 18; - src <= 13; - when "10001111" => - dest <= 7; - src <= 66; - when "10010000" => - dest <= 26; - src <= 26; - when "10010001" => - dest <= 5; - src <= 22; - when "10010010" => - dest <= 47; - src <= 26; - when "10010011" => - dest <= 96; - src <= 34; - when "10010100" => - dest <= 29; - src <= 45; - when "10010101" => - dest <= 26; - src <= 26; - when "10010110" => - dest <= 17; - src <= 47; - when "10010111" => - dest <= 6; - src <= 5; - when "10011000" => - dest <= 28; - src <= 56; - when "10011001" => - dest <= 26; - src <= 23; - when "10011010" => - dest <= 26; - src <= 35; - when "10011011" => - dest <= 71; - src <= 46; - when "10011100" => - dest <= 60; - src <= 24; - when others => - dest <= 26; - src <= 26; - - end case; - end process; - - end generate NI_NUM26; - - NI_NUM27 : if NI_NUM = 27 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 33; - src <= 27; - when "00000001" => - dest <= 47; - src <= 27; - when "00000010" => - dest <= 27; - src <= 27; - when "00000011" => - dest <= 17; - src <= 27; - when "00000100" => - dest <= 82; - src <= 27; - when "00000101" => - dest <= 73; - src <= 7; - when "00000110" => - dest <= 27; - src <= 37; - when "00000111" => - dest <= 63; - src <= 11; - when "00001000" => - dest <= 15; - src <= 27; - when "00001001" => - dest <= 27; - src <= 83; - when "00001010" => - dest <= 27; - src <= 72; - when "00001011" => - dest <= 37; - src <= 27; - when "00001100" => - dest <= 53; - src <= 27; - when "00001101" => - dest <= 62; - src <= 39; - when "00001110" => - dest <= 71; - src <= 17; - when "00001111" => - dest <= 57; - src <= 62; - when "00010000" => - dest <= 27; - src <= 71; - when "00010001" => - dest <= 27; - src <= 81; - when "00010010" => - dest <= 27; - src <= 27; - when "00010011" => - dest <= 13; - src <= 27; - when "00010100" => - dest <= 92; - src <= 97; - when "00010101" => - dest <= 27; - src <= 91; - when "00010110" => - dest <= 67; - src <= 27; - when "00010111" => - dest <= 16; - src <= 27; - when "00011000" => - dest <= 81; - src <= 82; - when "00011001" => - dest <= 74; - src <= 73; - when "00011010" => - dest <= 27; - src <= 31; - when "00011011" => - dest <= 64; - src <= 38; - when "00011100" => - dest <= 24; - src <= 87; - when "00011101" => - dest <= 27; - src <= 27; - when "00011110" => - dest <= 27; - src <= 52; - when "00011111" => - dest <= 54; - src <= 27; - when "00100000" => - dest <= 39; - src <= 27; - when "00100001" => - dest <= 83; - src <= 20; - when "00100010" => - dest <= 70; - src <= 63; - when "00100011" => - dest <= 52; - src <= 70; - when "00100100" => - dest <= 27; - src <= 80; - when "00100101" => - dest <= 38; - src <= 15; - when "00100110" => - dest <= 27; - src <= 27; - when "00100111" => - dest <= 43; - src <= 90; - when "00101000" => - dest <= 27; - src <= 27; - when "00101001" => - dest <= 27; - src <= 16; - when "00101010" => - dest <= 2; - src <= 27; - when "00101011" => - dest <= 68; - src <= 61; - when "00101100" => - dest <= 3; - src <= 74; - when "00101101" => - dest <= 26; - src <= 92; - when "00101110" => - dest <= 91; - src <= 27; - when "00101111" => - dest <= 27; - src <= 1; - when "00110000" => - dest <= 27; - src <= 28; - when "00110001" => - dest <= 80; - src <= 27; - when "00110010" => - dest <= 84; - src <= 86; - when "00110011" => - dest <= 27; - src <= 42; - when "00110100" => - dest <= 65; - src <= 41; - when "00110101" => - dest <= 25; - src <= 27; - when "00110110" => - dest <= 27; - src <= 27; - when "00110111" => - dest <= 27; - src <= 53; - when "00111000" => - dest <= 60; - src <= 27; - when "00111001" => - dest <= 93; - src <= 29; - when "00111010" => - dest <= 36; - src <= 64; - when "00111011" => - dest <= 79; - src <= 60; - when "00111100" => - dest <= 27; - src <= 89; - when "00111101" => - dest <= 51; - src <= 27; - when "00111110" => - dest <= 27; - src <= 18; - when "00111111" => - dest <= 42; - src <= 27; - when "01000000" => - dest <= 27; - src <= 27; - when "01000001" => - dest <= 23; - src <= 84; - when "01000010" => - dest <= 75; - src <= 51; - when "01000011" => - dest <= 27; - src <= 27; - when "01000100" => - dest <= 35; - src <= 75; - when "01000101" => - dest <= 27; - src <= 27; - when "01000110" => - dest <= 69; - src <= 93; - when "01000111" => - dest <= 12; - src <= 21; - when "01001000" => - dest <= 94; - src <= 2; - when "01001001" => - dest <= 27; - src <= 19; - when "01001010" => - dest <= 27; - src <= 27; - when "01001011" => - dest <= 27; - src <= 79; - when "01001100" => - dest <= 1; - src <= 27; - when "01001101" => - dest <= 78; - src <= 27; - when "01001110" => - dest <= 27; - src <= 85; - when "01001111" => - dest <= 44; - src <= 32; - when "01010000" => - dest <= 27; - src <= 50; - when "01010001" => - dest <= 55; - src <= 27; - when "01010010" => - dest <= 50; - src <= 27; - when "01010011" => - dest <= 90; - src <= 27; - when "01010100" => - dest <= 27; - src <= 43; - when "01010101" => - dest <= 27; - src <= 76; - when "01010110" => - dest <= 89; - src <= 0; - when "01010111" => - dest <= 27; - src <= 27; - when "01011000" => - dest <= 66; - src <= 99; - when "01011001" => - dest <= 28; - src <= 27; - when "01011010" => - dest <= 76; - src <= 94; - when "01011011" => - dest <= 27; - src <= 54; - when "01011100" => - dest <= 41; - src <= 26; - when "01011101" => - dest <= 56; - src <= 27; - when "01011110" => - dest <= 27; - src <= 65; - when "01011111" => - dest <= 85; - src <= 88; - when "01100000" => - dest <= 32; - src <= 27; - when "01100001" => - dest <= 27; - src <= 27; - when "01100010" => - dest <= 27; - src <= 78; - when "01100011" => - dest <= 45; - src <= 98; - when "01100100" => - dest <= 11; - src <= 3; - when "01100101" => - dest <= 4; - src <= 27; - when "01100110" => - dest <= 27; - src <= 27; - when "01100111" => - dest <= 0; - src <= 69; - when "01101000" => - dest <= 46; - src <= 12; - when "01101001" => - dest <= 99; - src <= 9; - when "01101010" => - dest <= 27; - src <= 27; - when "01101011" => - dest <= 34; - src <= 33; - when "01101100" => - dest <= 88; - src <= 40; - when "01101101" => - dest <= 27; - src <= 8; - when "01101110" => - dest <= 59; - src <= 44; - when "01101111" => - dest <= 27; - src <= 27; - when "01110000" => - dest <= 86; - src <= 55; - when "01110001" => - dest <= 40; - src <= 10; - when "01110010" => - dest <= 27; - src <= 27; - when "01110011" => - dest <= 27; - src <= 66; - when "01110100" => - dest <= 95; - src <= 27; - when "01110101" => - dest <= 31; - src <= 95; - when "01110110" => - dest <= 27; - src <= 27; - when "01110111" => - dest <= 77; - src <= 68; - when "01111000" => - dest <= 27; - src <= 4; - when "01111001" => - dest <= 22; - src <= 27; - when "01111010" => - dest <= 27; - src <= 27; - when "01111011" => - dest <= 27; - src <= 59; - when "01111100" => - dest <= 14; - src <= 13; - when "01111101" => - dest <= 10; - src <= 27; - when "01111110" => - dest <= 27; - src <= 77; - when "01111111" => - dest <= 9; - src <= 27; - when "10000000" => - dest <= 27; - src <= 22; - when "10000001" => - dest <= 98; - src <= 27; - when "10000010" => - dest <= 27; - src <= 30; - when "10000011" => - dest <= 58; - src <= 34; - when "10000100" => - dest <= 96; - src <= 27; - when "10000101" => - dest <= 49; - src <= 45; - when "10000110" => - dest <= 27; - src <= 27; - when "10000111" => - dest <= 5; - src <= 56; - when "10001000" => - dest <= 30; - src <= 27; - when "10001001" => - dest <= 87; - src <= 96; - when "10001010" => - dest <= 27; - src <= 58; - when "10001011" => - dest <= 21; - src <= 5; - when "10001100" => - dest <= 27; - src <= 27; - when "10001101" => - dest <= 27; - src <= 49; - when "10001110" => - dest <= 19; - src <= 14; - when "10001111" => - dest <= 8; - src <= 67; - when "10010000" => - dest <= 27; - src <= 27; - when "10010001" => - dest <= 6; - src <= 23; - when "10010010" => - dest <= 48; - src <= 27; - when "10010011" => - dest <= 97; - src <= 35; - when "10010100" => - dest <= 20; - src <= 46; - when "10010101" => - dest <= 27; - src <= 27; - when "10010110" => - dest <= 18; - src <= 48; - when "10010111" => - dest <= 7; - src <= 6; - when "10011000" => - dest <= 29; - src <= 57; - when "10011001" => - dest <= 27; - src <= 24; - when "10011010" => - dest <= 27; - src <= 36; - when "10011011" => - dest <= 72; - src <= 47; - when "10011100" => - dest <= 61; - src <= 25; - when others => - dest <= 27; - src <= 27; - - end case; - end process; - - end generate NI_NUM27; - - NI_NUM28 : if NI_NUM = 28 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 34; - src <= 28; - when "00000001" => - dest <= 48; - src <= 28; - when "00000010" => - dest <= 28; - src <= 28; - when "00000011" => - dest <= 18; - src <= 28; - when "00000100" => - dest <= 83; - src <= 28; - when "00000101" => - dest <= 74; - src <= 8; - when "00000110" => - dest <= 28; - src <= 38; - when "00000111" => - dest <= 64; - src <= 12; - when "00001000" => - dest <= 16; - src <= 28; - when "00001001" => - dest <= 28; - src <= 84; - when "00001010" => - dest <= 28; - src <= 73; - when "00001011" => - dest <= 38; - src <= 28; - when "00001100" => - dest <= 54; - src <= 28; - when "00001101" => - dest <= 63; - src <= 30; - when "00001110" => - dest <= 72; - src <= 18; - when "00001111" => - dest <= 58; - src <= 63; - when "00010000" => - dest <= 28; - src <= 72; - when "00010001" => - dest <= 28; - src <= 82; - when "00010010" => - dest <= 28; - src <= 28; - when "00010011" => - dest <= 14; - src <= 28; - when "00010100" => - dest <= 93; - src <= 98; - when "00010101" => - dest <= 28; - src <= 92; - when "00010110" => - dest <= 68; - src <= 28; - when "00010111" => - dest <= 17; - src <= 28; - when "00011000" => - dest <= 82; - src <= 83; - when "00011001" => - dest <= 75; - src <= 74; - when "00011010" => - dest <= 28; - src <= 32; - when "00011011" => - dest <= 65; - src <= 39; - when "00011100" => - dest <= 25; - src <= 88; - when "00011101" => - dest <= 28; - src <= 28; - when "00011110" => - dest <= 28; - src <= 53; - when "00011111" => - dest <= 55; - src <= 28; - when "00100000" => - dest <= 30; - src <= 28; - when "00100001" => - dest <= 84; - src <= 21; - when "00100010" => - dest <= 71; - src <= 64; - when "00100011" => - dest <= 53; - src <= 71; - when "00100100" => - dest <= 28; - src <= 81; - when "00100101" => - dest <= 39; - src <= 16; - when "00100110" => - dest <= 28; - src <= 28; - when "00100111" => - dest <= 44; - src <= 91; - when "00101000" => - dest <= 28; - src <= 28; - when "00101001" => - dest <= 28; - src <= 17; - when "00101010" => - dest <= 3; - src <= 28; - when "00101011" => - dest <= 69; - src <= 62; - when "00101100" => - dest <= 4; - src <= 75; - when "00101101" => - dest <= 27; - src <= 93; - when "00101110" => - dest <= 92; - src <= 28; - when "00101111" => - dest <= 28; - src <= 2; - when "00110000" => - dest <= 28; - src <= 29; - when "00110001" => - dest <= 81; - src <= 28; - when "00110010" => - dest <= 85; - src <= 87; - when "00110011" => - dest <= 28; - src <= 43; - when "00110100" => - dest <= 66; - src <= 42; - when "00110101" => - dest <= 26; - src <= 28; - when "00110110" => - dest <= 28; - src <= 28; - when "00110111" => - dest <= 28; - src <= 54; - when "00111000" => - dest <= 61; - src <= 28; - when "00111001" => - dest <= 94; - src <= 20; - when "00111010" => - dest <= 37; - src <= 65; - when "00111011" => - dest <= 70; - src <= 61; - when "00111100" => - dest <= 28; - src <= 80; - when "00111101" => - dest <= 52; - src <= 28; - when "00111110" => - dest <= 28; - src <= 19; - when "00111111" => - dest <= 43; - src <= 28; - when "01000000" => - dest <= 28; - src <= 28; - when "01000001" => - dest <= 24; - src <= 85; - when "01000010" => - dest <= 76; - src <= 52; - when "01000011" => - dest <= 28; - src <= 28; - when "01000100" => - dest <= 36; - src <= 76; - when "01000101" => - dest <= 28; - src <= 28; - when "01000110" => - dest <= 60; - src <= 94; - when "01000111" => - dest <= 13; - src <= 22; - when "01001000" => - dest <= 95; - src <= 3; - when "01001001" => - dest <= 28; - src <= 10; - when "01001010" => - dest <= 28; - src <= 28; - when "01001011" => - dest <= 28; - src <= 70; - when "01001100" => - dest <= 2; - src <= 28; - when "01001101" => - dest <= 79; - src <= 28; - when "01001110" => - dest <= 28; - src <= 86; - when "01001111" => - dest <= 45; - src <= 33; - when "01010000" => - dest <= 28; - src <= 51; - when "01010001" => - dest <= 56; - src <= 28; - when "01010010" => - dest <= 51; - src <= 28; - when "01010011" => - dest <= 91; - src <= 28; - when "01010100" => - dest <= 28; - src <= 44; - when "01010101" => - dest <= 28; - src <= 77; - when "01010110" => - dest <= 80; - src <= 1; - when "01010111" => - dest <= 28; - src <= 28; - when "01011000" => - dest <= 67; - src <= 90; - when "01011001" => - dest <= 29; - src <= 28; - when "01011010" => - dest <= 77; - src <= 95; - when "01011011" => - dest <= 28; - src <= 55; - when "01011100" => - dest <= 42; - src <= 27; - when "01011101" => - dest <= 57; - src <= 28; - when "01011110" => - dest <= 28; - src <= 66; - when "01011111" => - dest <= 86; - src <= 89; - when "01100000" => - dest <= 33; - src <= 28; - when "01100001" => - dest <= 28; - src <= 28; - when "01100010" => - dest <= 28; - src <= 79; - when "01100011" => - dest <= 46; - src <= 99; - when "01100100" => - dest <= 12; - src <= 4; - when "01100101" => - dest <= 5; - src <= 28; - when "01100110" => - dest <= 28; - src <= 28; - when "01100111" => - dest <= 1; - src <= 60; - when "01101000" => - dest <= 47; - src <= 13; - when "01101001" => - dest <= 90; - src <= 0; - when "01101010" => - dest <= 28; - src <= 28; - when "01101011" => - dest <= 35; - src <= 34; - when "01101100" => - dest <= 89; - src <= 41; - when "01101101" => - dest <= 28; - src <= 9; - when "01101110" => - dest <= 50; - src <= 45; - when "01101111" => - dest <= 28; - src <= 28; - when "01110000" => - dest <= 87; - src <= 56; - when "01110001" => - dest <= 41; - src <= 11; - when "01110010" => - dest <= 28; - src <= 28; - when "01110011" => - dest <= 28; - src <= 67; - when "01110100" => - dest <= 96; - src <= 28; - when "01110101" => - dest <= 32; - src <= 96; - when "01110110" => - dest <= 28; - src <= 28; - when "01110111" => - dest <= 78; - src <= 69; - when "01111000" => - dest <= 28; - src <= 5; - when "01111001" => - dest <= 23; - src <= 28; - when "01111010" => - dest <= 28; - src <= 28; - when "01111011" => - dest <= 28; - src <= 50; - when "01111100" => - dest <= 15; - src <= 14; - when "01111101" => - dest <= 11; - src <= 28; - when "01111110" => - dest <= 28; - src <= 78; - when "01111111" => - dest <= 0; - src <= 28; - when "10000000" => - dest <= 28; - src <= 23; - when "10000001" => - dest <= 99; - src <= 28; - when "10000010" => - dest <= 28; - src <= 31; - when "10000011" => - dest <= 59; - src <= 35; - when "10000100" => - dest <= 97; - src <= 28; - when "10000101" => - dest <= 40; - src <= 46; - when "10000110" => - dest <= 28; - src <= 28; - when "10000111" => - dest <= 6; - src <= 57; - when "10001000" => - dest <= 31; - src <= 28; - when "10001001" => - dest <= 88; - src <= 97; - when "10001010" => - dest <= 28; - src <= 59; - when "10001011" => - dest <= 22; - src <= 6; - when "10001100" => - dest <= 28; - src <= 28; - when "10001101" => - dest <= 28; - src <= 40; - when "10001110" => - dest <= 10; - src <= 15; - when "10001111" => - dest <= 9; - src <= 68; - when "10010000" => - dest <= 28; - src <= 28; - when "10010001" => - dest <= 7; - src <= 24; - when "10010010" => - dest <= 49; - src <= 28; - when "10010011" => - dest <= 98; - src <= 36; - when "10010100" => - dest <= 21; - src <= 47; - when "10010101" => - dest <= 28; - src <= 28; - when "10010110" => - dest <= 19; - src <= 49; - when "10010111" => - dest <= 8; - src <= 7; - when "10011000" => - dest <= 20; - src <= 58; - when "10011001" => - dest <= 28; - src <= 25; - when "10011010" => - dest <= 28; - src <= 37; - when "10011011" => - dest <= 73; - src <= 48; - when "10011100" => - dest <= 62; - src <= 26; - when others => - dest <= 28; - src <= 28; - - end case; - end process; - - end generate NI_NUM28; - - NI_NUM29 : if NI_NUM = 29 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 35; - src <= 29; - when "00000001" => - dest <= 49; - src <= 29; - when "00000010" => - dest <= 29; - src <= 29; - when "00000011" => - dest <= 19; - src <= 29; - when "00000100" => - dest <= 84; - src <= 29; - when "00000101" => - dest <= 75; - src <= 9; - when "00000110" => - dest <= 29; - src <= 39; - when "00000111" => - dest <= 65; - src <= 13; - when "00001000" => - dest <= 17; - src <= 29; - when "00001001" => - dest <= 29; - src <= 85; - when "00001010" => - dest <= 29; - src <= 74; - when "00001011" => - dest <= 39; - src <= 29; - when "00001100" => - dest <= 55; - src <= 29; - when "00001101" => - dest <= 64; - src <= 31; - when "00001110" => - dest <= 73; - src <= 19; - when "00001111" => - dest <= 59; - src <= 64; - when "00010000" => - dest <= 29; - src <= 73; - when "00010001" => - dest <= 29; - src <= 83; - when "00010010" => - dest <= 29; - src <= 29; - when "00010011" => - dest <= 15; - src <= 29; - when "00010100" => - dest <= 94; - src <= 99; - when "00010101" => - dest <= 29; - src <= 93; - when "00010110" => - dest <= 69; - src <= 29; - when "00010111" => - dest <= 18; - src <= 29; - when "00011000" => - dest <= 83; - src <= 84; - when "00011001" => - dest <= 76; - src <= 75; - when "00011010" => - dest <= 29; - src <= 33; - when "00011011" => - dest <= 66; - src <= 30; - when "00011100" => - dest <= 26; - src <= 89; - when "00011101" => - dest <= 29; - src <= 29; - when "00011110" => - dest <= 29; - src <= 54; - when "00011111" => - dest <= 56; - src <= 29; - when "00100000" => - dest <= 31; - src <= 29; - when "00100001" => - dest <= 85; - src <= 22; - when "00100010" => - dest <= 72; - src <= 65; - when "00100011" => - dest <= 54; - src <= 72; - when "00100100" => - dest <= 29; - src <= 82; - when "00100101" => - dest <= 30; - src <= 17; - when "00100110" => - dest <= 29; - src <= 29; - when "00100111" => - dest <= 45; - src <= 92; - when "00101000" => - dest <= 29; - src <= 29; - when "00101001" => - dest <= 29; - src <= 18; - when "00101010" => - dest <= 4; - src <= 29; - when "00101011" => - dest <= 60; - src <= 63; - when "00101100" => - dest <= 5; - src <= 76; - when "00101101" => - dest <= 28; - src <= 94; - when "00101110" => - dest <= 93; - src <= 29; - when "00101111" => - dest <= 29; - src <= 3; - when "00110000" => - dest <= 29; - src <= 20; - when "00110001" => - dest <= 82; - src <= 29; - when "00110010" => - dest <= 86; - src <= 88; - when "00110011" => - dest <= 29; - src <= 44; - when "00110100" => - dest <= 67; - src <= 43; - when "00110101" => - dest <= 27; - src <= 29; - when "00110110" => - dest <= 29; - src <= 29; - when "00110111" => - dest <= 29; - src <= 55; - when "00111000" => - dest <= 62; - src <= 29; - when "00111001" => - dest <= 95; - src <= 21; - when "00111010" => - dest <= 38; - src <= 66; - when "00111011" => - dest <= 71; - src <= 62; - when "00111100" => - dest <= 29; - src <= 81; - when "00111101" => - dest <= 53; - src <= 29; - when "00111110" => - dest <= 29; - src <= 10; - when "00111111" => - dest <= 44; - src <= 29; - when "01000000" => - dest <= 29; - src <= 29; - when "01000001" => - dest <= 25; - src <= 86; - when "01000010" => - dest <= 77; - src <= 53; - when "01000011" => - dest <= 29; - src <= 29; - when "01000100" => - dest <= 37; - src <= 77; - when "01000101" => - dest <= 29; - src <= 29; - when "01000110" => - dest <= 61; - src <= 95; - when "01000111" => - dest <= 14; - src <= 23; - when "01001000" => - dest <= 96; - src <= 4; - when "01001001" => - dest <= 29; - src <= 11; - when "01001010" => - dest <= 29; - src <= 29; - when "01001011" => - dest <= 29; - src <= 71; - when "01001100" => - dest <= 3; - src <= 29; - when "01001101" => - dest <= 70; - src <= 29; - when "01001110" => - dest <= 29; - src <= 87; - when "01001111" => - dest <= 46; - src <= 34; - when "01010000" => - dest <= 29; - src <= 52; - when "01010001" => - dest <= 57; - src <= 29; - when "01010010" => - dest <= 52; - src <= 29; - when "01010011" => - dest <= 92; - src <= 29; - when "01010100" => - dest <= 29; - src <= 45; - when "01010101" => - dest <= 29; - src <= 78; - when "01010110" => - dest <= 81; - src <= 2; - when "01010111" => - dest <= 29; - src <= 29; - when "01011000" => - dest <= 68; - src <= 91; - when "01011001" => - dest <= 20; - src <= 29; - when "01011010" => - dest <= 78; - src <= 96; - when "01011011" => - dest <= 29; - src <= 56; - when "01011100" => - dest <= 43; - src <= 28; - when "01011101" => - dest <= 58; - src <= 29; - when "01011110" => - dest <= 29; - src <= 67; - when "01011111" => - dest <= 87; - src <= 80; - when "01100000" => - dest <= 34; - src <= 29; - when "01100001" => - dest <= 29; - src <= 29; - when "01100010" => - dest <= 29; - src <= 70; - when "01100011" => - dest <= 47; - src <= 90; - when "01100100" => - dest <= 13; - src <= 5; - when "01100101" => - dest <= 6; - src <= 29; - when "01100110" => - dest <= 29; - src <= 29; - when "01100111" => - dest <= 2; - src <= 61; - when "01101000" => - dest <= 48; - src <= 14; - when "01101001" => - dest <= 91; - src <= 1; - when "01101010" => - dest <= 29; - src <= 29; - when "01101011" => - dest <= 36; - src <= 35; - when "01101100" => - dest <= 80; - src <= 42; - when "01101101" => - dest <= 29; - src <= 0; - when "01101110" => - dest <= 51; - src <= 46; - when "01101111" => - dest <= 29; - src <= 29; - when "01110000" => - dest <= 88; - src <= 57; - when "01110001" => - dest <= 42; - src <= 12; - when "01110010" => - dest <= 29; - src <= 29; - when "01110011" => - dest <= 29; - src <= 68; - when "01110100" => - dest <= 97; - src <= 29; - when "01110101" => - dest <= 33; - src <= 97; - when "01110110" => - dest <= 29; - src <= 29; - when "01110111" => - dest <= 79; - src <= 60; - when "01111000" => - dest <= 29; - src <= 6; - when "01111001" => - dest <= 24; - src <= 29; - when "01111010" => - dest <= 29; - src <= 29; - when "01111011" => - dest <= 29; - src <= 51; - when "01111100" => - dest <= 16; - src <= 15; - when "01111101" => - dest <= 12; - src <= 29; - when "01111110" => - dest <= 29; - src <= 79; - when "01111111" => - dest <= 1; - src <= 29; - when "10000000" => - dest <= 29; - src <= 24; - when "10000001" => - dest <= 90; - src <= 29; - when "10000010" => - dest <= 29; - src <= 32; - when "10000011" => - dest <= 50; - src <= 36; - when "10000100" => - dest <= 98; - src <= 29; - when "10000101" => - dest <= 41; - src <= 47; - when "10000110" => - dest <= 29; - src <= 29; - when "10000111" => - dest <= 7; - src <= 58; - when "10001000" => - dest <= 32; - src <= 29; - when "10001001" => - dest <= 89; - src <= 98; - when "10001010" => - dest <= 29; - src <= 50; - when "10001011" => - dest <= 23; - src <= 7; - when "10001100" => - dest <= 29; - src <= 29; - when "10001101" => - dest <= 29; - src <= 41; - when "10001110" => - dest <= 11; - src <= 16; - when "10001111" => - dest <= 0; - src <= 69; - when "10010000" => - dest <= 29; - src <= 29; - when "10010001" => - dest <= 8; - src <= 25; - when "10010010" => - dest <= 40; - src <= 29; - when "10010011" => - dest <= 99; - src <= 37; - when "10010100" => - dest <= 22; - src <= 48; - when "10010101" => - dest <= 29; - src <= 29; - when "10010110" => - dest <= 10; - src <= 40; - when "10010111" => - dest <= 9; - src <= 8; - when "10011000" => - dest <= 21; - src <= 59; - when "10011001" => - dest <= 29; - src <= 26; - when "10011010" => - dest <= 29; - src <= 38; - when "10011011" => - dest <= 74; - src <= 49; - when "10011100" => - dest <= 63; - src <= 27; - when others => - dest <= 29; - src <= 29; - - end case; - end process; - - end generate NI_NUM29; - - NI_NUM30 : if NI_NUM = 30 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 46; - src <= 30; - when "00000001" => - dest <= 50; - src <= 30; - when "00000010" => - dest <= 30; - src <= 30; - when "00000011" => - dest <= 20; - src <= 30; - when "00000100" => - dest <= 95; - src <= 30; - when "00000101" => - dest <= 86; - src <= 10; - when "00000110" => - dest <= 30; - src <= 40; - when "00000111" => - dest <= 76; - src <= 24; - when "00001000" => - dest <= 28; - src <= 30; - when "00001001" => - dest <= 30; - src <= 96; - when "00001010" => - dest <= 30; - src <= 85; - when "00001011" => - dest <= 40; - src <= 30; - when "00001100" => - dest <= 66; - src <= 30; - when "00001101" => - dest <= 75; - src <= 42; - when "00001110" => - dest <= 84; - src <= 20; - when "00001111" => - dest <= 60; - src <= 75; - when "00010000" => - dest <= 30; - src <= 84; - when "00010001" => - dest <= 30; - src <= 94; - when "00010010" => - dest <= 30; - src <= 30; - when "00010011" => - dest <= 26; - src <= 30; - when "00010100" => - dest <= 5; - src <= 0; - when "00010101" => - dest <= 30; - src <= 4; - when "00010110" => - dest <= 70; - src <= 30; - when "00010111" => - dest <= 29; - src <= 30; - when "00011000" => - dest <= 94; - src <= 95; - when "00011001" => - dest <= 87; - src <= 86; - when "00011010" => - dest <= 30; - src <= 44; - when "00011011" => - dest <= 77; - src <= 41; - when "00011100" => - dest <= 37; - src <= 90; - when "00011101" => - dest <= 30; - src <= 30; - when "00011110" => - dest <= 30; - src <= 65; - when "00011111" => - dest <= 67; - src <= 30; - when "00100000" => - dest <= 42; - src <= 30; - when "00100001" => - dest <= 96; - src <= 33; - when "00100010" => - dest <= 83; - src <= 76; - when "00100011" => - dest <= 65; - src <= 83; - when "00100100" => - dest <= 30; - src <= 93; - when "00100101" => - dest <= 41; - src <= 28; - when "00100110" => - dest <= 30; - src <= 30; - when "00100111" => - dest <= 56; - src <= 3; - when "00101000" => - dest <= 30; - src <= 30; - when "00101001" => - dest <= 30; - src <= 29; - when "00101010" => - dest <= 15; - src <= 30; - when "00101011" => - dest <= 71; - src <= 74; - when "00101100" => - dest <= 16; - src <= 87; - when "00101101" => - dest <= 39; - src <= 5; - when "00101110" => - dest <= 4; - src <= 30; - when "00101111" => - dest <= 30; - src <= 14; - when "00110000" => - dest <= 30; - src <= 31; - when "00110001" => - dest <= 93; - src <= 30; - when "00110010" => - dest <= 97; - src <= 99; - when "00110011" => - dest <= 30; - src <= 55; - when "00110100" => - dest <= 78; - src <= 54; - when "00110101" => - dest <= 38; - src <= 30; - when "00110110" => - dest <= 30; - src <= 30; - when "00110111" => - dest <= 30; - src <= 66; - when "00111000" => - dest <= 73; - src <= 30; - when "00111001" => - dest <= 6; - src <= 32; - when "00111010" => - dest <= 49; - src <= 77; - when "00111011" => - dest <= 82; - src <= 73; - when "00111100" => - dest <= 30; - src <= 92; - when "00111101" => - dest <= 64; - src <= 30; - when "00111110" => - dest <= 30; - src <= 21; - when "00111111" => - dest <= 55; - src <= 30; - when "01000000" => - dest <= 30; - src <= 30; - when "01000001" => - dest <= 36; - src <= 97; - when "01000010" => - dest <= 88; - src <= 64; - when "01000011" => - dest <= 30; - src <= 30; - when "01000100" => - dest <= 48; - src <= 88; - when "01000101" => - dest <= 30; - src <= 30; - when "01000110" => - dest <= 72; - src <= 6; - when "01000111" => - dest <= 25; - src <= 34; - when "01001000" => - dest <= 7; - src <= 15; - when "01001001" => - dest <= 30; - src <= 22; - when "01001010" => - dest <= 30; - src <= 30; - when "01001011" => - dest <= 30; - src <= 82; - when "01001100" => - dest <= 14; - src <= 30; - when "01001101" => - dest <= 81; - src <= 30; - when "01001110" => - dest <= 30; - src <= 98; - when "01001111" => - dest <= 57; - src <= 45; - when "01010000" => - dest <= 30; - src <= 63; - when "01010001" => - dest <= 68; - src <= 30; - when "01010010" => - dest <= 63; - src <= 30; - when "01010011" => - dest <= 3; - src <= 30; - when "01010100" => - dest <= 30; - src <= 56; - when "01010101" => - dest <= 30; - src <= 89; - when "01010110" => - dest <= 92; - src <= 13; - when "01010111" => - dest <= 30; - src <= 30; - when "01011000" => - dest <= 79; - src <= 2; - when "01011001" => - dest <= 31; - src <= 30; - when "01011010" => - dest <= 89; - src <= 7; - when "01011011" => - dest <= 30; - src <= 67; - when "01011100" => - dest <= 54; - src <= 39; - when "01011101" => - dest <= 69; - src <= 30; - when "01011110" => - dest <= 30; - src <= 78; - when "01011111" => - dest <= 98; - src <= 91; - when "01100000" => - dest <= 45; - src <= 30; - when "01100001" => - dest <= 30; - src <= 30; - when "01100010" => - dest <= 30; - src <= 81; - when "01100011" => - dest <= 58; - src <= 1; - when "01100100" => - dest <= 24; - src <= 16; - when "01100101" => - dest <= 17; - src <= 30; - when "01100110" => - dest <= 30; - src <= 30; - when "01100111" => - dest <= 13; - src <= 72; - when "01101000" => - dest <= 59; - src <= 25; - when "01101001" => - dest <= 2; - src <= 12; - when "01101010" => - dest <= 30; - src <= 30; - when "01101011" => - dest <= 47; - src <= 46; - when "01101100" => - dest <= 91; - src <= 53; - when "01101101" => - dest <= 30; - src <= 11; - when "01101110" => - dest <= 62; - src <= 57; - when "01101111" => - dest <= 30; - src <= 30; - when "01110000" => - dest <= 99; - src <= 68; - when "01110001" => - dest <= 53; - src <= 23; - when "01110010" => - dest <= 30; - src <= 30; - when "01110011" => - dest <= 30; - src <= 79; - when "01110100" => - dest <= 8; - src <= 30; - when "01110101" => - dest <= 44; - src <= 8; - when "01110110" => - dest <= 30; - src <= 30; - when "01110111" => - dest <= 80; - src <= 71; - when "01111000" => - dest <= 30; - src <= 17; - when "01111001" => - dest <= 35; - src <= 30; - when "01111010" => - dest <= 30; - src <= 30; - when "01111011" => - dest <= 30; - src <= 62; - when "01111100" => - dest <= 27; - src <= 26; - when "01111101" => - dest <= 23; - src <= 30; - when "01111110" => - dest <= 30; - src <= 80; - when "01111111" => - dest <= 12; - src <= 30; - when "10000000" => - dest <= 30; - src <= 35; - when "10000001" => - dest <= 1; - src <= 30; - when "10000010" => - dest <= 30; - src <= 43; - when "10000011" => - dest <= 61; - src <= 47; - when "10000100" => - dest <= 9; - src <= 30; - when "10000101" => - dest <= 52; - src <= 58; - when "10000110" => - dest <= 30; - src <= 30; - when "10000111" => - dest <= 18; - src <= 69; - when "10001000" => - dest <= 43; - src <= 30; - when "10001001" => - dest <= 90; - src <= 9; - when "10001010" => - dest <= 30; - src <= 61; - when "10001011" => - dest <= 34; - src <= 18; - when "10001100" => - dest <= 30; - src <= 30; - when "10001101" => - dest <= 30; - src <= 52; - when "10001110" => - dest <= 22; - src <= 27; - when "10001111" => - dest <= 11; - src <= 70; - when "10010000" => - dest <= 30; - src <= 30; - when "10010001" => - dest <= 19; - src <= 36; - when "10010010" => - dest <= 51; - src <= 30; - when "10010011" => - dest <= 0; - src <= 48; - when "10010100" => - dest <= 33; - src <= 59; - when "10010101" => - dest <= 30; - src <= 30; - when "10010110" => - dest <= 21; - src <= 51; - when "10010111" => - dest <= 10; - src <= 19; - when "10011000" => - dest <= 32; - src <= 60; - when "10011001" => - dest <= 30; - src <= 37; - when "10011010" => - dest <= 30; - src <= 49; - when "10011011" => - dest <= 85; - src <= 50; - when "10011100" => - dest <= 74; - src <= 38; - when others => - dest <= 30; - src <= 30; - - end case; - end process; - - end generate NI_NUM30; - - NI_NUM31 : if NI_NUM = 31 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 47; - src <= 31; - when "00000001" => - dest <= 51; - src <= 31; - when "00000010" => - dest <= 31; - src <= 31; - when "00000011" => - dest <= 21; - src <= 31; - when "00000100" => - dest <= 96; - src <= 31; - when "00000101" => - dest <= 87; - src <= 11; - when "00000110" => - dest <= 31; - src <= 41; - when "00000111" => - dest <= 77; - src <= 25; - when "00001000" => - dest <= 29; - src <= 31; - when "00001001" => - dest <= 31; - src <= 97; - when "00001010" => - dest <= 31; - src <= 86; - when "00001011" => - dest <= 41; - src <= 31; - when "00001100" => - dest <= 67; - src <= 31; - when "00001101" => - dest <= 76; - src <= 43; - when "00001110" => - dest <= 85; - src <= 21; - when "00001111" => - dest <= 61; - src <= 76; - when "00010000" => - dest <= 31; - src <= 85; - when "00010001" => - dest <= 31; - src <= 95; - when "00010010" => - dest <= 31; - src <= 31; - when "00010011" => - dest <= 27; - src <= 31; - when "00010100" => - dest <= 6; - src <= 1; - when "00010101" => - dest <= 31; - src <= 5; - when "00010110" => - dest <= 71; - src <= 31; - when "00010111" => - dest <= 20; - src <= 31; - when "00011000" => - dest <= 95; - src <= 96; - when "00011001" => - dest <= 88; - src <= 87; - when "00011010" => - dest <= 31; - src <= 45; - when "00011011" => - dest <= 78; - src <= 42; - when "00011100" => - dest <= 38; - src <= 91; - when "00011101" => - dest <= 31; - src <= 31; - when "00011110" => - dest <= 31; - src <= 66; - when "00011111" => - dest <= 68; - src <= 31; - when "00100000" => - dest <= 43; - src <= 31; - when "00100001" => - dest <= 97; - src <= 34; - when "00100010" => - dest <= 84; - src <= 77; - when "00100011" => - dest <= 66; - src <= 84; - when "00100100" => - dest <= 31; - src <= 94; - when "00100101" => - dest <= 42; - src <= 29; - when "00100110" => - dest <= 31; - src <= 31; - when "00100111" => - dest <= 57; - src <= 4; - when "00101000" => - dest <= 31; - src <= 31; - when "00101001" => - dest <= 31; - src <= 20; - when "00101010" => - dest <= 16; - src <= 31; - when "00101011" => - dest <= 72; - src <= 75; - when "00101100" => - dest <= 17; - src <= 88; - when "00101101" => - dest <= 30; - src <= 6; - when "00101110" => - dest <= 5; - src <= 31; - when "00101111" => - dest <= 31; - src <= 15; - when "00110000" => - dest <= 31; - src <= 32; - when "00110001" => - dest <= 94; - src <= 31; - when "00110010" => - dest <= 98; - src <= 90; - when "00110011" => - dest <= 31; - src <= 56; - when "00110100" => - dest <= 79; - src <= 55; - when "00110101" => - dest <= 39; - src <= 31; - when "00110110" => - dest <= 31; - src <= 31; - when "00110111" => - dest <= 31; - src <= 67; - when "00111000" => - dest <= 74; - src <= 31; - when "00111001" => - dest <= 7; - src <= 33; - when "00111010" => - dest <= 40; - src <= 78; - when "00111011" => - dest <= 83; - src <= 74; - when "00111100" => - dest <= 31; - src <= 93; - when "00111101" => - dest <= 65; - src <= 31; - when "00111110" => - dest <= 31; - src <= 22; - when "00111111" => - dest <= 56; - src <= 31; - when "01000000" => - dest <= 31; - src <= 31; - when "01000001" => - dest <= 37; - src <= 98; - when "01000010" => - dest <= 89; - src <= 65; - when "01000011" => - dest <= 31; - src <= 31; - when "01000100" => - dest <= 49; - src <= 89; - when "01000101" => - dest <= 31; - src <= 31; - when "01000110" => - dest <= 73; - src <= 7; - when "01000111" => - dest <= 26; - src <= 35; - when "01001000" => - dest <= 8; - src <= 16; - when "01001001" => - dest <= 31; - src <= 23; - when "01001010" => - dest <= 31; - src <= 31; - when "01001011" => - dest <= 31; - src <= 83; - when "01001100" => - dest <= 15; - src <= 31; - when "01001101" => - dest <= 82; - src <= 31; - when "01001110" => - dest <= 31; - src <= 99; - when "01001111" => - dest <= 58; - src <= 46; - when "01010000" => - dest <= 31; - src <= 64; - when "01010001" => - dest <= 69; - src <= 31; - when "01010010" => - dest <= 64; - src <= 31; - when "01010011" => - dest <= 4; - src <= 31; - when "01010100" => - dest <= 31; - src <= 57; - when "01010101" => - dest <= 31; - src <= 80; - when "01010110" => - dest <= 93; - src <= 14; - when "01010111" => - dest <= 31; - src <= 31; - when "01011000" => - dest <= 70; - src <= 3; - when "01011001" => - dest <= 32; - src <= 31; - when "01011010" => - dest <= 80; - src <= 8; - when "01011011" => - dest <= 31; - src <= 68; - when "01011100" => - dest <= 55; - src <= 30; - when "01011101" => - dest <= 60; - src <= 31; - when "01011110" => - dest <= 31; - src <= 79; - when "01011111" => - dest <= 99; - src <= 92; - when "01100000" => - dest <= 46; - src <= 31; - when "01100001" => - dest <= 31; - src <= 31; - when "01100010" => - dest <= 31; - src <= 82; - when "01100011" => - dest <= 59; - src <= 2; - when "01100100" => - dest <= 25; - src <= 17; - when "01100101" => - dest <= 18; - src <= 31; - when "01100110" => - dest <= 31; - src <= 31; - when "01100111" => - dest <= 14; - src <= 73; - when "01101000" => - dest <= 50; - src <= 26; - when "01101001" => - dest <= 3; - src <= 13; - when "01101010" => - dest <= 31; - src <= 31; - when "01101011" => - dest <= 48; - src <= 47; - when "01101100" => - dest <= 92; - src <= 54; - when "01101101" => - dest <= 31; - src <= 12; - when "01101110" => - dest <= 63; - src <= 58; - when "01101111" => - dest <= 31; - src <= 31; - when "01110000" => - dest <= 90; - src <= 69; - when "01110001" => - dest <= 54; - src <= 24; - when "01110010" => - dest <= 31; - src <= 31; - when "01110011" => - dest <= 31; - src <= 70; - when "01110100" => - dest <= 9; - src <= 31; - when "01110101" => - dest <= 45; - src <= 9; - when "01110110" => - dest <= 31; - src <= 31; - when "01110111" => - dest <= 81; - src <= 72; - when "01111000" => - dest <= 31; - src <= 18; - when "01111001" => - dest <= 36; - src <= 31; - when "01111010" => - dest <= 31; - src <= 31; - when "01111011" => - dest <= 31; - src <= 63; - when "01111100" => - dest <= 28; - src <= 27; - when "01111101" => - dest <= 24; - src <= 31; - when "01111110" => - dest <= 31; - src <= 81; - when "01111111" => - dest <= 13; - src <= 31; - when "10000000" => - dest <= 31; - src <= 36; - when "10000001" => - dest <= 2; - src <= 31; - when "10000010" => - dest <= 31; - src <= 44; - when "10000011" => - dest <= 62; - src <= 48; - when "10000100" => - dest <= 0; - src <= 31; - when "10000101" => - dest <= 53; - src <= 59; - when "10000110" => - dest <= 31; - src <= 31; - when "10000111" => - dest <= 19; - src <= 60; - when "10001000" => - dest <= 44; - src <= 31; - when "10001001" => - dest <= 91; - src <= 0; - when "10001010" => - dest <= 31; - src <= 62; - when "10001011" => - dest <= 35; - src <= 19; - when "10001100" => - dest <= 31; - src <= 31; - when "10001101" => - dest <= 31; - src <= 53; - when "10001110" => - dest <= 23; - src <= 28; - when "10001111" => - dest <= 12; - src <= 71; - when "10010000" => - dest <= 31; - src <= 31; - when "10010001" => - dest <= 10; - src <= 37; - when "10010010" => - dest <= 52; - src <= 31; - when "10010011" => - dest <= 1; - src <= 49; - when "10010100" => - dest <= 34; - src <= 50; - when "10010101" => - dest <= 31; - src <= 31; - when "10010110" => - dest <= 22; - src <= 52; - when "10010111" => - dest <= 11; - src <= 10; - when "10011000" => - dest <= 33; - src <= 61; - when "10011001" => - dest <= 31; - src <= 38; - when "10011010" => - dest <= 31; - src <= 40; - when "10011011" => - dest <= 86; - src <= 51; - when "10011100" => - dest <= 75; - src <= 39; - when others => - dest <= 31; - src <= 31; - - end case; - end process; - - end generate NI_NUM31; - - NI_NUM32 : if NI_NUM = 32 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 48; - src <= 32; - when "00000001" => - dest <= 52; - src <= 32; - when "00000010" => - dest <= 32; - src <= 32; - when "00000011" => - dest <= 22; - src <= 32; - when "00000100" => - dest <= 97; - src <= 32; - when "00000101" => - dest <= 88; - src <= 12; - when "00000110" => - dest <= 32; - src <= 42; - when "00000111" => - dest <= 78; - src <= 26; - when "00001000" => - dest <= 20; - src <= 32; - when "00001001" => - dest <= 32; - src <= 98; - when "00001010" => - dest <= 32; - src <= 87; - when "00001011" => - dest <= 42; - src <= 32; - when "00001100" => - dest <= 68; - src <= 32; - when "00001101" => - dest <= 77; - src <= 44; - when "00001110" => - dest <= 86; - src <= 22; - when "00001111" => - dest <= 62; - src <= 77; - when "00010000" => - dest <= 32; - src <= 86; - when "00010001" => - dest <= 32; - src <= 96; - when "00010010" => - dest <= 32; - src <= 32; - when "00010011" => - dest <= 28; - src <= 32; - when "00010100" => - dest <= 7; - src <= 2; - when "00010101" => - dest <= 32; - src <= 6; - when "00010110" => - dest <= 72; - src <= 32; - when "00010111" => - dest <= 21; - src <= 32; - when "00011000" => - dest <= 96; - src <= 97; - when "00011001" => - dest <= 89; - src <= 88; - when "00011010" => - dest <= 32; - src <= 46; - when "00011011" => - dest <= 79; - src <= 43; - when "00011100" => - dest <= 39; - src <= 92; - when "00011101" => - dest <= 32; - src <= 32; - when "00011110" => - dest <= 32; - src <= 67; - when "00011111" => - dest <= 69; - src <= 32; - when "00100000" => - dest <= 44; - src <= 32; - when "00100001" => - dest <= 98; - src <= 35; - when "00100010" => - dest <= 85; - src <= 78; - when "00100011" => - dest <= 67; - src <= 85; - when "00100100" => - dest <= 32; - src <= 95; - when "00100101" => - dest <= 43; - src <= 20; - when "00100110" => - dest <= 32; - src <= 32; - when "00100111" => - dest <= 58; - src <= 5; - when "00101000" => - dest <= 32; - src <= 32; - when "00101001" => - dest <= 32; - src <= 21; - when "00101010" => - dest <= 17; - src <= 32; - when "00101011" => - dest <= 73; - src <= 76; - when "00101100" => - dest <= 18; - src <= 89; - when "00101101" => - dest <= 31; - src <= 7; - when "00101110" => - dest <= 6; - src <= 32; - when "00101111" => - dest <= 32; - src <= 16; - when "00110000" => - dest <= 32; - src <= 33; - when "00110001" => - dest <= 95; - src <= 32; - when "00110010" => - dest <= 99; - src <= 91; - when "00110011" => - dest <= 32; - src <= 57; - when "00110100" => - dest <= 70; - src <= 56; - when "00110101" => - dest <= 30; - src <= 32; - when "00110110" => - dest <= 32; - src <= 32; - when "00110111" => - dest <= 32; - src <= 68; - when "00111000" => - dest <= 75; - src <= 32; - when "00111001" => - dest <= 8; - src <= 34; - when "00111010" => - dest <= 41; - src <= 79; - when "00111011" => - dest <= 84; - src <= 75; - when "00111100" => - dest <= 32; - src <= 94; - when "00111101" => - dest <= 66; - src <= 32; - when "00111110" => - dest <= 32; - src <= 23; - when "00111111" => - dest <= 57; - src <= 32; - when "01000000" => - dest <= 32; - src <= 32; - when "01000001" => - dest <= 38; - src <= 99; - when "01000010" => - dest <= 80; - src <= 66; - when "01000011" => - dest <= 32; - src <= 32; - when "01000100" => - dest <= 40; - src <= 80; - when "01000101" => - dest <= 32; - src <= 32; - when "01000110" => - dest <= 74; - src <= 8; - when "01000111" => - dest <= 27; - src <= 36; - when "01001000" => - dest <= 9; - src <= 17; - when "01001001" => - dest <= 32; - src <= 24; - when "01001010" => - dest <= 32; - src <= 32; - when "01001011" => - dest <= 32; - src <= 84; - when "01001100" => - dest <= 16; - src <= 32; - when "01001101" => - dest <= 83; - src <= 32; - when "01001110" => - dest <= 32; - src <= 90; - when "01001111" => - dest <= 59; - src <= 47; - when "01010000" => - dest <= 32; - src <= 65; - when "01010001" => - dest <= 60; - src <= 32; - when "01010010" => - dest <= 65; - src <= 32; - when "01010011" => - dest <= 5; - src <= 32; - when "01010100" => - dest <= 32; - src <= 58; - when "01010101" => - dest <= 32; - src <= 81; - when "01010110" => - dest <= 94; - src <= 15; - when "01010111" => - dest <= 32; - src <= 32; - when "01011000" => - dest <= 71; - src <= 4; - when "01011001" => - dest <= 33; - src <= 32; - when "01011010" => - dest <= 81; - src <= 9; - when "01011011" => - dest <= 32; - src <= 69; - when "01011100" => - dest <= 56; - src <= 31; - when "01011101" => - dest <= 61; - src <= 32; - when "01011110" => - dest <= 32; - src <= 70; - when "01011111" => - dest <= 90; - src <= 93; - when "01100000" => - dest <= 47; - src <= 32; - when "01100001" => - dest <= 32; - src <= 32; - when "01100010" => - dest <= 32; - src <= 83; - when "01100011" => - dest <= 50; - src <= 3; - when "01100100" => - dest <= 26; - src <= 18; - when "01100101" => - dest <= 19; - src <= 32; - when "01100110" => - dest <= 32; - src <= 32; - when "01100111" => - dest <= 15; - src <= 74; - when "01101000" => - dest <= 51; - src <= 27; - when "01101001" => - dest <= 4; - src <= 14; - when "01101010" => - dest <= 32; - src <= 32; - when "01101011" => - dest <= 49; - src <= 48; - when "01101100" => - dest <= 93; - src <= 55; - when "01101101" => - dest <= 32; - src <= 13; - when "01101110" => - dest <= 64; - src <= 59; - when "01101111" => - dest <= 32; - src <= 32; - when "01110000" => - dest <= 91; - src <= 60; - when "01110001" => - dest <= 55; - src <= 25; - when "01110010" => - dest <= 32; - src <= 32; - when "01110011" => - dest <= 32; - src <= 71; - when "01110100" => - dest <= 0; - src <= 32; - when "01110101" => - dest <= 46; - src <= 0; - when "01110110" => - dest <= 32; - src <= 32; - when "01110111" => - dest <= 82; - src <= 73; - when "01111000" => - dest <= 32; - src <= 19; - when "01111001" => - dest <= 37; - src <= 32; - when "01111010" => - dest <= 32; - src <= 32; - when "01111011" => - dest <= 32; - src <= 64; - when "01111100" => - dest <= 29; - src <= 28; - when "01111101" => - dest <= 25; - src <= 32; - when "01111110" => - dest <= 32; - src <= 82; - when "01111111" => - dest <= 14; - src <= 32; - when "10000000" => - dest <= 32; - src <= 37; - when "10000001" => - dest <= 3; - src <= 32; - when "10000010" => - dest <= 32; - src <= 45; - when "10000011" => - dest <= 63; - src <= 49; - when "10000100" => - dest <= 1; - src <= 32; - when "10000101" => - dest <= 54; - src <= 50; - when "10000110" => - dest <= 32; - src <= 32; - when "10000111" => - dest <= 10; - src <= 61; - when "10001000" => - dest <= 45; - src <= 32; - when "10001001" => - dest <= 92; - src <= 1; - when "10001010" => - dest <= 32; - src <= 63; - when "10001011" => - dest <= 36; - src <= 10; - when "10001100" => - dest <= 32; - src <= 32; - when "10001101" => - dest <= 32; - src <= 54; - when "10001110" => - dest <= 24; - src <= 29; - when "10001111" => - dest <= 13; - src <= 72; - when "10010000" => - dest <= 32; - src <= 32; - when "10010001" => - dest <= 11; - src <= 38; - when "10010010" => - dest <= 53; - src <= 32; - when "10010011" => - dest <= 2; - src <= 40; - when "10010100" => - dest <= 35; - src <= 51; - when "10010101" => - dest <= 32; - src <= 32; - when "10010110" => - dest <= 23; - src <= 53; - when "10010111" => - dest <= 12; - src <= 11; - when "10011000" => - dest <= 34; - src <= 62; - when "10011001" => - dest <= 32; - src <= 39; - when "10011010" => - dest <= 32; - src <= 41; - when "10011011" => - dest <= 87; - src <= 52; - when "10011100" => - dest <= 76; - src <= 30; - when others => - dest <= 32; - src <= 32; - - end case; - end process; - - end generate NI_NUM32; - - NI_NUM33 : if NI_NUM = 33 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 49; - src <= 33; - when "00000001" => - dest <= 53; - src <= 33; - when "00000010" => - dest <= 33; - src <= 33; - when "00000011" => - dest <= 23; - src <= 33; - when "00000100" => - dest <= 98; - src <= 33; - when "00000101" => - dest <= 89; - src <= 13; - when "00000110" => - dest <= 33; - src <= 43; - when "00000111" => - dest <= 79; - src <= 27; - when "00001000" => - dest <= 21; - src <= 33; - when "00001001" => - dest <= 33; - src <= 99; - when "00001010" => - dest <= 33; - src <= 88; - when "00001011" => - dest <= 43; - src <= 33; - when "00001100" => - dest <= 69; - src <= 33; - when "00001101" => - dest <= 78; - src <= 45; - when "00001110" => - dest <= 87; - src <= 23; - when "00001111" => - dest <= 63; - src <= 78; - when "00010000" => - dest <= 33; - src <= 87; - when "00010001" => - dest <= 33; - src <= 97; - when "00010010" => - dest <= 33; - src <= 33; - when "00010011" => - dest <= 29; - src <= 33; - when "00010100" => - dest <= 8; - src <= 3; - when "00010101" => - dest <= 33; - src <= 7; - when "00010110" => - dest <= 73; - src <= 33; - when "00010111" => - dest <= 22; - src <= 33; - when "00011000" => - dest <= 97; - src <= 98; - when "00011001" => - dest <= 80; - src <= 89; - when "00011010" => - dest <= 33; - src <= 47; - when "00011011" => - dest <= 70; - src <= 44; - when "00011100" => - dest <= 30; - src <= 93; - when "00011101" => - dest <= 33; - src <= 33; - when "00011110" => - dest <= 33; - src <= 68; - when "00011111" => - dest <= 60; - src <= 33; - when "00100000" => - dest <= 45; - src <= 33; - when "00100001" => - dest <= 99; - src <= 36; - when "00100010" => - dest <= 86; - src <= 79; - when "00100011" => - dest <= 68; - src <= 86; - when "00100100" => - dest <= 33; - src <= 96; - when "00100101" => - dest <= 44; - src <= 21; - when "00100110" => - dest <= 33; - src <= 33; - when "00100111" => - dest <= 59; - src <= 6; - when "00101000" => - dest <= 33; - src <= 33; - when "00101001" => - dest <= 33; - src <= 22; - when "00101010" => - dest <= 18; - src <= 33; - when "00101011" => - dest <= 74; - src <= 77; - when "00101100" => - dest <= 19; - src <= 80; - when "00101101" => - dest <= 32; - src <= 8; - when "00101110" => - dest <= 7; - src <= 33; - when "00101111" => - dest <= 33; - src <= 17; - when "00110000" => - dest <= 33; - src <= 34; - when "00110001" => - dest <= 96; - src <= 33; - when "00110010" => - dest <= 90; - src <= 92; - when "00110011" => - dest <= 33; - src <= 58; - when "00110100" => - dest <= 71; - src <= 57; - when "00110101" => - dest <= 31; - src <= 33; - when "00110110" => - dest <= 33; - src <= 33; - when "00110111" => - dest <= 33; - src <= 69; - when "00111000" => - dest <= 76; - src <= 33; - when "00111001" => - dest <= 9; - src <= 35; - when "00111010" => - dest <= 42; - src <= 70; - when "00111011" => - dest <= 85; - src <= 76; - when "00111100" => - dest <= 33; - src <= 95; - when "00111101" => - dest <= 67; - src <= 33; - when "00111110" => - dest <= 33; - src <= 24; - when "00111111" => - dest <= 58; - src <= 33; - when "01000000" => - dest <= 33; - src <= 33; - when "01000001" => - dest <= 39; - src <= 90; - when "01000010" => - dest <= 81; - src <= 67; - when "01000011" => - dest <= 33; - src <= 33; - when "01000100" => - dest <= 41; - src <= 81; - when "01000101" => - dest <= 33; - src <= 33; - when "01000110" => - dest <= 75; - src <= 9; - when "01000111" => - dest <= 28; - src <= 37; - when "01001000" => - dest <= 0; - src <= 18; - when "01001001" => - dest <= 33; - src <= 25; - when "01001010" => - dest <= 33; - src <= 33; - when "01001011" => - dest <= 33; - src <= 85; - when "01001100" => - dest <= 17; - src <= 33; - when "01001101" => - dest <= 84; - src <= 33; - when "01001110" => - dest <= 33; - src <= 91; - when "01001111" => - dest <= 50; - src <= 48; - when "01010000" => - dest <= 33; - src <= 66; - when "01010001" => - dest <= 61; - src <= 33; - when "01010010" => - dest <= 66; - src <= 33; - when "01010011" => - dest <= 6; - src <= 33; - when "01010100" => - dest <= 33; - src <= 59; - when "01010101" => - dest <= 33; - src <= 82; - when "01010110" => - dest <= 95; - src <= 16; - when "01010111" => - dest <= 33; - src <= 33; - when "01011000" => - dest <= 72; - src <= 5; - when "01011001" => - dest <= 34; - src <= 33; - when "01011010" => - dest <= 82; - src <= 0; - when "01011011" => - dest <= 33; - src <= 60; - when "01011100" => - dest <= 57; - src <= 32; - when "01011101" => - dest <= 62; - src <= 33; - when "01011110" => - dest <= 33; - src <= 71; - when "01011111" => - dest <= 91; - src <= 94; - when "01100000" => - dest <= 48; - src <= 33; - when "01100001" => - dest <= 33; - src <= 33; - when "01100010" => - dest <= 33; - src <= 84; - when "01100011" => - dest <= 51; - src <= 4; - when "01100100" => - dest <= 27; - src <= 19; - when "01100101" => - dest <= 10; - src <= 33; - when "01100110" => - dest <= 33; - src <= 33; - when "01100111" => - dest <= 16; - src <= 75; - when "01101000" => - dest <= 52; - src <= 28; - when "01101001" => - dest <= 5; - src <= 15; - when "01101010" => - dest <= 33; - src <= 33; - when "01101011" => - dest <= 40; - src <= 49; - when "01101100" => - dest <= 94; - src <= 56; - when "01101101" => - dest <= 33; - src <= 14; - when "01101110" => - dest <= 65; - src <= 50; - when "01101111" => - dest <= 33; - src <= 33; - when "01110000" => - dest <= 92; - src <= 61; - when "01110001" => - dest <= 56; - src <= 26; - when "01110010" => - dest <= 33; - src <= 33; - when "01110011" => - dest <= 33; - src <= 72; - when "01110100" => - dest <= 1; - src <= 33; - when "01110101" => - dest <= 47; - src <= 1; - when "01110110" => - dest <= 33; - src <= 33; - when "01110111" => - dest <= 83; - src <= 74; - when "01111000" => - dest <= 33; - src <= 10; - when "01111001" => - dest <= 38; - src <= 33; - when "01111010" => - dest <= 33; - src <= 33; - when "01111011" => - dest <= 33; - src <= 65; - when "01111100" => - dest <= 20; - src <= 29; - when "01111101" => - dest <= 26; - src <= 33; - when "01111110" => - dest <= 33; - src <= 83; - when "01111111" => - dest <= 15; - src <= 33; - when "10000000" => - dest <= 33; - src <= 38; - when "10000001" => - dest <= 4; - src <= 33; - when "10000010" => - dest <= 33; - src <= 46; - when "10000011" => - dest <= 64; - src <= 40; - when "10000100" => - dest <= 2; - src <= 33; - when "10000101" => - dest <= 55; - src <= 51; - when "10000110" => - dest <= 33; - src <= 33; - when "10000111" => - dest <= 11; - src <= 62; - when "10001000" => - dest <= 46; - src <= 33; - when "10001001" => - dest <= 93; - src <= 2; - when "10001010" => - dest <= 33; - src <= 64; - when "10001011" => - dest <= 37; - src <= 11; - when "10001100" => - dest <= 33; - src <= 33; - when "10001101" => - dest <= 33; - src <= 55; - when "10001110" => - dest <= 25; - src <= 20; - when "10001111" => - dest <= 14; - src <= 73; - when "10010000" => - dest <= 33; - src <= 33; - when "10010001" => - dest <= 12; - src <= 39; - when "10010010" => - dest <= 54; - src <= 33; - when "10010011" => - dest <= 3; - src <= 41; - when "10010100" => - dest <= 36; - src <= 52; - when "10010101" => - dest <= 33; - src <= 33; - when "10010110" => - dest <= 24; - src <= 54; - when "10010111" => - dest <= 13; - src <= 12; - when "10011000" => - dest <= 35; - src <= 63; - when "10011001" => - dest <= 33; - src <= 30; - when "10011010" => - dest <= 33; - src <= 42; - when "10011011" => - dest <= 88; - src <= 53; - when "10011100" => - dest <= 77; - src <= 31; - when others => - dest <= 33; - src <= 33; - - end case; - end process; - - end generate NI_NUM33; - - NI_NUM34 : if NI_NUM = 34 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 40; - src <= 34; - when "00000001" => - dest <= 54; - src <= 34; - when "00000010" => - dest <= 34; - src <= 34; - when "00000011" => - dest <= 24; - src <= 34; - when "00000100" => - dest <= 99; - src <= 34; - when "00000101" => - dest <= 80; - src <= 14; - when "00000110" => - dest <= 34; - src <= 44; - when "00000111" => - dest <= 70; - src <= 28; - when "00001000" => - dest <= 22; - src <= 34; - when "00001001" => - dest <= 34; - src <= 90; - when "00001010" => - dest <= 34; - src <= 89; - when "00001011" => - dest <= 44; - src <= 34; - when "00001100" => - dest <= 60; - src <= 34; - when "00001101" => - dest <= 79; - src <= 46; - when "00001110" => - dest <= 88; - src <= 24; - when "00001111" => - dest <= 64; - src <= 79; - when "00010000" => - dest <= 34; - src <= 88; - when "00010001" => - dest <= 34; - src <= 98; - when "00010010" => - dest <= 34; - src <= 34; - when "00010011" => - dest <= 20; - src <= 34; - when "00010100" => - dest <= 9; - src <= 4; - when "00010101" => - dest <= 34; - src <= 8; - when "00010110" => - dest <= 74; - src <= 34; - when "00010111" => - dest <= 23; - src <= 34; - when "00011000" => - dest <= 98; - src <= 99; - when "00011001" => - dest <= 81; - src <= 80; - when "00011010" => - dest <= 34; - src <= 48; - when "00011011" => - dest <= 71; - src <= 45; - when "00011100" => - dest <= 31; - src <= 94; - when "00011101" => - dest <= 34; - src <= 34; - when "00011110" => - dest <= 34; - src <= 69; - when "00011111" => - dest <= 61; - src <= 34; - when "00100000" => - dest <= 46; - src <= 34; - when "00100001" => - dest <= 90; - src <= 37; - when "00100010" => - dest <= 87; - src <= 70; - when "00100011" => - dest <= 69; - src <= 87; - when "00100100" => - dest <= 34; - src <= 97; - when "00100101" => - dest <= 45; - src <= 22; - when "00100110" => - dest <= 34; - src <= 34; - when "00100111" => - dest <= 50; - src <= 7; - when "00101000" => - dest <= 34; - src <= 34; - when "00101001" => - dest <= 34; - src <= 23; - when "00101010" => - dest <= 19; - src <= 34; - when "00101011" => - dest <= 75; - src <= 78; - when "00101100" => - dest <= 10; - src <= 81; - when "00101101" => - dest <= 33; - src <= 9; - when "00101110" => - dest <= 8; - src <= 34; - when "00101111" => - dest <= 34; - src <= 18; - when "00110000" => - dest <= 34; - src <= 35; - when "00110001" => - dest <= 97; - src <= 34; - when "00110010" => - dest <= 91; - src <= 93; - when "00110011" => - dest <= 34; - src <= 59; - when "00110100" => - dest <= 72; - src <= 58; - when "00110101" => - dest <= 32; - src <= 34; - when "00110110" => - dest <= 34; - src <= 34; - when "00110111" => - dest <= 34; - src <= 60; - when "00111000" => - dest <= 77; - src <= 34; - when "00111001" => - dest <= 0; - src <= 36; - when "00111010" => - dest <= 43; - src <= 71; - when "00111011" => - dest <= 86; - src <= 77; - when "00111100" => - dest <= 34; - src <= 96; - when "00111101" => - dest <= 68; - src <= 34; - when "00111110" => - dest <= 34; - src <= 25; - when "00111111" => - dest <= 59; - src <= 34; - when "01000000" => - dest <= 34; - src <= 34; - when "01000001" => - dest <= 30; - src <= 91; - when "01000010" => - dest <= 82; - src <= 68; - when "01000011" => - dest <= 34; - src <= 34; - when "01000100" => - dest <= 42; - src <= 82; - when "01000101" => - dest <= 34; - src <= 34; - when "01000110" => - dest <= 76; - src <= 0; - when "01000111" => - dest <= 29; - src <= 38; - when "01001000" => - dest <= 1; - src <= 19; - when "01001001" => - dest <= 34; - src <= 26; - when "01001010" => - dest <= 34; - src <= 34; - when "01001011" => - dest <= 34; - src <= 86; - when "01001100" => - dest <= 18; - src <= 34; - when "01001101" => - dest <= 85; - src <= 34; - when "01001110" => - dest <= 34; - src <= 92; - when "01001111" => - dest <= 51; - src <= 49; - when "01010000" => - dest <= 34; - src <= 67; - when "01010001" => - dest <= 62; - src <= 34; - when "01010010" => - dest <= 67; - src <= 34; - when "01010011" => - dest <= 7; - src <= 34; - when "01010100" => - dest <= 34; - src <= 50; - when "01010101" => - dest <= 34; - src <= 83; - when "01010110" => - dest <= 96; - src <= 17; - when "01010111" => - dest <= 34; - src <= 34; - when "01011000" => - dest <= 73; - src <= 6; - when "01011001" => - dest <= 35; - src <= 34; - when "01011010" => - dest <= 83; - src <= 1; - when "01011011" => - dest <= 34; - src <= 61; - when "01011100" => - dest <= 58; - src <= 33; - when "01011101" => - dest <= 63; - src <= 34; - when "01011110" => - dest <= 34; - src <= 72; - when "01011111" => - dest <= 92; - src <= 95; - when "01100000" => - dest <= 49; - src <= 34; - when "01100001" => - dest <= 34; - src <= 34; - when "01100010" => - dest <= 34; - src <= 85; - when "01100011" => - dest <= 52; - src <= 5; - when "01100100" => - dest <= 28; - src <= 10; - when "01100101" => - dest <= 11; - src <= 34; - when "01100110" => - dest <= 34; - src <= 34; - when "01100111" => - dest <= 17; - src <= 76; - when "01101000" => - dest <= 53; - src <= 29; - when "01101001" => - dest <= 6; - src <= 16; - when "01101010" => - dest <= 34; - src <= 34; - when "01101011" => - dest <= 41; - src <= 40; - when "01101100" => - dest <= 95; - src <= 57; - when "01101101" => - dest <= 34; - src <= 15; - when "01101110" => - dest <= 66; - src <= 51; - when "01101111" => - dest <= 34; - src <= 34; - when "01110000" => - dest <= 93; - src <= 62; - when "01110001" => - dest <= 57; - src <= 27; - when "01110010" => - dest <= 34; - src <= 34; - when "01110011" => - dest <= 34; - src <= 73; - when "01110100" => - dest <= 2; - src <= 34; - when "01110101" => - dest <= 48; - src <= 2; - when "01110110" => - dest <= 34; - src <= 34; - when "01110111" => - dest <= 84; - src <= 75; - when "01111000" => - dest <= 34; - src <= 11; - when "01111001" => - dest <= 39; - src <= 34; - when "01111010" => - dest <= 34; - src <= 34; - when "01111011" => - dest <= 34; - src <= 66; - when "01111100" => - dest <= 21; - src <= 20; - when "01111101" => - dest <= 27; - src <= 34; - when "01111110" => - dest <= 34; - src <= 84; - when "01111111" => - dest <= 16; - src <= 34; - when "10000000" => - dest <= 34; - src <= 39; - when "10000001" => - dest <= 5; - src <= 34; - when "10000010" => - dest <= 34; - src <= 47; - when "10000011" => - dest <= 65; - src <= 41; - when "10000100" => - dest <= 3; - src <= 34; - when "10000101" => - dest <= 56; - src <= 52; - when "10000110" => - dest <= 34; - src <= 34; - when "10000111" => - dest <= 12; - src <= 63; - when "10001000" => - dest <= 47; - src <= 34; - when "10001001" => - dest <= 94; - src <= 3; - when "10001010" => - dest <= 34; - src <= 65; - when "10001011" => - dest <= 38; - src <= 12; - when "10001100" => - dest <= 34; - src <= 34; - when "10001101" => - dest <= 34; - src <= 56; - when "10001110" => - dest <= 26; - src <= 21; - when "10001111" => - dest <= 15; - src <= 74; - when "10010000" => - dest <= 34; - src <= 34; - when "10010001" => - dest <= 13; - src <= 30; - when "10010010" => - dest <= 55; - src <= 34; - when "10010011" => - dest <= 4; - src <= 42; - when "10010100" => - dest <= 37; - src <= 53; - when "10010101" => - dest <= 34; - src <= 34; - when "10010110" => - dest <= 25; - src <= 55; - when "10010111" => - dest <= 14; - src <= 13; - when "10011000" => - dest <= 36; - src <= 64; - when "10011001" => - dest <= 34; - src <= 31; - when "10011010" => - dest <= 34; - src <= 43; - when "10011011" => - dest <= 89; - src <= 54; - when "10011100" => - dest <= 78; - src <= 32; - when others => - dest <= 34; - src <= 34; - - end case; - end process; - - end generate NI_NUM34; - - NI_NUM35 : if NI_NUM = 35 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 41; - src <= 35; - when "00000001" => - dest <= 55; - src <= 35; - when "00000010" => - dest <= 35; - src <= 35; - when "00000011" => - dest <= 25; - src <= 35; - when "00000100" => - dest <= 90; - src <= 35; - when "00000101" => - dest <= 81; - src <= 15; - when "00000110" => - dest <= 35; - src <= 45; - when "00000111" => - dest <= 71; - src <= 29; - when "00001000" => - dest <= 23; - src <= 35; - when "00001001" => - dest <= 35; - src <= 91; - when "00001010" => - dest <= 35; - src <= 80; - when "00001011" => - dest <= 45; - src <= 35; - when "00001100" => - dest <= 61; - src <= 35; - when "00001101" => - dest <= 70; - src <= 47; - when "00001110" => - dest <= 89; - src <= 25; - when "00001111" => - dest <= 65; - src <= 70; - when "00010000" => - dest <= 35; - src <= 89; - when "00010001" => - dest <= 35; - src <= 99; - when "00010010" => - dest <= 35; - src <= 35; - when "00010011" => - dest <= 21; - src <= 35; - when "00010100" => - dest <= 0; - src <= 5; - when "00010101" => - dest <= 35; - src <= 9; - when "00010110" => - dest <= 75; - src <= 35; - when "00010111" => - dest <= 24; - src <= 35; - when "00011000" => - dest <= 99; - src <= 90; - when "00011001" => - dest <= 82; - src <= 81; - when "00011010" => - dest <= 35; - src <= 49; - when "00011011" => - dest <= 72; - src <= 46; - when "00011100" => - dest <= 32; - src <= 95; - when "00011101" => - dest <= 35; - src <= 35; - when "00011110" => - dest <= 35; - src <= 60; - when "00011111" => - dest <= 62; - src <= 35; - when "00100000" => - dest <= 47; - src <= 35; - when "00100001" => - dest <= 91; - src <= 38; - when "00100010" => - dest <= 88; - src <= 71; - when "00100011" => - dest <= 60; - src <= 88; - when "00100100" => - dest <= 35; - src <= 98; - when "00100101" => - dest <= 46; - src <= 23; - when "00100110" => - dest <= 35; - src <= 35; - when "00100111" => - dest <= 51; - src <= 8; - when "00101000" => - dest <= 35; - src <= 35; - when "00101001" => - dest <= 35; - src <= 24; - when "00101010" => - dest <= 10; - src <= 35; - when "00101011" => - dest <= 76; - src <= 79; - when "00101100" => - dest <= 11; - src <= 82; - when "00101101" => - dest <= 34; - src <= 0; - when "00101110" => - dest <= 9; - src <= 35; - when "00101111" => - dest <= 35; - src <= 19; - when "00110000" => - dest <= 35; - src <= 36; - when "00110001" => - dest <= 98; - src <= 35; - when "00110010" => - dest <= 92; - src <= 94; - when "00110011" => - dest <= 35; - src <= 50; - when "00110100" => - dest <= 73; - src <= 59; - when "00110101" => - dest <= 33; - src <= 35; - when "00110110" => - dest <= 35; - src <= 35; - when "00110111" => - dest <= 35; - src <= 61; - when "00111000" => - dest <= 78; - src <= 35; - when "00111001" => - dest <= 1; - src <= 37; - when "00111010" => - dest <= 44; - src <= 72; - when "00111011" => - dest <= 87; - src <= 78; - when "00111100" => - dest <= 35; - src <= 97; - when "00111101" => - dest <= 69; - src <= 35; - when "00111110" => - dest <= 35; - src <= 26; - when "00111111" => - dest <= 50; - src <= 35; - when "01000000" => - dest <= 35; - src <= 35; - when "01000001" => - dest <= 31; - src <= 92; - when "01000010" => - dest <= 83; - src <= 69; - when "01000011" => - dest <= 35; - src <= 35; - when "01000100" => - dest <= 43; - src <= 83; - when "01000101" => - dest <= 35; - src <= 35; - when "01000110" => - dest <= 77; - src <= 1; - when "01000111" => - dest <= 20; - src <= 39; - when "01001000" => - dest <= 2; - src <= 10; - when "01001001" => - dest <= 35; - src <= 27; - when "01001010" => - dest <= 35; - src <= 35; - when "01001011" => - dest <= 35; - src <= 87; - when "01001100" => - dest <= 19; - src <= 35; - when "01001101" => - dest <= 86; - src <= 35; - when "01001110" => - dest <= 35; - src <= 93; - when "01001111" => - dest <= 52; - src <= 40; - when "01010000" => - dest <= 35; - src <= 68; - when "01010001" => - dest <= 63; - src <= 35; - when "01010010" => - dest <= 68; - src <= 35; - when "01010011" => - dest <= 8; - src <= 35; - when "01010100" => - dest <= 35; - src <= 51; - when "01010101" => - dest <= 35; - src <= 84; - when "01010110" => - dest <= 97; - src <= 18; - when "01010111" => - dest <= 35; - src <= 35; - when "01011000" => - dest <= 74; - src <= 7; - when "01011001" => - dest <= 36; - src <= 35; - when "01011010" => - dest <= 84; - src <= 2; - when "01011011" => - dest <= 35; - src <= 62; - when "01011100" => - dest <= 59; - src <= 34; - when "01011101" => - dest <= 64; - src <= 35; - when "01011110" => - dest <= 35; - src <= 73; - when "01011111" => - dest <= 93; - src <= 96; - when "01100000" => - dest <= 40; - src <= 35; - when "01100001" => - dest <= 35; - src <= 35; - when "01100010" => - dest <= 35; - src <= 86; - when "01100011" => - dest <= 53; - src <= 6; - when "01100100" => - dest <= 29; - src <= 11; - when "01100101" => - dest <= 12; - src <= 35; - when "01100110" => - dest <= 35; - src <= 35; - when "01100111" => - dest <= 18; - src <= 77; - when "01101000" => - dest <= 54; - src <= 20; - when "01101001" => - dest <= 7; - src <= 17; - when "01101010" => - dest <= 35; - src <= 35; - when "01101011" => - dest <= 42; - src <= 41; - when "01101100" => - dest <= 96; - src <= 58; - when "01101101" => - dest <= 35; - src <= 16; - when "01101110" => - dest <= 67; - src <= 52; - when "01101111" => - dest <= 35; - src <= 35; - when "01110000" => - dest <= 94; - src <= 63; - when "01110001" => - dest <= 58; - src <= 28; - when "01110010" => - dest <= 35; - src <= 35; - when "01110011" => - dest <= 35; - src <= 74; - when "01110100" => - dest <= 3; - src <= 35; - when "01110101" => - dest <= 49; - src <= 3; - when "01110110" => - dest <= 35; - src <= 35; - when "01110111" => - dest <= 85; - src <= 76; - when "01111000" => - dest <= 35; - src <= 12; - when "01111001" => - dest <= 30; - src <= 35; - when "01111010" => - dest <= 35; - src <= 35; - when "01111011" => - dest <= 35; - src <= 67; - when "01111100" => - dest <= 22; - src <= 21; - when "01111101" => - dest <= 28; - src <= 35; - when "01111110" => - dest <= 35; - src <= 85; - when "01111111" => - dest <= 17; - src <= 35; - when "10000000" => - dest <= 35; - src <= 30; - when "10000001" => - dest <= 6; - src <= 35; - when "10000010" => - dest <= 35; - src <= 48; - when "10000011" => - dest <= 66; - src <= 42; - when "10000100" => - dest <= 4; - src <= 35; - when "10000101" => - dest <= 57; - src <= 53; - when "10000110" => - dest <= 35; - src <= 35; - when "10000111" => - dest <= 13; - src <= 64; - when "10001000" => - dest <= 48; - src <= 35; - when "10001001" => - dest <= 95; - src <= 4; - when "10001010" => - dest <= 35; - src <= 66; - when "10001011" => - dest <= 39; - src <= 13; - when "10001100" => - dest <= 35; - src <= 35; - when "10001101" => - dest <= 35; - src <= 57; - when "10001110" => - dest <= 27; - src <= 22; - when "10001111" => - dest <= 16; - src <= 75; - when "10010000" => - dest <= 35; - src <= 35; - when "10010001" => - dest <= 14; - src <= 31; - when "10010010" => - dest <= 56; - src <= 35; - when "10010011" => - dest <= 5; - src <= 43; - when "10010100" => - dest <= 38; - src <= 54; - when "10010101" => - dest <= 35; - src <= 35; - when "10010110" => - dest <= 26; - src <= 56; - when "10010111" => - dest <= 15; - src <= 14; - when "10011000" => - dest <= 37; - src <= 65; - when "10011001" => - dest <= 35; - src <= 32; - when "10011010" => - dest <= 35; - src <= 44; - when "10011011" => - dest <= 80; - src <= 55; - when "10011100" => - dest <= 79; - src <= 33; - when others => - dest <= 35; - src <= 35; - - end case; - end process; - - end generate NI_NUM35; - - NI_NUM36 : if NI_NUM = 36 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 42; - src <= 36; - when "00000001" => - dest <= 56; - src <= 36; - when "00000010" => - dest <= 36; - src <= 36; - when "00000011" => - dest <= 26; - src <= 36; - when "00000100" => - dest <= 91; - src <= 36; - when "00000101" => - dest <= 82; - src <= 16; - when "00000110" => - dest <= 36; - src <= 46; - when "00000111" => - dest <= 72; - src <= 20; - when "00001000" => - dest <= 24; - src <= 36; - when "00001001" => - dest <= 36; - src <= 92; - when "00001010" => - dest <= 36; - src <= 81; - when "00001011" => - dest <= 46; - src <= 36; - when "00001100" => - dest <= 62; - src <= 36; - when "00001101" => - dest <= 71; - src <= 48; - when "00001110" => - dest <= 80; - src <= 26; - when "00001111" => - dest <= 66; - src <= 71; - when "00010000" => - dest <= 36; - src <= 80; - when "00010001" => - dest <= 36; - src <= 90; - when "00010010" => - dest <= 36; - src <= 36; - when "00010011" => - dest <= 22; - src <= 36; - when "00010100" => - dest <= 1; - src <= 6; - when "00010101" => - dest <= 36; - src <= 0; - when "00010110" => - dest <= 76; - src <= 36; - when "00010111" => - dest <= 25; - src <= 36; - when "00011000" => - dest <= 90; - src <= 91; - when "00011001" => - dest <= 83; - src <= 82; - when "00011010" => - dest <= 36; - src <= 40; - when "00011011" => - dest <= 73; - src <= 47; - when "00011100" => - dest <= 33; - src <= 96; - when "00011101" => - dest <= 36; - src <= 36; - when "00011110" => - dest <= 36; - src <= 61; - when "00011111" => - dest <= 63; - src <= 36; - when "00100000" => - dest <= 48; - src <= 36; - when "00100001" => - dest <= 92; - src <= 39; - when "00100010" => - dest <= 89; - src <= 72; - when "00100011" => - dest <= 61; - src <= 89; - when "00100100" => - dest <= 36; - src <= 99; - when "00100101" => - dest <= 47; - src <= 24; - when "00100110" => - dest <= 36; - src <= 36; - when "00100111" => - dest <= 52; - src <= 9; - when "00101000" => - dest <= 36; - src <= 36; - when "00101001" => - dest <= 36; - src <= 25; - when "00101010" => - dest <= 11; - src <= 36; - when "00101011" => - dest <= 77; - src <= 70; - when "00101100" => - dest <= 12; - src <= 83; - when "00101101" => - dest <= 35; - src <= 1; - when "00101110" => - dest <= 0; - src <= 36; - when "00101111" => - dest <= 36; - src <= 10; - when "00110000" => - dest <= 36; - src <= 37; - when "00110001" => - dest <= 99; - src <= 36; - when "00110010" => - dest <= 93; - src <= 95; - when "00110011" => - dest <= 36; - src <= 51; - when "00110100" => - dest <= 74; - src <= 50; - when "00110101" => - dest <= 34; - src <= 36; - when "00110110" => - dest <= 36; - src <= 36; - when "00110111" => - dest <= 36; - src <= 62; - when "00111000" => - dest <= 79; - src <= 36; - when "00111001" => - dest <= 2; - src <= 38; - when "00111010" => - dest <= 45; - src <= 73; - when "00111011" => - dest <= 88; - src <= 79; - when "00111100" => - dest <= 36; - src <= 98; - when "00111101" => - dest <= 60; - src <= 36; - when "00111110" => - dest <= 36; - src <= 27; - when "00111111" => - dest <= 51; - src <= 36; - when "01000000" => - dest <= 36; - src <= 36; - when "01000001" => - dest <= 32; - src <= 93; - when "01000010" => - dest <= 84; - src <= 60; - when "01000011" => - dest <= 36; - src <= 36; - when "01000100" => - dest <= 44; - src <= 84; - when "01000101" => - dest <= 36; - src <= 36; - when "01000110" => - dest <= 78; - src <= 2; - when "01000111" => - dest <= 21; - src <= 30; - when "01001000" => - dest <= 3; - src <= 11; - when "01001001" => - dest <= 36; - src <= 28; - when "01001010" => - dest <= 36; - src <= 36; - when "01001011" => - dest <= 36; - src <= 88; - when "01001100" => - dest <= 10; - src <= 36; - when "01001101" => - dest <= 87; - src <= 36; - when "01001110" => - dest <= 36; - src <= 94; - when "01001111" => - dest <= 53; - src <= 41; - when "01010000" => - dest <= 36; - src <= 69; - when "01010001" => - dest <= 64; - src <= 36; - when "01010010" => - dest <= 69; - src <= 36; - when "01010011" => - dest <= 9; - src <= 36; - when "01010100" => - dest <= 36; - src <= 52; - when "01010101" => - dest <= 36; - src <= 85; - when "01010110" => - dest <= 98; - src <= 19; - when "01010111" => - dest <= 36; - src <= 36; - when "01011000" => - dest <= 75; - src <= 8; - when "01011001" => - dest <= 37; - src <= 36; - when "01011010" => - dest <= 85; - src <= 3; - when "01011011" => - dest <= 36; - src <= 63; - when "01011100" => - dest <= 50; - src <= 35; - when "01011101" => - dest <= 65; - src <= 36; - when "01011110" => - dest <= 36; - src <= 74; - when "01011111" => - dest <= 94; - src <= 97; - when "01100000" => - dest <= 41; - src <= 36; - when "01100001" => - dest <= 36; - src <= 36; - when "01100010" => - dest <= 36; - src <= 87; - when "01100011" => - dest <= 54; - src <= 7; - when "01100100" => - dest <= 20; - src <= 12; - when "01100101" => - dest <= 13; - src <= 36; - when "01100110" => - dest <= 36; - src <= 36; - when "01100111" => - dest <= 19; - src <= 78; - when "01101000" => - dest <= 55; - src <= 21; - when "01101001" => - dest <= 8; - src <= 18; - when "01101010" => - dest <= 36; - src <= 36; - when "01101011" => - dest <= 43; - src <= 42; - when "01101100" => - dest <= 97; - src <= 59; - when "01101101" => - dest <= 36; - src <= 17; - when "01101110" => - dest <= 68; - src <= 53; - when "01101111" => - dest <= 36; - src <= 36; - when "01110000" => - dest <= 95; - src <= 64; - when "01110001" => - dest <= 59; - src <= 29; - when "01110010" => - dest <= 36; - src <= 36; - when "01110011" => - dest <= 36; - src <= 75; - when "01110100" => - dest <= 4; - src <= 36; - when "01110101" => - dest <= 40; - src <= 4; - when "01110110" => - dest <= 36; - src <= 36; - when "01110111" => - dest <= 86; - src <= 77; - when "01111000" => - dest <= 36; - src <= 13; - when "01111001" => - dest <= 31; - src <= 36; - when "01111010" => - dest <= 36; - src <= 36; - when "01111011" => - dest <= 36; - src <= 68; - when "01111100" => - dest <= 23; - src <= 22; - when "01111101" => - dest <= 29; - src <= 36; - when "01111110" => - dest <= 36; - src <= 86; - when "01111111" => - dest <= 18; - src <= 36; - when "10000000" => - dest <= 36; - src <= 31; - when "10000001" => - dest <= 7; - src <= 36; - when "10000010" => - dest <= 36; - src <= 49; - when "10000011" => - dest <= 67; - src <= 43; - when "10000100" => - dest <= 5; - src <= 36; - when "10000101" => - dest <= 58; - src <= 54; - when "10000110" => - dest <= 36; - src <= 36; - when "10000111" => - dest <= 14; - src <= 65; - when "10001000" => - dest <= 49; - src <= 36; - when "10001001" => - dest <= 96; - src <= 5; - when "10001010" => - dest <= 36; - src <= 67; - when "10001011" => - dest <= 30; - src <= 14; - when "10001100" => - dest <= 36; - src <= 36; - when "10001101" => - dest <= 36; - src <= 58; - when "10001110" => - dest <= 28; - src <= 23; - when "10001111" => - dest <= 17; - src <= 76; - when "10010000" => - dest <= 36; - src <= 36; - when "10010001" => - dest <= 15; - src <= 32; - when "10010010" => - dest <= 57; - src <= 36; - when "10010011" => - dest <= 6; - src <= 44; - when "10010100" => - dest <= 39; - src <= 55; - when "10010101" => - dest <= 36; - src <= 36; - when "10010110" => - dest <= 27; - src <= 57; - when "10010111" => - dest <= 16; - src <= 15; - when "10011000" => - dest <= 38; - src <= 66; - when "10011001" => - dest <= 36; - src <= 33; - when "10011010" => - dest <= 36; - src <= 45; - when "10011011" => - dest <= 81; - src <= 56; - when "10011100" => - dest <= 70; - src <= 34; - when others => - dest <= 36; - src <= 36; - - end case; - end process; - - end generate NI_NUM36; - - NI_NUM37 : if NI_NUM = 37 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 43; - src <= 37; - when "00000001" => - dest <= 57; - src <= 37; - when "00000010" => - dest <= 37; - src <= 37; - when "00000011" => - dest <= 27; - src <= 37; - when "00000100" => - dest <= 92; - src <= 37; - when "00000101" => - dest <= 83; - src <= 17; - when "00000110" => - dest <= 37; - src <= 47; - when "00000111" => - dest <= 73; - src <= 21; - when "00001000" => - dest <= 25; - src <= 37; - when "00001001" => - dest <= 37; - src <= 93; - when "00001010" => - dest <= 37; - src <= 82; - when "00001011" => - dest <= 47; - src <= 37; - when "00001100" => - dest <= 63; - src <= 37; - when "00001101" => - dest <= 72; - src <= 49; - when "00001110" => - dest <= 81; - src <= 27; - when "00001111" => - dest <= 67; - src <= 72; - when "00010000" => - dest <= 37; - src <= 81; - when "00010001" => - dest <= 37; - src <= 91; - when "00010010" => - dest <= 37; - src <= 37; - when "00010011" => - dest <= 23; - src <= 37; - when "00010100" => - dest <= 2; - src <= 7; - when "00010101" => - dest <= 37; - src <= 1; - when "00010110" => - dest <= 77; - src <= 37; - when "00010111" => - dest <= 26; - src <= 37; - when "00011000" => - dest <= 91; - src <= 92; - when "00011001" => - dest <= 84; - src <= 83; - when "00011010" => - dest <= 37; - src <= 41; - when "00011011" => - dest <= 74; - src <= 48; - when "00011100" => - dest <= 34; - src <= 97; - when "00011101" => - dest <= 37; - src <= 37; - when "00011110" => - dest <= 37; - src <= 62; - when "00011111" => - dest <= 64; - src <= 37; - when "00100000" => - dest <= 49; - src <= 37; - when "00100001" => - dest <= 93; - src <= 30; - when "00100010" => - dest <= 80; - src <= 73; - when "00100011" => - dest <= 62; - src <= 80; - when "00100100" => - dest <= 37; - src <= 90; - when "00100101" => - dest <= 48; - src <= 25; - when "00100110" => - dest <= 37; - src <= 37; - when "00100111" => - dest <= 53; - src <= 0; - when "00101000" => - dest <= 37; - src <= 37; - when "00101001" => - dest <= 37; - src <= 26; - when "00101010" => - dest <= 12; - src <= 37; - when "00101011" => - dest <= 78; - src <= 71; - when "00101100" => - dest <= 13; - src <= 84; - when "00101101" => - dest <= 36; - src <= 2; - when "00101110" => - dest <= 1; - src <= 37; - when "00101111" => - dest <= 37; - src <= 11; - when "00110000" => - dest <= 37; - src <= 38; - when "00110001" => - dest <= 90; - src <= 37; - when "00110010" => - dest <= 94; - src <= 96; - when "00110011" => - dest <= 37; - src <= 52; - when "00110100" => - dest <= 75; - src <= 51; - when "00110101" => - dest <= 35; - src <= 37; - when "00110110" => - dest <= 37; - src <= 37; - when "00110111" => - dest <= 37; - src <= 63; - when "00111000" => - dest <= 70; - src <= 37; - when "00111001" => - dest <= 3; - src <= 39; - when "00111010" => - dest <= 46; - src <= 74; - when "00111011" => - dest <= 89; - src <= 70; - when "00111100" => - dest <= 37; - src <= 99; - when "00111101" => - dest <= 61; - src <= 37; - when "00111110" => - dest <= 37; - src <= 28; - when "00111111" => - dest <= 52; - src <= 37; - when "01000000" => - dest <= 37; - src <= 37; - when "01000001" => - dest <= 33; - src <= 94; - when "01000010" => - dest <= 85; - src <= 61; - when "01000011" => - dest <= 37; - src <= 37; - when "01000100" => - dest <= 45; - src <= 85; - when "01000101" => - dest <= 37; - src <= 37; - when "01000110" => - dest <= 79; - src <= 3; - when "01000111" => - dest <= 22; - src <= 31; - when "01001000" => - dest <= 4; - src <= 12; - when "01001001" => - dest <= 37; - src <= 29; - when "01001010" => - dest <= 37; - src <= 37; - when "01001011" => - dest <= 37; - src <= 89; - when "01001100" => - dest <= 11; - src <= 37; - when "01001101" => - dest <= 88; - src <= 37; - when "01001110" => - dest <= 37; - src <= 95; - when "01001111" => - dest <= 54; - src <= 42; - when "01010000" => - dest <= 37; - src <= 60; - when "01010001" => - dest <= 65; - src <= 37; - when "01010010" => - dest <= 60; - src <= 37; - when "01010011" => - dest <= 0; - src <= 37; - when "01010100" => - dest <= 37; - src <= 53; - when "01010101" => - dest <= 37; - src <= 86; - when "01010110" => - dest <= 99; - src <= 10; - when "01010111" => - dest <= 37; - src <= 37; - when "01011000" => - dest <= 76; - src <= 9; - when "01011001" => - dest <= 38; - src <= 37; - when "01011010" => - dest <= 86; - src <= 4; - when "01011011" => - dest <= 37; - src <= 64; - when "01011100" => - dest <= 51; - src <= 36; - when "01011101" => - dest <= 66; - src <= 37; - when "01011110" => - dest <= 37; - src <= 75; - when "01011111" => - dest <= 95; - src <= 98; - when "01100000" => - dest <= 42; - src <= 37; - when "01100001" => - dest <= 37; - src <= 37; - when "01100010" => - dest <= 37; - src <= 88; - when "01100011" => - dest <= 55; - src <= 8; - when "01100100" => - dest <= 21; - src <= 13; - when "01100101" => - dest <= 14; - src <= 37; - when "01100110" => - dest <= 37; - src <= 37; - when "01100111" => - dest <= 10; - src <= 79; - when "01101000" => - dest <= 56; - src <= 22; - when "01101001" => - dest <= 9; - src <= 19; - when "01101010" => - dest <= 37; - src <= 37; - when "01101011" => - dest <= 44; - src <= 43; - when "01101100" => - dest <= 98; - src <= 50; - when "01101101" => - dest <= 37; - src <= 18; - when "01101110" => - dest <= 69; - src <= 54; - when "01101111" => - dest <= 37; - src <= 37; - when "01110000" => - dest <= 96; - src <= 65; - when "01110001" => - dest <= 50; - src <= 20; - when "01110010" => - dest <= 37; - src <= 37; - when "01110011" => - dest <= 37; - src <= 76; - when "01110100" => - dest <= 5; - src <= 37; - when "01110101" => - dest <= 41; - src <= 5; - when "01110110" => - dest <= 37; - src <= 37; - when "01110111" => - dest <= 87; - src <= 78; - when "01111000" => - dest <= 37; - src <= 14; - when "01111001" => - dest <= 32; - src <= 37; - when "01111010" => - dest <= 37; - src <= 37; - when "01111011" => - dest <= 37; - src <= 69; - when "01111100" => - dest <= 24; - src <= 23; - when "01111101" => - dest <= 20; - src <= 37; - when "01111110" => - dest <= 37; - src <= 87; - when "01111111" => - dest <= 19; - src <= 37; - when "10000000" => - dest <= 37; - src <= 32; - when "10000001" => - dest <= 8; - src <= 37; - when "10000010" => - dest <= 37; - src <= 40; - when "10000011" => - dest <= 68; - src <= 44; - when "10000100" => - dest <= 6; - src <= 37; - when "10000101" => - dest <= 59; - src <= 55; - when "10000110" => - dest <= 37; - src <= 37; - when "10000111" => - dest <= 15; - src <= 66; - when "10001000" => - dest <= 40; - src <= 37; - when "10001001" => - dest <= 97; - src <= 6; - when "10001010" => - dest <= 37; - src <= 68; - when "10001011" => - dest <= 31; - src <= 15; - when "10001100" => - dest <= 37; - src <= 37; - when "10001101" => - dest <= 37; - src <= 59; - when "10001110" => - dest <= 29; - src <= 24; - when "10001111" => - dest <= 18; - src <= 77; - when "10010000" => - dest <= 37; - src <= 37; - when "10010001" => - dest <= 16; - src <= 33; - when "10010010" => - dest <= 58; - src <= 37; - when "10010011" => - dest <= 7; - src <= 45; - when "10010100" => - dest <= 30; - src <= 56; - when "10010101" => - dest <= 37; - src <= 37; - when "10010110" => - dest <= 28; - src <= 58; - when "10010111" => - dest <= 17; - src <= 16; - when "10011000" => - dest <= 39; - src <= 67; - when "10011001" => - dest <= 37; - src <= 34; - when "10011010" => - dest <= 37; - src <= 46; - when "10011011" => - dest <= 82; - src <= 57; - when "10011100" => - dest <= 71; - src <= 35; - when others => - dest <= 37; - src <= 37; - - end case; - end process; - - end generate NI_NUM37; - - NI_NUM38 : if NI_NUM = 38 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 44; - src <= 38; - when "00000001" => - dest <= 58; - src <= 38; - when "00000010" => - dest <= 38; - src <= 38; - when "00000011" => - dest <= 28; - src <= 38; - when "00000100" => - dest <= 93; - src <= 38; - when "00000101" => - dest <= 84; - src <= 18; - when "00000110" => - dest <= 38; - src <= 48; - when "00000111" => - dest <= 74; - src <= 22; - when "00001000" => - dest <= 26; - src <= 38; - when "00001001" => - dest <= 38; - src <= 94; - when "00001010" => - dest <= 38; - src <= 83; - when "00001011" => - dest <= 48; - src <= 38; - when "00001100" => - dest <= 64; - src <= 38; - when "00001101" => - dest <= 73; - src <= 40; - when "00001110" => - dest <= 82; - src <= 28; - when "00001111" => - dest <= 68; - src <= 73; - when "00010000" => - dest <= 38; - src <= 82; - when "00010001" => - dest <= 38; - src <= 92; - when "00010010" => - dest <= 38; - src <= 38; - when "00010011" => - dest <= 24; - src <= 38; - when "00010100" => - dest <= 3; - src <= 8; - when "00010101" => - dest <= 38; - src <= 2; - when "00010110" => - dest <= 78; - src <= 38; - when "00010111" => - dest <= 27; - src <= 38; - when "00011000" => - dest <= 92; - src <= 93; - when "00011001" => - dest <= 85; - src <= 84; - when "00011010" => - dest <= 38; - src <= 42; - when "00011011" => - dest <= 75; - src <= 49; - when "00011100" => - dest <= 35; - src <= 98; - when "00011101" => - dest <= 38; - src <= 38; - when "00011110" => - dest <= 38; - src <= 63; - when "00011111" => - dest <= 65; - src <= 38; - when "00100000" => - dest <= 40; - src <= 38; - when "00100001" => - dest <= 94; - src <= 31; - when "00100010" => - dest <= 81; - src <= 74; - when "00100011" => - dest <= 63; - src <= 81; - when "00100100" => - dest <= 38; - src <= 91; - when "00100101" => - dest <= 49; - src <= 26; - when "00100110" => - dest <= 38; - src <= 38; - when "00100111" => - dest <= 54; - src <= 1; - when "00101000" => - dest <= 38; - src <= 38; - when "00101001" => - dest <= 38; - src <= 27; - when "00101010" => - dest <= 13; - src <= 38; - when "00101011" => - dest <= 79; - src <= 72; - when "00101100" => - dest <= 14; - src <= 85; - when "00101101" => - dest <= 37; - src <= 3; - when "00101110" => - dest <= 2; - src <= 38; - when "00101111" => - dest <= 38; - src <= 12; - when "00110000" => - dest <= 38; - src <= 39; - when "00110001" => - dest <= 91; - src <= 38; - when "00110010" => - dest <= 95; - src <= 97; - when "00110011" => - dest <= 38; - src <= 53; - when "00110100" => - dest <= 76; - src <= 52; - when "00110101" => - dest <= 36; - src <= 38; - when "00110110" => - dest <= 38; - src <= 38; - when "00110111" => - dest <= 38; - src <= 64; - when "00111000" => - dest <= 71; - src <= 38; - when "00111001" => - dest <= 4; - src <= 30; - when "00111010" => - dest <= 47; - src <= 75; - when "00111011" => - dest <= 80; - src <= 71; - when "00111100" => - dest <= 38; - src <= 90; - when "00111101" => - dest <= 62; - src <= 38; - when "00111110" => - dest <= 38; - src <= 29; - when "00111111" => - dest <= 53; - src <= 38; - when "01000000" => - dest <= 38; - src <= 38; - when "01000001" => - dest <= 34; - src <= 95; - when "01000010" => - dest <= 86; - src <= 62; - when "01000011" => - dest <= 38; - src <= 38; - when "01000100" => - dest <= 46; - src <= 86; - when "01000101" => - dest <= 38; - src <= 38; - when "01000110" => - dest <= 70; - src <= 4; - when "01000111" => - dest <= 23; - src <= 32; - when "01001000" => - dest <= 5; - src <= 13; - when "01001001" => - dest <= 38; - src <= 20; - when "01001010" => - dest <= 38; - src <= 38; - when "01001011" => - dest <= 38; - src <= 80; - when "01001100" => - dest <= 12; - src <= 38; - when "01001101" => - dest <= 89; - src <= 38; - when "01001110" => - dest <= 38; - src <= 96; - when "01001111" => - dest <= 55; - src <= 43; - when "01010000" => - dest <= 38; - src <= 61; - when "01010001" => - dest <= 66; - src <= 38; - when "01010010" => - dest <= 61; - src <= 38; - when "01010011" => - dest <= 1; - src <= 38; - when "01010100" => - dest <= 38; - src <= 54; - when "01010101" => - dest <= 38; - src <= 87; - when "01010110" => - dest <= 90; - src <= 11; - when "01010111" => - dest <= 38; - src <= 38; - when "01011000" => - dest <= 77; - src <= 0; - when "01011001" => - dest <= 39; - src <= 38; - when "01011010" => - dest <= 87; - src <= 5; - when "01011011" => - dest <= 38; - src <= 65; - when "01011100" => - dest <= 52; - src <= 37; - when "01011101" => - dest <= 67; - src <= 38; - when "01011110" => - dest <= 38; - src <= 76; - when "01011111" => - dest <= 96; - src <= 99; - when "01100000" => - dest <= 43; - src <= 38; - when "01100001" => - dest <= 38; - src <= 38; - when "01100010" => - dest <= 38; - src <= 89; - when "01100011" => - dest <= 56; - src <= 9; - when "01100100" => - dest <= 22; - src <= 14; - when "01100101" => - dest <= 15; - src <= 38; - when "01100110" => - dest <= 38; - src <= 38; - when "01100111" => - dest <= 11; - src <= 70; - when "01101000" => - dest <= 57; - src <= 23; - when "01101001" => - dest <= 0; - src <= 10; - when "01101010" => - dest <= 38; - src <= 38; - when "01101011" => - dest <= 45; - src <= 44; - when "01101100" => - dest <= 99; - src <= 51; - when "01101101" => - dest <= 38; - src <= 19; - when "01101110" => - dest <= 60; - src <= 55; - when "01101111" => - dest <= 38; - src <= 38; - when "01110000" => - dest <= 97; - src <= 66; - when "01110001" => - dest <= 51; - src <= 21; - when "01110010" => - dest <= 38; - src <= 38; - when "01110011" => - dest <= 38; - src <= 77; - when "01110100" => - dest <= 6; - src <= 38; - when "01110101" => - dest <= 42; - src <= 6; - when "01110110" => - dest <= 38; - src <= 38; - when "01110111" => - dest <= 88; - src <= 79; - when "01111000" => - dest <= 38; - src <= 15; - when "01111001" => - dest <= 33; - src <= 38; - when "01111010" => - dest <= 38; - src <= 38; - when "01111011" => - dest <= 38; - src <= 60; - when "01111100" => - dest <= 25; - src <= 24; - when "01111101" => - dest <= 21; - src <= 38; - when "01111110" => - dest <= 38; - src <= 88; - when "01111111" => - dest <= 10; - src <= 38; - when "10000000" => - dest <= 38; - src <= 33; - when "10000001" => - dest <= 9; - src <= 38; - when "10000010" => - dest <= 38; - src <= 41; - when "10000011" => - dest <= 69; - src <= 45; - when "10000100" => - dest <= 7; - src <= 38; - when "10000101" => - dest <= 50; - src <= 56; - when "10000110" => - dest <= 38; - src <= 38; - when "10000111" => - dest <= 16; - src <= 67; - when "10001000" => - dest <= 41; - src <= 38; - when "10001001" => - dest <= 98; - src <= 7; - when "10001010" => - dest <= 38; - src <= 69; - when "10001011" => - dest <= 32; - src <= 16; - when "10001100" => - dest <= 38; - src <= 38; - when "10001101" => - dest <= 38; - src <= 50; - when "10001110" => - dest <= 20; - src <= 25; - when "10001111" => - dest <= 19; - src <= 78; - when "10010000" => - dest <= 38; - src <= 38; - when "10010001" => - dest <= 17; - src <= 34; - when "10010010" => - dest <= 59; - src <= 38; - when "10010011" => - dest <= 8; - src <= 46; - when "10010100" => - dest <= 31; - src <= 57; - when "10010101" => - dest <= 38; - src <= 38; - when "10010110" => - dest <= 29; - src <= 59; - when "10010111" => - dest <= 18; - src <= 17; - when "10011000" => - dest <= 30; - src <= 68; - when "10011001" => - dest <= 38; - src <= 35; - when "10011010" => - dest <= 38; - src <= 47; - when "10011011" => - dest <= 83; - src <= 58; - when "10011100" => - dest <= 72; - src <= 36; - when others => - dest <= 38; - src <= 38; - - end case; - end process; - - end generate NI_NUM38; - - NI_NUM39 : if NI_NUM = 39 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 45; - src <= 39; - when "00000001" => - dest <= 59; - src <= 39; - when "00000010" => - dest <= 39; - src <= 39; - when "00000011" => - dest <= 29; - src <= 39; - when "00000100" => - dest <= 94; - src <= 39; - when "00000101" => - dest <= 85; - src <= 19; - when "00000110" => - dest <= 39; - src <= 49; - when "00000111" => - dest <= 75; - src <= 23; - when "00001000" => - dest <= 27; - src <= 39; - when "00001001" => - dest <= 39; - src <= 95; - when "00001010" => - dest <= 39; - src <= 84; - when "00001011" => - dest <= 49; - src <= 39; - when "00001100" => - dest <= 65; - src <= 39; - when "00001101" => - dest <= 74; - src <= 41; - when "00001110" => - dest <= 83; - src <= 29; - when "00001111" => - dest <= 69; - src <= 74; - when "00010000" => - dest <= 39; - src <= 83; - when "00010001" => - dest <= 39; - src <= 93; - when "00010010" => - dest <= 39; - src <= 39; - when "00010011" => - dest <= 25; - src <= 39; - when "00010100" => - dest <= 4; - src <= 9; - when "00010101" => - dest <= 39; - src <= 3; - when "00010110" => - dest <= 79; - src <= 39; - when "00010111" => - dest <= 28; - src <= 39; - when "00011000" => - dest <= 93; - src <= 94; - when "00011001" => - dest <= 86; - src <= 85; - when "00011010" => - dest <= 39; - src <= 43; - when "00011011" => - dest <= 76; - src <= 40; - when "00011100" => - dest <= 36; - src <= 99; - when "00011101" => - dest <= 39; - src <= 39; - when "00011110" => - dest <= 39; - src <= 64; - when "00011111" => - dest <= 66; - src <= 39; - when "00100000" => - dest <= 41; - src <= 39; - when "00100001" => - dest <= 95; - src <= 32; - when "00100010" => - dest <= 82; - src <= 75; - when "00100011" => - dest <= 64; - src <= 82; - when "00100100" => - dest <= 39; - src <= 92; - when "00100101" => - dest <= 40; - src <= 27; - when "00100110" => - dest <= 39; - src <= 39; - when "00100111" => - dest <= 55; - src <= 2; - when "00101000" => - dest <= 39; - src <= 39; - when "00101001" => - dest <= 39; - src <= 28; - when "00101010" => - dest <= 14; - src <= 39; - when "00101011" => - dest <= 70; - src <= 73; - when "00101100" => - dest <= 15; - src <= 86; - when "00101101" => - dest <= 38; - src <= 4; - when "00101110" => - dest <= 3; - src <= 39; - when "00101111" => - dest <= 39; - src <= 13; - when "00110000" => - dest <= 39; - src <= 30; - when "00110001" => - dest <= 92; - src <= 39; - when "00110010" => - dest <= 96; - src <= 98; - when "00110011" => - dest <= 39; - src <= 54; - when "00110100" => - dest <= 77; - src <= 53; - when "00110101" => - dest <= 37; - src <= 39; - when "00110110" => - dest <= 39; - src <= 39; - when "00110111" => - dest <= 39; - src <= 65; - when "00111000" => - dest <= 72; - src <= 39; - when "00111001" => - dest <= 5; - src <= 31; - when "00111010" => - dest <= 48; - src <= 76; - when "00111011" => - dest <= 81; - src <= 72; - when "00111100" => - dest <= 39; - src <= 91; - when "00111101" => - dest <= 63; - src <= 39; - when "00111110" => - dest <= 39; - src <= 20; - when "00111111" => - dest <= 54; - src <= 39; - when "01000000" => - dest <= 39; - src <= 39; - when "01000001" => - dest <= 35; - src <= 96; - when "01000010" => - dest <= 87; - src <= 63; - when "01000011" => - dest <= 39; - src <= 39; - when "01000100" => - dest <= 47; - src <= 87; - when "01000101" => - dest <= 39; - src <= 39; - when "01000110" => - dest <= 71; - src <= 5; - when "01000111" => - dest <= 24; - src <= 33; - when "01001000" => - dest <= 6; - src <= 14; - when "01001001" => - dest <= 39; - src <= 21; - when "01001010" => - dest <= 39; - src <= 39; - when "01001011" => - dest <= 39; - src <= 81; - when "01001100" => - dest <= 13; - src <= 39; - when "01001101" => - dest <= 80; - src <= 39; - when "01001110" => - dest <= 39; - src <= 97; - when "01001111" => - dest <= 56; - src <= 44; - when "01010000" => - dest <= 39; - src <= 62; - when "01010001" => - dest <= 67; - src <= 39; - when "01010010" => - dest <= 62; - src <= 39; - when "01010011" => - dest <= 2; - src <= 39; - when "01010100" => - dest <= 39; - src <= 55; - when "01010101" => - dest <= 39; - src <= 88; - when "01010110" => - dest <= 91; - src <= 12; - when "01010111" => - dest <= 39; - src <= 39; - when "01011000" => - dest <= 78; - src <= 1; - when "01011001" => - dest <= 30; - src <= 39; - when "01011010" => - dest <= 88; - src <= 6; - when "01011011" => - dest <= 39; - src <= 66; - when "01011100" => - dest <= 53; - src <= 38; - when "01011101" => - dest <= 68; - src <= 39; - when "01011110" => - dest <= 39; - src <= 77; - when "01011111" => - dest <= 97; - src <= 90; - when "01100000" => - dest <= 44; - src <= 39; - when "01100001" => - dest <= 39; - src <= 39; - when "01100010" => - dest <= 39; - src <= 80; - when "01100011" => - dest <= 57; - src <= 0; - when "01100100" => - dest <= 23; - src <= 15; - when "01100101" => - dest <= 16; - src <= 39; - when "01100110" => - dest <= 39; - src <= 39; - when "01100111" => - dest <= 12; - src <= 71; - when "01101000" => - dest <= 58; - src <= 24; - when "01101001" => - dest <= 1; - src <= 11; - when "01101010" => - dest <= 39; - src <= 39; - when "01101011" => - dest <= 46; - src <= 45; - when "01101100" => - dest <= 90; - src <= 52; - when "01101101" => - dest <= 39; - src <= 10; - when "01101110" => - dest <= 61; - src <= 56; - when "01101111" => - dest <= 39; - src <= 39; - when "01110000" => - dest <= 98; - src <= 67; - when "01110001" => - dest <= 52; - src <= 22; - when "01110010" => - dest <= 39; - src <= 39; - when "01110011" => - dest <= 39; - src <= 78; - when "01110100" => - dest <= 7; - src <= 39; - when "01110101" => - dest <= 43; - src <= 7; - when "01110110" => - dest <= 39; - src <= 39; - when "01110111" => - dest <= 89; - src <= 70; - when "01111000" => - dest <= 39; - src <= 16; - when "01111001" => - dest <= 34; - src <= 39; - when "01111010" => - dest <= 39; - src <= 39; - when "01111011" => - dest <= 39; - src <= 61; - when "01111100" => - dest <= 26; - src <= 25; - when "01111101" => - dest <= 22; - src <= 39; - when "01111110" => - dest <= 39; - src <= 89; - when "01111111" => - dest <= 11; - src <= 39; - when "10000000" => - dest <= 39; - src <= 34; - when "10000001" => - dest <= 0; - src <= 39; - when "10000010" => - dest <= 39; - src <= 42; - when "10000011" => - dest <= 60; - src <= 46; - when "10000100" => - dest <= 8; - src <= 39; - when "10000101" => - dest <= 51; - src <= 57; - when "10000110" => - dest <= 39; - src <= 39; - when "10000111" => - dest <= 17; - src <= 68; - when "10001000" => - dest <= 42; - src <= 39; - when "10001001" => - dest <= 99; - src <= 8; - when "10001010" => - dest <= 39; - src <= 60; - when "10001011" => - dest <= 33; - src <= 17; - when "10001100" => - dest <= 39; - src <= 39; - when "10001101" => - dest <= 39; - src <= 51; - when "10001110" => - dest <= 21; - src <= 26; - when "10001111" => - dest <= 10; - src <= 79; - when "10010000" => - dest <= 39; - src <= 39; - when "10010001" => - dest <= 18; - src <= 35; - when "10010010" => - dest <= 50; - src <= 39; - when "10010011" => - dest <= 9; - src <= 47; - when "10010100" => - dest <= 32; - src <= 58; - when "10010101" => - dest <= 39; - src <= 39; - when "10010110" => - dest <= 20; - src <= 50; - when "10010111" => - dest <= 19; - src <= 18; - when "10011000" => - dest <= 31; - src <= 69; - when "10011001" => - dest <= 39; - src <= 36; - when "10011010" => - dest <= 39; - src <= 48; - when "10011011" => - dest <= 84; - src <= 59; - when "10011100" => - dest <= 73; - src <= 37; - when others => - dest <= 39; - src <= 39; - - end case; - end process; - - end generate NI_NUM39; - - NI_NUM40 : if NI_NUM = 40 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 56; - src <= 40; - when "00000001" => - dest <= 60; - src <= 40; - when "00000010" => - dest <= 40; - src <= 40; - when "00000011" => - dest <= 30; - src <= 40; - when "00000100" => - dest <= 5; - src <= 40; - when "00000101" => - dest <= 96; - src <= 20; - when "00000110" => - dest <= 40; - src <= 50; - when "00000111" => - dest <= 86; - src <= 34; - when "00001000" => - dest <= 38; - src <= 40; - when "00001001" => - dest <= 40; - src <= 6; - when "00001010" => - dest <= 40; - src <= 95; - when "00001011" => - dest <= 50; - src <= 40; - when "00001100" => - dest <= 76; - src <= 40; - when "00001101" => - dest <= 85; - src <= 52; - when "00001110" => - dest <= 94; - src <= 30; - when "00001111" => - dest <= 70; - src <= 85; - when "00010000" => - dest <= 40; - src <= 94; - when "00010001" => - dest <= 40; - src <= 4; - when "00010010" => - dest <= 40; - src <= 40; - when "00010011" => - dest <= 36; - src <= 40; - when "00010100" => - dest <= 15; - src <= 10; - when "00010101" => - dest <= 40; - src <= 14; - when "00010110" => - dest <= 80; - src <= 40; - when "00010111" => - dest <= 39; - src <= 40; - when "00011000" => - dest <= 4; - src <= 5; - when "00011001" => - dest <= 97; - src <= 96; - when "00011010" => - dest <= 40; - src <= 54; - when "00011011" => - dest <= 87; - src <= 51; - when "00011100" => - dest <= 47; - src <= 0; - when "00011101" => - dest <= 40; - src <= 40; - when "00011110" => - dest <= 40; - src <= 75; - when "00011111" => - dest <= 77; - src <= 40; - when "00100000" => - dest <= 52; - src <= 40; - when "00100001" => - dest <= 6; - src <= 43; - when "00100010" => - dest <= 93; - src <= 86; - when "00100011" => - dest <= 75; - src <= 93; - when "00100100" => - dest <= 40; - src <= 3; - when "00100101" => - dest <= 51; - src <= 38; - when "00100110" => - dest <= 40; - src <= 40; - when "00100111" => - dest <= 66; - src <= 13; - when "00101000" => - dest <= 40; - src <= 40; - when "00101001" => - dest <= 40; - src <= 39; - when "00101010" => - dest <= 25; - src <= 40; - when "00101011" => - dest <= 81; - src <= 84; - when "00101100" => - dest <= 26; - src <= 97; - when "00101101" => - dest <= 49; - src <= 15; - when "00101110" => - dest <= 14; - src <= 40; - when "00101111" => - dest <= 40; - src <= 24; - when "00110000" => - dest <= 40; - src <= 41; - when "00110001" => - dest <= 3; - src <= 40; - when "00110010" => - dest <= 7; - src <= 9; - when "00110011" => - dest <= 40; - src <= 65; - when "00110100" => - dest <= 88; - src <= 64; - when "00110101" => - dest <= 48; - src <= 40; - when "00110110" => - dest <= 40; - src <= 40; - when "00110111" => - dest <= 40; - src <= 76; - when "00111000" => - dest <= 83; - src <= 40; - when "00111001" => - dest <= 16; - src <= 42; - when "00111010" => - dest <= 59; - src <= 87; - when "00111011" => - dest <= 92; - src <= 83; - when "00111100" => - dest <= 40; - src <= 2; - when "00111101" => - dest <= 74; - src <= 40; - when "00111110" => - dest <= 40; - src <= 31; - when "00111111" => - dest <= 65; - src <= 40; - when "01000000" => - dest <= 40; - src <= 40; - when "01000001" => - dest <= 46; - src <= 7; - when "01000010" => - dest <= 98; - src <= 74; - when "01000011" => - dest <= 40; - src <= 40; - when "01000100" => - dest <= 58; - src <= 98; - when "01000101" => - dest <= 40; - src <= 40; - when "01000110" => - dest <= 82; - src <= 16; - when "01000111" => - dest <= 35; - src <= 44; - when "01001000" => - dest <= 17; - src <= 25; - when "01001001" => - dest <= 40; - src <= 32; - when "01001010" => - dest <= 40; - src <= 40; - when "01001011" => - dest <= 40; - src <= 92; - when "01001100" => - dest <= 24; - src <= 40; - when "01001101" => - dest <= 91; - src <= 40; - when "01001110" => - dest <= 40; - src <= 8; - when "01001111" => - dest <= 67; - src <= 55; - when "01010000" => - dest <= 40; - src <= 73; - when "01010001" => - dest <= 78; - src <= 40; - when "01010010" => - dest <= 73; - src <= 40; - when "01010011" => - dest <= 13; - src <= 40; - when "01010100" => - dest <= 40; - src <= 66; - when "01010101" => - dest <= 40; - src <= 99; - when "01010110" => - dest <= 2; - src <= 23; - when "01010111" => - dest <= 40; - src <= 40; - when "01011000" => - dest <= 89; - src <= 12; - when "01011001" => - dest <= 41; - src <= 40; - when "01011010" => - dest <= 99; - src <= 17; - when "01011011" => - dest <= 40; - src <= 77; - when "01011100" => - dest <= 64; - src <= 49; - when "01011101" => - dest <= 79; - src <= 40; - when "01011110" => - dest <= 40; - src <= 88; - when "01011111" => - dest <= 8; - src <= 1; - when "01100000" => - dest <= 55; - src <= 40; - when "01100001" => - dest <= 40; - src <= 40; - when "01100010" => - dest <= 40; - src <= 91; - when "01100011" => - dest <= 68; - src <= 11; - when "01100100" => - dest <= 34; - src <= 26; - when "01100101" => - dest <= 27; - src <= 40; - when "01100110" => - dest <= 40; - src <= 40; - when "01100111" => - dest <= 23; - src <= 82; - when "01101000" => - dest <= 69; - src <= 35; - when "01101001" => - dest <= 12; - src <= 22; - when "01101010" => - dest <= 40; - src <= 40; - when "01101011" => - dest <= 57; - src <= 56; - when "01101100" => - dest <= 1; - src <= 63; - when "01101101" => - dest <= 40; - src <= 21; - when "01101110" => - dest <= 72; - src <= 67; - when "01101111" => - dest <= 40; - src <= 40; - when "01110000" => - dest <= 9; - src <= 78; - when "01110001" => - dest <= 63; - src <= 33; - when "01110010" => - dest <= 40; - src <= 40; - when "01110011" => - dest <= 40; - src <= 89; - when "01110100" => - dest <= 18; - src <= 40; - when "01110101" => - dest <= 54; - src <= 18; - when "01110110" => - dest <= 40; - src <= 40; - when "01110111" => - dest <= 90; - src <= 81; - when "01111000" => - dest <= 40; - src <= 27; - when "01111001" => - dest <= 45; - src <= 40; - when "01111010" => - dest <= 40; - src <= 40; - when "01111011" => - dest <= 40; - src <= 72; - when "01111100" => - dest <= 37; - src <= 36; - when "01111101" => - dest <= 33; - src <= 40; - when "01111110" => - dest <= 40; - src <= 90; - when "01111111" => - dest <= 22; - src <= 40; - when "10000000" => - dest <= 40; - src <= 45; - when "10000001" => - dest <= 11; - src <= 40; - when "10000010" => - dest <= 40; - src <= 53; - when "10000011" => - dest <= 71; - src <= 57; - when "10000100" => - dest <= 19; - src <= 40; - when "10000101" => - dest <= 62; - src <= 68; - when "10000110" => - dest <= 40; - src <= 40; - when "10000111" => - dest <= 28; - src <= 79; - when "10001000" => - dest <= 53; - src <= 40; - when "10001001" => - dest <= 0; - src <= 19; - when "10001010" => - dest <= 40; - src <= 71; - when "10001011" => - dest <= 44; - src <= 28; - when "10001100" => - dest <= 40; - src <= 40; - when "10001101" => - dest <= 40; - src <= 62; - when "10001110" => - dest <= 32; - src <= 37; - when "10001111" => - dest <= 21; - src <= 80; - when "10010000" => - dest <= 40; - src <= 40; - when "10010001" => - dest <= 29; - src <= 46; - when "10010010" => - dest <= 61; - src <= 40; - when "10010011" => - dest <= 10; - src <= 58; - when "10010100" => - dest <= 43; - src <= 69; - when "10010101" => - dest <= 40; - src <= 40; - when "10010110" => - dest <= 31; - src <= 61; - when "10010111" => - dest <= 20; - src <= 29; - when "10011000" => - dest <= 42; - src <= 70; - when "10011001" => - dest <= 40; - src <= 47; - when "10011010" => - dest <= 40; - src <= 59; - when "10011011" => - dest <= 95; - src <= 60; - when "10011100" => - dest <= 84; - src <= 48; - when others => - dest <= 40; - src <= 40; - - end case; - end process; - - end generate NI_NUM40; - - NI_NUM41 : if NI_NUM = 41 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 57; - src <= 41; - when "00000001" => - dest <= 61; - src <= 41; - when "00000010" => - dest <= 41; - src <= 41; - when "00000011" => - dest <= 31; - src <= 41; - when "00000100" => - dest <= 6; - src <= 41; - when "00000101" => - dest <= 97; - src <= 21; - when "00000110" => - dest <= 41; - src <= 51; - when "00000111" => - dest <= 87; - src <= 35; - when "00001000" => - dest <= 39; - src <= 41; - when "00001001" => - dest <= 41; - src <= 7; - when "00001010" => - dest <= 41; - src <= 96; - when "00001011" => - dest <= 51; - src <= 41; - when "00001100" => - dest <= 77; - src <= 41; - when "00001101" => - dest <= 86; - src <= 53; - when "00001110" => - dest <= 95; - src <= 31; - when "00001111" => - dest <= 71; - src <= 86; - when "00010000" => - dest <= 41; - src <= 95; - when "00010001" => - dest <= 41; - src <= 5; - when "00010010" => - dest <= 41; - src <= 41; - when "00010011" => - dest <= 37; - src <= 41; - when "00010100" => - dest <= 16; - src <= 11; - when "00010101" => - dest <= 41; - src <= 15; - when "00010110" => - dest <= 81; - src <= 41; - when "00010111" => - dest <= 30; - src <= 41; - when "00011000" => - dest <= 5; - src <= 6; - when "00011001" => - dest <= 98; - src <= 97; - when "00011010" => - dest <= 41; - src <= 55; - when "00011011" => - dest <= 88; - src <= 52; - when "00011100" => - dest <= 48; - src <= 1; - when "00011101" => - dest <= 41; - src <= 41; - when "00011110" => - dest <= 41; - src <= 76; - when "00011111" => - dest <= 78; - src <= 41; - when "00100000" => - dest <= 53; - src <= 41; - when "00100001" => - dest <= 7; - src <= 44; - when "00100010" => - dest <= 94; - src <= 87; - when "00100011" => - dest <= 76; - src <= 94; - when "00100100" => - dest <= 41; - src <= 4; - when "00100101" => - dest <= 52; - src <= 39; - when "00100110" => - dest <= 41; - src <= 41; - when "00100111" => - dest <= 67; - src <= 14; - when "00101000" => - dest <= 41; - src <= 41; - when "00101001" => - dest <= 41; - src <= 30; - when "00101010" => - dest <= 26; - src <= 41; - when "00101011" => - dest <= 82; - src <= 85; - when "00101100" => - dest <= 27; - src <= 98; - when "00101101" => - dest <= 40; - src <= 16; - when "00101110" => - dest <= 15; - src <= 41; - when "00101111" => - dest <= 41; - src <= 25; - when "00110000" => - dest <= 41; - src <= 42; - when "00110001" => - dest <= 4; - src <= 41; - when "00110010" => - dest <= 8; - src <= 0; - when "00110011" => - dest <= 41; - src <= 66; - when "00110100" => - dest <= 89; - src <= 65; - when "00110101" => - dest <= 49; - src <= 41; - when "00110110" => - dest <= 41; - src <= 41; - when "00110111" => - dest <= 41; - src <= 77; - when "00111000" => - dest <= 84; - src <= 41; - when "00111001" => - dest <= 17; - src <= 43; - when "00111010" => - dest <= 50; - src <= 88; - when "00111011" => - dest <= 93; - src <= 84; - when "00111100" => - dest <= 41; - src <= 3; - when "00111101" => - dest <= 75; - src <= 41; - when "00111110" => - dest <= 41; - src <= 32; - when "00111111" => - dest <= 66; - src <= 41; - when "01000000" => - dest <= 41; - src <= 41; - when "01000001" => - dest <= 47; - src <= 8; - when "01000010" => - dest <= 99; - src <= 75; - when "01000011" => - dest <= 41; - src <= 41; - when "01000100" => - dest <= 59; - src <= 99; - when "01000101" => - dest <= 41; - src <= 41; - when "01000110" => - dest <= 83; - src <= 17; - when "01000111" => - dest <= 36; - src <= 45; - when "01001000" => - dest <= 18; - src <= 26; - when "01001001" => - dest <= 41; - src <= 33; - when "01001010" => - dest <= 41; - src <= 41; - when "01001011" => - dest <= 41; - src <= 93; - when "01001100" => - dest <= 25; - src <= 41; - when "01001101" => - dest <= 92; - src <= 41; - when "01001110" => - dest <= 41; - src <= 9; - when "01001111" => - dest <= 68; - src <= 56; - when "01010000" => - dest <= 41; - src <= 74; - when "01010001" => - dest <= 79; - src <= 41; - when "01010010" => - dest <= 74; - src <= 41; - when "01010011" => - dest <= 14; - src <= 41; - when "01010100" => - dest <= 41; - src <= 67; - when "01010101" => - dest <= 41; - src <= 90; - when "01010110" => - dest <= 3; - src <= 24; - when "01010111" => - dest <= 41; - src <= 41; - when "01011000" => - dest <= 80; - src <= 13; - when "01011001" => - dest <= 42; - src <= 41; - when "01011010" => - dest <= 90; - src <= 18; - when "01011011" => - dest <= 41; - src <= 78; - when "01011100" => - dest <= 65; - src <= 40; - when "01011101" => - dest <= 70; - src <= 41; - when "01011110" => - dest <= 41; - src <= 89; - when "01011111" => - dest <= 9; - src <= 2; - when "01100000" => - dest <= 56; - src <= 41; - when "01100001" => - dest <= 41; - src <= 41; - when "01100010" => - dest <= 41; - src <= 92; - when "01100011" => - dest <= 69; - src <= 12; - when "01100100" => - dest <= 35; - src <= 27; - when "01100101" => - dest <= 28; - src <= 41; - when "01100110" => - dest <= 41; - src <= 41; - when "01100111" => - dest <= 24; - src <= 83; - when "01101000" => - dest <= 60; - src <= 36; - when "01101001" => - dest <= 13; - src <= 23; - when "01101010" => - dest <= 41; - src <= 41; - when "01101011" => - dest <= 58; - src <= 57; - when "01101100" => - dest <= 2; - src <= 64; - when "01101101" => - dest <= 41; - src <= 22; - when "01101110" => - dest <= 73; - src <= 68; - when "01101111" => - dest <= 41; - src <= 41; - when "01110000" => - dest <= 0; - src <= 79; - when "01110001" => - dest <= 64; - src <= 34; - when "01110010" => - dest <= 41; - src <= 41; - when "01110011" => - dest <= 41; - src <= 80; - when "01110100" => - dest <= 19; - src <= 41; - when "01110101" => - dest <= 55; - src <= 19; - when "01110110" => - dest <= 41; - src <= 41; - when "01110111" => - dest <= 91; - src <= 82; - when "01111000" => - dest <= 41; - src <= 28; - when "01111001" => - dest <= 46; - src <= 41; - when "01111010" => - dest <= 41; - src <= 41; - when "01111011" => - dest <= 41; - src <= 73; - when "01111100" => - dest <= 38; - src <= 37; - when "01111101" => - dest <= 34; - src <= 41; - when "01111110" => - dest <= 41; - src <= 91; - when "01111111" => - dest <= 23; - src <= 41; - when "10000000" => - dest <= 41; - src <= 46; - when "10000001" => - dest <= 12; - src <= 41; - when "10000010" => - dest <= 41; - src <= 54; - when "10000011" => - dest <= 72; - src <= 58; - when "10000100" => - dest <= 10; - src <= 41; - when "10000101" => - dest <= 63; - src <= 69; - when "10000110" => - dest <= 41; - src <= 41; - when "10000111" => - dest <= 29; - src <= 70; - when "10001000" => - dest <= 54; - src <= 41; - when "10001001" => - dest <= 1; - src <= 10; - when "10001010" => - dest <= 41; - src <= 72; - when "10001011" => - dest <= 45; - src <= 29; - when "10001100" => - dest <= 41; - src <= 41; - when "10001101" => - dest <= 41; - src <= 63; - when "10001110" => - dest <= 33; - src <= 38; - when "10001111" => - dest <= 22; - src <= 81; - when "10010000" => - dest <= 41; - src <= 41; - when "10010001" => - dest <= 20; - src <= 47; - when "10010010" => - dest <= 62; - src <= 41; - when "10010011" => - dest <= 11; - src <= 59; - when "10010100" => - dest <= 44; - src <= 60; - when "10010101" => - dest <= 41; - src <= 41; - when "10010110" => - dest <= 32; - src <= 62; - when "10010111" => - dest <= 21; - src <= 20; - when "10011000" => - dest <= 43; - src <= 71; - when "10011001" => - dest <= 41; - src <= 48; - when "10011010" => - dest <= 41; - src <= 50; - when "10011011" => - dest <= 96; - src <= 61; - when "10011100" => - dest <= 85; - src <= 49; - when others => - dest <= 41; - src <= 41; - - end case; - end process; - - end generate NI_NUM41; - - NI_NUM42 : if NI_NUM = 42 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 58; - src <= 42; - when "00000001" => - dest <= 62; - src <= 42; - when "00000010" => - dest <= 42; - src <= 42; - when "00000011" => - dest <= 32; - src <= 42; - when "00000100" => - dest <= 7; - src <= 42; - when "00000101" => - dest <= 98; - src <= 22; - when "00000110" => - dest <= 42; - src <= 52; - when "00000111" => - dest <= 88; - src <= 36; - when "00001000" => - dest <= 30; - src <= 42; - when "00001001" => - dest <= 42; - src <= 8; - when "00001010" => - dest <= 42; - src <= 97; - when "00001011" => - dest <= 52; - src <= 42; - when "00001100" => - dest <= 78; - src <= 42; - when "00001101" => - dest <= 87; - src <= 54; - when "00001110" => - dest <= 96; - src <= 32; - when "00001111" => - dest <= 72; - src <= 87; - when "00010000" => - dest <= 42; - src <= 96; - when "00010001" => - dest <= 42; - src <= 6; - when "00010010" => - dest <= 42; - src <= 42; - when "00010011" => - dest <= 38; - src <= 42; - when "00010100" => - dest <= 17; - src <= 12; - when "00010101" => - dest <= 42; - src <= 16; - when "00010110" => - dest <= 82; - src <= 42; - when "00010111" => - dest <= 31; - src <= 42; - when "00011000" => - dest <= 6; - src <= 7; - when "00011001" => - dest <= 99; - src <= 98; - when "00011010" => - dest <= 42; - src <= 56; - when "00011011" => - dest <= 89; - src <= 53; - when "00011100" => - dest <= 49; - src <= 2; - when "00011101" => - dest <= 42; - src <= 42; - when "00011110" => - dest <= 42; - src <= 77; - when "00011111" => - dest <= 79; - src <= 42; - when "00100000" => - dest <= 54; - src <= 42; - when "00100001" => - dest <= 8; - src <= 45; - when "00100010" => - dest <= 95; - src <= 88; - when "00100011" => - dest <= 77; - src <= 95; - when "00100100" => - dest <= 42; - src <= 5; - when "00100101" => - dest <= 53; - src <= 30; - when "00100110" => - dest <= 42; - src <= 42; - when "00100111" => - dest <= 68; - src <= 15; - when "00101000" => - dest <= 42; - src <= 42; - when "00101001" => - dest <= 42; - src <= 31; - when "00101010" => - dest <= 27; - src <= 42; - when "00101011" => - dest <= 83; - src <= 86; - when "00101100" => - dest <= 28; - src <= 99; - when "00101101" => - dest <= 41; - src <= 17; - when "00101110" => - dest <= 16; - src <= 42; - when "00101111" => - dest <= 42; - src <= 26; - when "00110000" => - dest <= 42; - src <= 43; - when "00110001" => - dest <= 5; - src <= 42; - when "00110010" => - dest <= 9; - src <= 1; - when "00110011" => - dest <= 42; - src <= 67; - when "00110100" => - dest <= 80; - src <= 66; - when "00110101" => - dest <= 40; - src <= 42; - when "00110110" => - dest <= 42; - src <= 42; - when "00110111" => - dest <= 42; - src <= 78; - when "00111000" => - dest <= 85; - src <= 42; - when "00111001" => - dest <= 18; - src <= 44; - when "00111010" => - dest <= 51; - src <= 89; - when "00111011" => - dest <= 94; - src <= 85; - when "00111100" => - dest <= 42; - src <= 4; - when "00111101" => - dest <= 76; - src <= 42; - when "00111110" => - dest <= 42; - src <= 33; - when "00111111" => - dest <= 67; - src <= 42; - when "01000000" => - dest <= 42; - src <= 42; - when "01000001" => - dest <= 48; - src <= 9; - when "01000010" => - dest <= 90; - src <= 76; - when "01000011" => - dest <= 42; - src <= 42; - when "01000100" => - dest <= 50; - src <= 90; - when "01000101" => - dest <= 42; - src <= 42; - when "01000110" => - dest <= 84; - src <= 18; - when "01000111" => - dest <= 37; - src <= 46; - when "01001000" => - dest <= 19; - src <= 27; - when "01001001" => - dest <= 42; - src <= 34; - when "01001010" => - dest <= 42; - src <= 42; - when "01001011" => - dest <= 42; - src <= 94; - when "01001100" => - dest <= 26; - src <= 42; - when "01001101" => - dest <= 93; - src <= 42; - when "01001110" => - dest <= 42; - src <= 0; - when "01001111" => - dest <= 69; - src <= 57; - when "01010000" => - dest <= 42; - src <= 75; - when "01010001" => - dest <= 70; - src <= 42; - when "01010010" => - dest <= 75; - src <= 42; - when "01010011" => - dest <= 15; - src <= 42; - when "01010100" => - dest <= 42; - src <= 68; - when "01010101" => - dest <= 42; - src <= 91; - when "01010110" => - dest <= 4; - src <= 25; - when "01010111" => - dest <= 42; - src <= 42; - when "01011000" => - dest <= 81; - src <= 14; - when "01011001" => - dest <= 43; - src <= 42; - when "01011010" => - dest <= 91; - src <= 19; - when "01011011" => - dest <= 42; - src <= 79; - when "01011100" => - dest <= 66; - src <= 41; - when "01011101" => - dest <= 71; - src <= 42; - when "01011110" => - dest <= 42; - src <= 80; - when "01011111" => - dest <= 0; - src <= 3; - when "01100000" => - dest <= 57; - src <= 42; - when "01100001" => - dest <= 42; - src <= 42; - when "01100010" => - dest <= 42; - src <= 93; - when "01100011" => - dest <= 60; - src <= 13; - when "01100100" => - dest <= 36; - src <= 28; - when "01100101" => - dest <= 29; - src <= 42; - when "01100110" => - dest <= 42; - src <= 42; - when "01100111" => - dest <= 25; - src <= 84; - when "01101000" => - dest <= 61; - src <= 37; - when "01101001" => - dest <= 14; - src <= 24; - when "01101010" => - dest <= 42; - src <= 42; - when "01101011" => - dest <= 59; - src <= 58; - when "01101100" => - dest <= 3; - src <= 65; - when "01101101" => - dest <= 42; - src <= 23; - when "01101110" => - dest <= 74; - src <= 69; - when "01101111" => - dest <= 42; - src <= 42; - when "01110000" => - dest <= 1; - src <= 70; - when "01110001" => - dest <= 65; - src <= 35; - when "01110010" => - dest <= 42; - src <= 42; - when "01110011" => - dest <= 42; - src <= 81; - when "01110100" => - dest <= 10; - src <= 42; - when "01110101" => - dest <= 56; - src <= 10; - when "01110110" => - dest <= 42; - src <= 42; - when "01110111" => - dest <= 92; - src <= 83; - when "01111000" => - dest <= 42; - src <= 29; - when "01111001" => - dest <= 47; - src <= 42; - when "01111010" => - dest <= 42; - src <= 42; - when "01111011" => - dest <= 42; - src <= 74; - when "01111100" => - dest <= 39; - src <= 38; - when "01111101" => - dest <= 35; - src <= 42; - when "01111110" => - dest <= 42; - src <= 92; - when "01111111" => - dest <= 24; - src <= 42; - when "10000000" => - dest <= 42; - src <= 47; - when "10000001" => - dest <= 13; - src <= 42; - when "10000010" => - dest <= 42; - src <= 55; - when "10000011" => - dest <= 73; - src <= 59; - when "10000100" => - dest <= 11; - src <= 42; - when "10000101" => - dest <= 64; - src <= 60; - when "10000110" => - dest <= 42; - src <= 42; - when "10000111" => - dest <= 20; - src <= 71; - when "10001000" => - dest <= 55; - src <= 42; - when "10001001" => - dest <= 2; - src <= 11; - when "10001010" => - dest <= 42; - src <= 73; - when "10001011" => - dest <= 46; - src <= 20; - when "10001100" => - dest <= 42; - src <= 42; - when "10001101" => - dest <= 42; - src <= 64; - when "10001110" => - dest <= 34; - src <= 39; - when "10001111" => - dest <= 23; - src <= 82; - when "10010000" => - dest <= 42; - src <= 42; - when "10010001" => - dest <= 21; - src <= 48; - when "10010010" => - dest <= 63; - src <= 42; - when "10010011" => - dest <= 12; - src <= 50; - when "10010100" => - dest <= 45; - src <= 61; - when "10010101" => - dest <= 42; - src <= 42; - when "10010110" => - dest <= 33; - src <= 63; - when "10010111" => - dest <= 22; - src <= 21; - when "10011000" => - dest <= 44; - src <= 72; - when "10011001" => - dest <= 42; - src <= 49; - when "10011010" => - dest <= 42; - src <= 51; - when "10011011" => - dest <= 97; - src <= 62; - when "10011100" => - dest <= 86; - src <= 40; - when others => - dest <= 42; - src <= 42; - - end case; - end process; - - end generate NI_NUM42; - - NI_NUM43 : if NI_NUM = 43 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 59; - src <= 43; - when "00000001" => - dest <= 63; - src <= 43; - when "00000010" => - dest <= 43; - src <= 43; - when "00000011" => - dest <= 33; - src <= 43; - when "00000100" => - dest <= 8; - src <= 43; - when "00000101" => - dest <= 99; - src <= 23; - when "00000110" => - dest <= 43; - src <= 53; - when "00000111" => - dest <= 89; - src <= 37; - when "00001000" => - dest <= 31; - src <= 43; - when "00001001" => - dest <= 43; - src <= 9; - when "00001010" => - dest <= 43; - src <= 98; - when "00001011" => - dest <= 53; - src <= 43; - when "00001100" => - dest <= 79; - src <= 43; - when "00001101" => - dest <= 88; - src <= 55; - when "00001110" => - dest <= 97; - src <= 33; - when "00001111" => - dest <= 73; - src <= 88; - when "00010000" => - dest <= 43; - src <= 97; - when "00010001" => - dest <= 43; - src <= 7; - when "00010010" => - dest <= 43; - src <= 43; - when "00010011" => - dest <= 39; - src <= 43; - when "00010100" => - dest <= 18; - src <= 13; - when "00010101" => - dest <= 43; - src <= 17; - when "00010110" => - dest <= 83; - src <= 43; - when "00010111" => - dest <= 32; - src <= 43; - when "00011000" => - dest <= 7; - src <= 8; - when "00011001" => - dest <= 90; - src <= 99; - when "00011010" => - dest <= 43; - src <= 57; - when "00011011" => - dest <= 80; - src <= 54; - when "00011100" => - dest <= 40; - src <= 3; - when "00011101" => - dest <= 43; - src <= 43; - when "00011110" => - dest <= 43; - src <= 78; - when "00011111" => - dest <= 70; - src <= 43; - when "00100000" => - dest <= 55; - src <= 43; - when "00100001" => - dest <= 9; - src <= 46; - when "00100010" => - dest <= 96; - src <= 89; - when "00100011" => - dest <= 78; - src <= 96; - when "00100100" => - dest <= 43; - src <= 6; - when "00100101" => - dest <= 54; - src <= 31; - when "00100110" => - dest <= 43; - src <= 43; - when "00100111" => - dest <= 69; - src <= 16; - when "00101000" => - dest <= 43; - src <= 43; - when "00101001" => - dest <= 43; - src <= 32; - when "00101010" => - dest <= 28; - src <= 43; - when "00101011" => - dest <= 84; - src <= 87; - when "00101100" => - dest <= 29; - src <= 90; - when "00101101" => - dest <= 42; - src <= 18; - when "00101110" => - dest <= 17; - src <= 43; - when "00101111" => - dest <= 43; - src <= 27; - when "00110000" => - dest <= 43; - src <= 44; - when "00110001" => - dest <= 6; - src <= 43; - when "00110010" => - dest <= 0; - src <= 2; - when "00110011" => - dest <= 43; - src <= 68; - when "00110100" => - dest <= 81; - src <= 67; - when "00110101" => - dest <= 41; - src <= 43; - when "00110110" => - dest <= 43; - src <= 43; - when "00110111" => - dest <= 43; - src <= 79; - when "00111000" => - dest <= 86; - src <= 43; - when "00111001" => - dest <= 19; - src <= 45; - when "00111010" => - dest <= 52; - src <= 80; - when "00111011" => - dest <= 95; - src <= 86; - when "00111100" => - dest <= 43; - src <= 5; - when "00111101" => - dest <= 77; - src <= 43; - when "00111110" => - dest <= 43; - src <= 34; - when "00111111" => - dest <= 68; - src <= 43; - when "01000000" => - dest <= 43; - src <= 43; - when "01000001" => - dest <= 49; - src <= 0; - when "01000010" => - dest <= 91; - src <= 77; - when "01000011" => - dest <= 43; - src <= 43; - when "01000100" => - dest <= 51; - src <= 91; - when "01000101" => - dest <= 43; - src <= 43; - when "01000110" => - dest <= 85; - src <= 19; - when "01000111" => - dest <= 38; - src <= 47; - when "01001000" => - dest <= 10; - src <= 28; - when "01001001" => - dest <= 43; - src <= 35; - when "01001010" => - dest <= 43; - src <= 43; - when "01001011" => - dest <= 43; - src <= 95; - when "01001100" => - dest <= 27; - src <= 43; - when "01001101" => - dest <= 94; - src <= 43; - when "01001110" => - dest <= 43; - src <= 1; - when "01001111" => - dest <= 60; - src <= 58; - when "01010000" => - dest <= 43; - src <= 76; - when "01010001" => - dest <= 71; - src <= 43; - when "01010010" => - dest <= 76; - src <= 43; - when "01010011" => - dest <= 16; - src <= 43; - when "01010100" => - dest <= 43; - src <= 69; - when "01010101" => - dest <= 43; - src <= 92; - when "01010110" => - dest <= 5; - src <= 26; - when "01010111" => - dest <= 43; - src <= 43; - when "01011000" => - dest <= 82; - src <= 15; - when "01011001" => - dest <= 44; - src <= 43; - when "01011010" => - dest <= 92; - src <= 10; - when "01011011" => - dest <= 43; - src <= 70; - when "01011100" => - dest <= 67; - src <= 42; - when "01011101" => - dest <= 72; - src <= 43; - when "01011110" => - dest <= 43; - src <= 81; - when "01011111" => - dest <= 1; - src <= 4; - when "01100000" => - dest <= 58; - src <= 43; - when "01100001" => - dest <= 43; - src <= 43; - when "01100010" => - dest <= 43; - src <= 94; - when "01100011" => - dest <= 61; - src <= 14; - when "01100100" => - dest <= 37; - src <= 29; - when "01100101" => - dest <= 20; - src <= 43; - when "01100110" => - dest <= 43; - src <= 43; - when "01100111" => - dest <= 26; - src <= 85; - when "01101000" => - dest <= 62; - src <= 38; - when "01101001" => - dest <= 15; - src <= 25; - when "01101010" => - dest <= 43; - src <= 43; - when "01101011" => - dest <= 50; - src <= 59; - when "01101100" => - dest <= 4; - src <= 66; - when "01101101" => - dest <= 43; - src <= 24; - when "01101110" => - dest <= 75; - src <= 60; - when "01101111" => - dest <= 43; - src <= 43; - when "01110000" => - dest <= 2; - src <= 71; - when "01110001" => - dest <= 66; - src <= 36; - when "01110010" => - dest <= 43; - src <= 43; - when "01110011" => - dest <= 43; - src <= 82; - when "01110100" => - dest <= 11; - src <= 43; - when "01110101" => - dest <= 57; - src <= 11; - when "01110110" => - dest <= 43; - src <= 43; - when "01110111" => - dest <= 93; - src <= 84; - when "01111000" => - dest <= 43; - src <= 20; - when "01111001" => - dest <= 48; - src <= 43; - when "01111010" => - dest <= 43; - src <= 43; - when "01111011" => - dest <= 43; - src <= 75; - when "01111100" => - dest <= 30; - src <= 39; - when "01111101" => - dest <= 36; - src <= 43; - when "01111110" => - dest <= 43; - src <= 93; - when "01111111" => - dest <= 25; - src <= 43; - when "10000000" => - dest <= 43; - src <= 48; - when "10000001" => - dest <= 14; - src <= 43; - when "10000010" => - dest <= 43; - src <= 56; - when "10000011" => - dest <= 74; - src <= 50; - when "10000100" => - dest <= 12; - src <= 43; - when "10000101" => - dest <= 65; - src <= 61; - when "10000110" => - dest <= 43; - src <= 43; - when "10000111" => - dest <= 21; - src <= 72; - when "10001000" => - dest <= 56; - src <= 43; - when "10001001" => - dest <= 3; - src <= 12; - when "10001010" => - dest <= 43; - src <= 74; - when "10001011" => - dest <= 47; - src <= 21; - when "10001100" => - dest <= 43; - src <= 43; - when "10001101" => - dest <= 43; - src <= 65; - when "10001110" => - dest <= 35; - src <= 30; - when "10001111" => - dest <= 24; - src <= 83; - when "10010000" => - dest <= 43; - src <= 43; - when "10010001" => - dest <= 22; - src <= 49; - when "10010010" => - dest <= 64; - src <= 43; - when "10010011" => - dest <= 13; - src <= 51; - when "10010100" => - dest <= 46; - src <= 62; - when "10010101" => - dest <= 43; - src <= 43; - when "10010110" => - dest <= 34; - src <= 64; - when "10010111" => - dest <= 23; - src <= 22; - when "10011000" => - dest <= 45; - src <= 73; - when "10011001" => - dest <= 43; - src <= 40; - when "10011010" => - dest <= 43; - src <= 52; - when "10011011" => - dest <= 98; - src <= 63; - when "10011100" => - dest <= 87; - src <= 41; - when others => - dest <= 43; - src <= 43; - - end case; - end process; - - end generate NI_NUM43; - - NI_NUM44 : if NI_NUM = 44 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 50; - src <= 44; - when "00000001" => - dest <= 64; - src <= 44; - when "00000010" => - dest <= 44; - src <= 44; - when "00000011" => - dest <= 34; - src <= 44; - when "00000100" => - dest <= 9; - src <= 44; - when "00000101" => - dest <= 90; - src <= 24; - when "00000110" => - dest <= 44; - src <= 54; - when "00000111" => - dest <= 80; - src <= 38; - when "00001000" => - dest <= 32; - src <= 44; - when "00001001" => - dest <= 44; - src <= 0; - when "00001010" => - dest <= 44; - src <= 99; - when "00001011" => - dest <= 54; - src <= 44; - when "00001100" => - dest <= 70; - src <= 44; - when "00001101" => - dest <= 89; - src <= 56; - when "00001110" => - dest <= 98; - src <= 34; - when "00001111" => - dest <= 74; - src <= 89; - when "00010000" => - dest <= 44; - src <= 98; - when "00010001" => - dest <= 44; - src <= 8; - when "00010010" => - dest <= 44; - src <= 44; - when "00010011" => - dest <= 30; - src <= 44; - when "00010100" => - dest <= 19; - src <= 14; - when "00010101" => - dest <= 44; - src <= 18; - when "00010110" => - dest <= 84; - src <= 44; - when "00010111" => - dest <= 33; - src <= 44; - when "00011000" => - dest <= 8; - src <= 9; - when "00011001" => - dest <= 91; - src <= 90; - when "00011010" => - dest <= 44; - src <= 58; - when "00011011" => - dest <= 81; - src <= 55; - when "00011100" => - dest <= 41; - src <= 4; - when "00011101" => - dest <= 44; - src <= 44; - when "00011110" => - dest <= 44; - src <= 79; - when "00011111" => - dest <= 71; - src <= 44; - when "00100000" => - dest <= 56; - src <= 44; - when "00100001" => - dest <= 0; - src <= 47; - when "00100010" => - dest <= 97; - src <= 80; - when "00100011" => - dest <= 79; - src <= 97; - when "00100100" => - dest <= 44; - src <= 7; - when "00100101" => - dest <= 55; - src <= 32; - when "00100110" => - dest <= 44; - src <= 44; - when "00100111" => - dest <= 60; - src <= 17; - when "00101000" => - dest <= 44; - src <= 44; - when "00101001" => - dest <= 44; - src <= 33; - when "00101010" => - dest <= 29; - src <= 44; - when "00101011" => - dest <= 85; - src <= 88; - when "00101100" => - dest <= 20; - src <= 91; - when "00101101" => - dest <= 43; - src <= 19; - when "00101110" => - dest <= 18; - src <= 44; - when "00101111" => - dest <= 44; - src <= 28; - when "00110000" => - dest <= 44; - src <= 45; - when "00110001" => - dest <= 7; - src <= 44; - when "00110010" => - dest <= 1; - src <= 3; - when "00110011" => - dest <= 44; - src <= 69; - when "00110100" => - dest <= 82; - src <= 68; - when "00110101" => - dest <= 42; - src <= 44; - when "00110110" => - dest <= 44; - src <= 44; - when "00110111" => - dest <= 44; - src <= 70; - when "00111000" => - dest <= 87; - src <= 44; - when "00111001" => - dest <= 10; - src <= 46; - when "00111010" => - dest <= 53; - src <= 81; - when "00111011" => - dest <= 96; - src <= 87; - when "00111100" => - dest <= 44; - src <= 6; - when "00111101" => - dest <= 78; - src <= 44; - when "00111110" => - dest <= 44; - src <= 35; - when "00111111" => - dest <= 69; - src <= 44; - when "01000000" => - dest <= 44; - src <= 44; - when "01000001" => - dest <= 40; - src <= 1; - when "01000010" => - dest <= 92; - src <= 78; - when "01000011" => - dest <= 44; - src <= 44; - when "01000100" => - dest <= 52; - src <= 92; - when "01000101" => - dest <= 44; - src <= 44; - when "01000110" => - dest <= 86; - src <= 10; - when "01000111" => - dest <= 39; - src <= 48; - when "01001000" => - dest <= 11; - src <= 29; - when "01001001" => - dest <= 44; - src <= 36; - when "01001010" => - dest <= 44; - src <= 44; - when "01001011" => - dest <= 44; - src <= 96; - when "01001100" => - dest <= 28; - src <= 44; - when "01001101" => - dest <= 95; - src <= 44; - when "01001110" => - dest <= 44; - src <= 2; - when "01001111" => - dest <= 61; - src <= 59; - when "01010000" => - dest <= 44; - src <= 77; - when "01010001" => - dest <= 72; - src <= 44; - when "01010010" => - dest <= 77; - src <= 44; - when "01010011" => - dest <= 17; - src <= 44; - when "01010100" => - dest <= 44; - src <= 60; - when "01010101" => - dest <= 44; - src <= 93; - when "01010110" => - dest <= 6; - src <= 27; - when "01010111" => - dest <= 44; - src <= 44; - when "01011000" => - dest <= 83; - src <= 16; - when "01011001" => - dest <= 45; - src <= 44; - when "01011010" => - dest <= 93; - src <= 11; - when "01011011" => - dest <= 44; - src <= 71; - when "01011100" => - dest <= 68; - src <= 43; - when "01011101" => - dest <= 73; - src <= 44; - when "01011110" => - dest <= 44; - src <= 82; - when "01011111" => - dest <= 2; - src <= 5; - when "01100000" => - dest <= 59; - src <= 44; - when "01100001" => - dest <= 44; - src <= 44; - when "01100010" => - dest <= 44; - src <= 95; - when "01100011" => - dest <= 62; - src <= 15; - when "01100100" => - dest <= 38; - src <= 20; - when "01100101" => - dest <= 21; - src <= 44; - when "01100110" => - dest <= 44; - src <= 44; - when "01100111" => - dest <= 27; - src <= 86; - when "01101000" => - dest <= 63; - src <= 39; - when "01101001" => - dest <= 16; - src <= 26; - when "01101010" => - dest <= 44; - src <= 44; - when "01101011" => - dest <= 51; - src <= 50; - when "01101100" => - dest <= 5; - src <= 67; - when "01101101" => - dest <= 44; - src <= 25; - when "01101110" => - dest <= 76; - src <= 61; - when "01101111" => - dest <= 44; - src <= 44; - when "01110000" => - dest <= 3; - src <= 72; - when "01110001" => - dest <= 67; - src <= 37; - when "01110010" => - dest <= 44; - src <= 44; - when "01110011" => - dest <= 44; - src <= 83; - when "01110100" => - dest <= 12; - src <= 44; - when "01110101" => - dest <= 58; - src <= 12; - when "01110110" => - dest <= 44; - src <= 44; - when "01110111" => - dest <= 94; - src <= 85; - when "01111000" => - dest <= 44; - src <= 21; - when "01111001" => - dest <= 49; - src <= 44; - when "01111010" => - dest <= 44; - src <= 44; - when "01111011" => - dest <= 44; - src <= 76; - when "01111100" => - dest <= 31; - src <= 30; - when "01111101" => - dest <= 37; - src <= 44; - when "01111110" => - dest <= 44; - src <= 94; - when "01111111" => - dest <= 26; - src <= 44; - when "10000000" => - dest <= 44; - src <= 49; - when "10000001" => - dest <= 15; - src <= 44; - when "10000010" => - dest <= 44; - src <= 57; - when "10000011" => - dest <= 75; - src <= 51; - when "10000100" => - dest <= 13; - src <= 44; - when "10000101" => - dest <= 66; - src <= 62; - when "10000110" => - dest <= 44; - src <= 44; - when "10000111" => - dest <= 22; - src <= 73; - when "10001000" => - dest <= 57; - src <= 44; - when "10001001" => - dest <= 4; - src <= 13; - when "10001010" => - dest <= 44; - src <= 75; - when "10001011" => - dest <= 48; - src <= 22; - when "10001100" => - dest <= 44; - src <= 44; - when "10001101" => - dest <= 44; - src <= 66; - when "10001110" => - dest <= 36; - src <= 31; - when "10001111" => - dest <= 25; - src <= 84; - when "10010000" => - dest <= 44; - src <= 44; - when "10010001" => - dest <= 23; - src <= 40; - when "10010010" => - dest <= 65; - src <= 44; - when "10010011" => - dest <= 14; - src <= 52; - when "10010100" => - dest <= 47; - src <= 63; - when "10010101" => - dest <= 44; - src <= 44; - when "10010110" => - dest <= 35; - src <= 65; - when "10010111" => - dest <= 24; - src <= 23; - when "10011000" => - dest <= 46; - src <= 74; - when "10011001" => - dest <= 44; - src <= 41; - when "10011010" => - dest <= 44; - src <= 53; - when "10011011" => - dest <= 99; - src <= 64; - when "10011100" => - dest <= 88; - src <= 42; - when others => - dest <= 44; - src <= 44; - - end case; - end process; - - end generate NI_NUM44; - - NI_NUM45 : if NI_NUM = 45 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 51; - src <= 45; - when "00000001" => - dest <= 65; - src <= 45; - when "00000010" => - dest <= 45; - src <= 45; - when "00000011" => - dest <= 35; - src <= 45; - when "00000100" => - dest <= 0; - src <= 45; - when "00000101" => - dest <= 91; - src <= 25; - when "00000110" => - dest <= 45; - src <= 55; - when "00000111" => - dest <= 81; - src <= 39; - when "00001000" => - dest <= 33; - src <= 45; - when "00001001" => - dest <= 45; - src <= 1; - when "00001010" => - dest <= 45; - src <= 90; - when "00001011" => - dest <= 55; - src <= 45; - when "00001100" => - dest <= 71; - src <= 45; - when "00001101" => - dest <= 80; - src <= 57; - when "00001110" => - dest <= 99; - src <= 35; - when "00001111" => - dest <= 75; - src <= 80; - when "00010000" => - dest <= 45; - src <= 99; - when "00010001" => - dest <= 45; - src <= 9; - when "00010010" => - dest <= 45; - src <= 45; - when "00010011" => - dest <= 31; - src <= 45; - when "00010100" => - dest <= 10; - src <= 15; - when "00010101" => - dest <= 45; - src <= 19; - when "00010110" => - dest <= 85; - src <= 45; - when "00010111" => - dest <= 34; - src <= 45; - when "00011000" => - dest <= 9; - src <= 0; - when "00011001" => - dest <= 92; - src <= 91; - when "00011010" => - dest <= 45; - src <= 59; - when "00011011" => - dest <= 82; - src <= 56; - when "00011100" => - dest <= 42; - src <= 5; - when "00011101" => - dest <= 45; - src <= 45; - when "00011110" => - dest <= 45; - src <= 70; - when "00011111" => - dest <= 72; - src <= 45; - when "00100000" => - dest <= 57; - src <= 45; - when "00100001" => - dest <= 1; - src <= 48; - when "00100010" => - dest <= 98; - src <= 81; - when "00100011" => - dest <= 70; - src <= 98; - when "00100100" => - dest <= 45; - src <= 8; - when "00100101" => - dest <= 56; - src <= 33; - when "00100110" => - dest <= 45; - src <= 45; - when "00100111" => - dest <= 61; - src <= 18; - when "00101000" => - dest <= 45; - src <= 45; - when "00101001" => - dest <= 45; - src <= 34; - when "00101010" => - dest <= 20; - src <= 45; - when "00101011" => - dest <= 86; - src <= 89; - when "00101100" => - dest <= 21; - src <= 92; - when "00101101" => - dest <= 44; - src <= 10; - when "00101110" => - dest <= 19; - src <= 45; - when "00101111" => - dest <= 45; - src <= 29; - when "00110000" => - dest <= 45; - src <= 46; - when "00110001" => - dest <= 8; - src <= 45; - when "00110010" => - dest <= 2; - src <= 4; - when "00110011" => - dest <= 45; - src <= 60; - when "00110100" => - dest <= 83; - src <= 69; - when "00110101" => - dest <= 43; - src <= 45; - when "00110110" => - dest <= 45; - src <= 45; - when "00110111" => - dest <= 45; - src <= 71; - when "00111000" => - dest <= 88; - src <= 45; - when "00111001" => - dest <= 11; - src <= 47; - when "00111010" => - dest <= 54; - src <= 82; - when "00111011" => - dest <= 97; - src <= 88; - when "00111100" => - dest <= 45; - src <= 7; - when "00111101" => - dest <= 79; - src <= 45; - when "00111110" => - dest <= 45; - src <= 36; - when "00111111" => - dest <= 60; - src <= 45; - when "01000000" => - dest <= 45; - src <= 45; - when "01000001" => - dest <= 41; - src <= 2; - when "01000010" => - dest <= 93; - src <= 79; - when "01000011" => - dest <= 45; - src <= 45; - when "01000100" => - dest <= 53; - src <= 93; - when "01000101" => - dest <= 45; - src <= 45; - when "01000110" => - dest <= 87; - src <= 11; - when "01000111" => - dest <= 30; - src <= 49; - when "01001000" => - dest <= 12; - src <= 20; - when "01001001" => - dest <= 45; - src <= 37; - when "01001010" => - dest <= 45; - src <= 45; - when "01001011" => - dest <= 45; - src <= 97; - when "01001100" => - dest <= 29; - src <= 45; - when "01001101" => - dest <= 96; - src <= 45; - when "01001110" => - dest <= 45; - src <= 3; - when "01001111" => - dest <= 62; - src <= 50; - when "01010000" => - dest <= 45; - src <= 78; - when "01010001" => - dest <= 73; - src <= 45; - when "01010010" => - dest <= 78; - src <= 45; - when "01010011" => - dest <= 18; - src <= 45; - when "01010100" => - dest <= 45; - src <= 61; - when "01010101" => - dest <= 45; - src <= 94; - when "01010110" => - dest <= 7; - src <= 28; - when "01010111" => - dest <= 45; - src <= 45; - when "01011000" => - dest <= 84; - src <= 17; - when "01011001" => - dest <= 46; - src <= 45; - when "01011010" => - dest <= 94; - src <= 12; - when "01011011" => - dest <= 45; - src <= 72; - when "01011100" => - dest <= 69; - src <= 44; - when "01011101" => - dest <= 74; - src <= 45; - when "01011110" => - dest <= 45; - src <= 83; - when "01011111" => - dest <= 3; - src <= 6; - when "01100000" => - dest <= 50; - src <= 45; - when "01100001" => - dest <= 45; - src <= 45; - when "01100010" => - dest <= 45; - src <= 96; - when "01100011" => - dest <= 63; - src <= 16; - when "01100100" => - dest <= 39; - src <= 21; - when "01100101" => - dest <= 22; - src <= 45; - when "01100110" => - dest <= 45; - src <= 45; - when "01100111" => - dest <= 28; - src <= 87; - when "01101000" => - dest <= 64; - src <= 30; - when "01101001" => - dest <= 17; - src <= 27; - when "01101010" => - dest <= 45; - src <= 45; - when "01101011" => - dest <= 52; - src <= 51; - when "01101100" => - dest <= 6; - src <= 68; - when "01101101" => - dest <= 45; - src <= 26; - when "01101110" => - dest <= 77; - src <= 62; - when "01101111" => - dest <= 45; - src <= 45; - when "01110000" => - dest <= 4; - src <= 73; - when "01110001" => - dest <= 68; - src <= 38; - when "01110010" => - dest <= 45; - src <= 45; - when "01110011" => - dest <= 45; - src <= 84; - when "01110100" => - dest <= 13; - src <= 45; - when "01110101" => - dest <= 59; - src <= 13; - when "01110110" => - dest <= 45; - src <= 45; - when "01110111" => - dest <= 95; - src <= 86; - when "01111000" => - dest <= 45; - src <= 22; - when "01111001" => - dest <= 40; - src <= 45; - when "01111010" => - dest <= 45; - src <= 45; - when "01111011" => - dest <= 45; - src <= 77; - when "01111100" => - dest <= 32; - src <= 31; - when "01111101" => - dest <= 38; - src <= 45; - when "01111110" => - dest <= 45; - src <= 95; - when "01111111" => - dest <= 27; - src <= 45; - when "10000000" => - dest <= 45; - src <= 40; - when "10000001" => - dest <= 16; - src <= 45; - when "10000010" => - dest <= 45; - src <= 58; - when "10000011" => - dest <= 76; - src <= 52; - when "10000100" => - dest <= 14; - src <= 45; - when "10000101" => - dest <= 67; - src <= 63; - when "10000110" => - dest <= 45; - src <= 45; - when "10000111" => - dest <= 23; - src <= 74; - when "10001000" => - dest <= 58; - src <= 45; - when "10001001" => - dest <= 5; - src <= 14; - when "10001010" => - dest <= 45; - src <= 76; - when "10001011" => - dest <= 49; - src <= 23; - when "10001100" => - dest <= 45; - src <= 45; - when "10001101" => - dest <= 45; - src <= 67; - when "10001110" => - dest <= 37; - src <= 32; - when "10001111" => - dest <= 26; - src <= 85; - when "10010000" => - dest <= 45; - src <= 45; - when "10010001" => - dest <= 24; - src <= 41; - when "10010010" => - dest <= 66; - src <= 45; - when "10010011" => - dest <= 15; - src <= 53; - when "10010100" => - dest <= 48; - src <= 64; - when "10010101" => - dest <= 45; - src <= 45; - when "10010110" => - dest <= 36; - src <= 66; - when "10010111" => - dest <= 25; - src <= 24; - when "10011000" => - dest <= 47; - src <= 75; - when "10011001" => - dest <= 45; - src <= 42; - when "10011010" => - dest <= 45; - src <= 54; - when "10011011" => - dest <= 90; - src <= 65; - when "10011100" => - dest <= 89; - src <= 43; - when others => - dest <= 45; - src <= 45; - - end case; - end process; - - end generate NI_NUM45; - - NI_NUM46 : if NI_NUM = 46 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 52; - src <= 46; - when "00000001" => - dest <= 66; - src <= 46; - when "00000010" => - dest <= 46; - src <= 46; - when "00000011" => - dest <= 36; - src <= 46; - when "00000100" => - dest <= 1; - src <= 46; - when "00000101" => - dest <= 92; - src <= 26; - when "00000110" => - dest <= 46; - src <= 56; - when "00000111" => - dest <= 82; - src <= 30; - when "00001000" => - dest <= 34; - src <= 46; - when "00001001" => - dest <= 46; - src <= 2; - when "00001010" => - dest <= 46; - src <= 91; - when "00001011" => - dest <= 56; - src <= 46; - when "00001100" => - dest <= 72; - src <= 46; - when "00001101" => - dest <= 81; - src <= 58; - when "00001110" => - dest <= 90; - src <= 36; - when "00001111" => - dest <= 76; - src <= 81; - when "00010000" => - dest <= 46; - src <= 90; - when "00010001" => - dest <= 46; - src <= 0; - when "00010010" => - dest <= 46; - src <= 46; - when "00010011" => - dest <= 32; - src <= 46; - when "00010100" => - dest <= 11; - src <= 16; - when "00010101" => - dest <= 46; - src <= 10; - when "00010110" => - dest <= 86; - src <= 46; - when "00010111" => - dest <= 35; - src <= 46; - when "00011000" => - dest <= 0; - src <= 1; - when "00011001" => - dest <= 93; - src <= 92; - when "00011010" => - dest <= 46; - src <= 50; - when "00011011" => - dest <= 83; - src <= 57; - when "00011100" => - dest <= 43; - src <= 6; - when "00011101" => - dest <= 46; - src <= 46; - when "00011110" => - dest <= 46; - src <= 71; - when "00011111" => - dest <= 73; - src <= 46; - when "00100000" => - dest <= 58; - src <= 46; - when "00100001" => - dest <= 2; - src <= 49; - when "00100010" => - dest <= 99; - src <= 82; - when "00100011" => - dest <= 71; - src <= 99; - when "00100100" => - dest <= 46; - src <= 9; - when "00100101" => - dest <= 57; - src <= 34; - when "00100110" => - dest <= 46; - src <= 46; - when "00100111" => - dest <= 62; - src <= 19; - when "00101000" => - dest <= 46; - src <= 46; - when "00101001" => - dest <= 46; - src <= 35; - when "00101010" => - dest <= 21; - src <= 46; - when "00101011" => - dest <= 87; - src <= 80; - when "00101100" => - dest <= 22; - src <= 93; - when "00101101" => - dest <= 45; - src <= 11; - when "00101110" => - dest <= 10; - src <= 46; - when "00101111" => - dest <= 46; - src <= 20; - when "00110000" => - dest <= 46; - src <= 47; - when "00110001" => - dest <= 9; - src <= 46; - when "00110010" => - dest <= 3; - src <= 5; - when "00110011" => - dest <= 46; - src <= 61; - when "00110100" => - dest <= 84; - src <= 60; - when "00110101" => - dest <= 44; - src <= 46; - when "00110110" => - dest <= 46; - src <= 46; - when "00110111" => - dest <= 46; - src <= 72; - when "00111000" => - dest <= 89; - src <= 46; - when "00111001" => - dest <= 12; - src <= 48; - when "00111010" => - dest <= 55; - src <= 83; - when "00111011" => - dest <= 98; - src <= 89; - when "00111100" => - dest <= 46; - src <= 8; - when "00111101" => - dest <= 70; - src <= 46; - when "00111110" => - dest <= 46; - src <= 37; - when "00111111" => - dest <= 61; - src <= 46; - when "01000000" => - dest <= 46; - src <= 46; - when "01000001" => - dest <= 42; - src <= 3; - when "01000010" => - dest <= 94; - src <= 70; - when "01000011" => - dest <= 46; - src <= 46; - when "01000100" => - dest <= 54; - src <= 94; - when "01000101" => - dest <= 46; - src <= 46; - when "01000110" => - dest <= 88; - src <= 12; - when "01000111" => - dest <= 31; - src <= 40; - when "01001000" => - dest <= 13; - src <= 21; - when "01001001" => - dest <= 46; - src <= 38; - when "01001010" => - dest <= 46; - src <= 46; - when "01001011" => - dest <= 46; - src <= 98; - when "01001100" => - dest <= 20; - src <= 46; - when "01001101" => - dest <= 97; - src <= 46; - when "01001110" => - dest <= 46; - src <= 4; - when "01001111" => - dest <= 63; - src <= 51; - when "01010000" => - dest <= 46; - src <= 79; - when "01010001" => - dest <= 74; - src <= 46; - when "01010010" => - dest <= 79; - src <= 46; - when "01010011" => - dest <= 19; - src <= 46; - when "01010100" => - dest <= 46; - src <= 62; - when "01010101" => - dest <= 46; - src <= 95; - when "01010110" => - dest <= 8; - src <= 29; - when "01010111" => - dest <= 46; - src <= 46; - when "01011000" => - dest <= 85; - src <= 18; - when "01011001" => - dest <= 47; - src <= 46; - when "01011010" => - dest <= 95; - src <= 13; - when "01011011" => - dest <= 46; - src <= 73; - when "01011100" => - dest <= 60; - src <= 45; - when "01011101" => - dest <= 75; - src <= 46; - when "01011110" => - dest <= 46; - src <= 84; - when "01011111" => - dest <= 4; - src <= 7; - when "01100000" => - dest <= 51; - src <= 46; - when "01100001" => - dest <= 46; - src <= 46; - when "01100010" => - dest <= 46; - src <= 97; - when "01100011" => - dest <= 64; - src <= 17; - when "01100100" => - dest <= 30; - src <= 22; - when "01100101" => - dest <= 23; - src <= 46; - when "01100110" => - dest <= 46; - src <= 46; - when "01100111" => - dest <= 29; - src <= 88; - when "01101000" => - dest <= 65; - src <= 31; - when "01101001" => - dest <= 18; - src <= 28; - when "01101010" => - dest <= 46; - src <= 46; - when "01101011" => - dest <= 53; - src <= 52; - when "01101100" => - dest <= 7; - src <= 69; - when "01101101" => - dest <= 46; - src <= 27; - when "01101110" => - dest <= 78; - src <= 63; - when "01101111" => - dest <= 46; - src <= 46; - when "01110000" => - dest <= 5; - src <= 74; - when "01110001" => - dest <= 69; - src <= 39; - when "01110010" => - dest <= 46; - src <= 46; - when "01110011" => - dest <= 46; - src <= 85; - when "01110100" => - dest <= 14; - src <= 46; - when "01110101" => - dest <= 50; - src <= 14; - when "01110110" => - dest <= 46; - src <= 46; - when "01110111" => - dest <= 96; - src <= 87; - when "01111000" => - dest <= 46; - src <= 23; - when "01111001" => - dest <= 41; - src <= 46; - when "01111010" => - dest <= 46; - src <= 46; - when "01111011" => - dest <= 46; - src <= 78; - when "01111100" => - dest <= 33; - src <= 32; - when "01111101" => - dest <= 39; - src <= 46; - when "01111110" => - dest <= 46; - src <= 96; - when "01111111" => - dest <= 28; - src <= 46; - when "10000000" => - dest <= 46; - src <= 41; - when "10000001" => - dest <= 17; - src <= 46; - when "10000010" => - dest <= 46; - src <= 59; - when "10000011" => - dest <= 77; - src <= 53; - when "10000100" => - dest <= 15; - src <= 46; - when "10000101" => - dest <= 68; - src <= 64; - when "10000110" => - dest <= 46; - src <= 46; - when "10000111" => - dest <= 24; - src <= 75; - when "10001000" => - dest <= 59; - src <= 46; - when "10001001" => - dest <= 6; - src <= 15; - when "10001010" => - dest <= 46; - src <= 77; - when "10001011" => - dest <= 40; - src <= 24; - when "10001100" => - dest <= 46; - src <= 46; - when "10001101" => - dest <= 46; - src <= 68; - when "10001110" => - dest <= 38; - src <= 33; - when "10001111" => - dest <= 27; - src <= 86; - when "10010000" => - dest <= 46; - src <= 46; - when "10010001" => - dest <= 25; - src <= 42; - when "10010010" => - dest <= 67; - src <= 46; - when "10010011" => - dest <= 16; - src <= 54; - when "10010100" => - dest <= 49; - src <= 65; - when "10010101" => - dest <= 46; - src <= 46; - when "10010110" => - dest <= 37; - src <= 67; - when "10010111" => - dest <= 26; - src <= 25; - when "10011000" => - dest <= 48; - src <= 76; - when "10011001" => - dest <= 46; - src <= 43; - when "10011010" => - dest <= 46; - src <= 55; - when "10011011" => - dest <= 91; - src <= 66; - when "10011100" => - dest <= 80; - src <= 44; - when others => - dest <= 46; - src <= 46; - - end case; - end process; - - end generate NI_NUM46; - - NI_NUM47 : if NI_NUM = 47 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 53; - src <= 47; - when "00000001" => - dest <= 67; - src <= 47; - when "00000010" => - dest <= 47; - src <= 47; - when "00000011" => - dest <= 37; - src <= 47; - when "00000100" => - dest <= 2; - src <= 47; - when "00000101" => - dest <= 93; - src <= 27; - when "00000110" => - dest <= 47; - src <= 57; - when "00000111" => - dest <= 83; - src <= 31; - when "00001000" => - dest <= 35; - src <= 47; - when "00001001" => - dest <= 47; - src <= 3; - when "00001010" => - dest <= 47; - src <= 92; - when "00001011" => - dest <= 57; - src <= 47; - when "00001100" => - dest <= 73; - src <= 47; - when "00001101" => - dest <= 82; - src <= 59; - when "00001110" => - dest <= 91; - src <= 37; - when "00001111" => - dest <= 77; - src <= 82; - when "00010000" => - dest <= 47; - src <= 91; - when "00010001" => - dest <= 47; - src <= 1; - when "00010010" => - dest <= 47; - src <= 47; - when "00010011" => - dest <= 33; - src <= 47; - when "00010100" => - dest <= 12; - src <= 17; - when "00010101" => - dest <= 47; - src <= 11; - when "00010110" => - dest <= 87; - src <= 47; - when "00010111" => - dest <= 36; - src <= 47; - when "00011000" => - dest <= 1; - src <= 2; - when "00011001" => - dest <= 94; - src <= 93; - when "00011010" => - dest <= 47; - src <= 51; - when "00011011" => - dest <= 84; - src <= 58; - when "00011100" => - dest <= 44; - src <= 7; - when "00011101" => - dest <= 47; - src <= 47; - when "00011110" => - dest <= 47; - src <= 72; - when "00011111" => - dest <= 74; - src <= 47; - when "00100000" => - dest <= 59; - src <= 47; - when "00100001" => - dest <= 3; - src <= 40; - when "00100010" => - dest <= 90; - src <= 83; - when "00100011" => - dest <= 72; - src <= 90; - when "00100100" => - dest <= 47; - src <= 0; - when "00100101" => - dest <= 58; - src <= 35; - when "00100110" => - dest <= 47; - src <= 47; - when "00100111" => - dest <= 63; - src <= 10; - when "00101000" => - dest <= 47; - src <= 47; - when "00101001" => - dest <= 47; - src <= 36; - when "00101010" => - dest <= 22; - src <= 47; - when "00101011" => - dest <= 88; - src <= 81; - when "00101100" => - dest <= 23; - src <= 94; - when "00101101" => - dest <= 46; - src <= 12; - when "00101110" => - dest <= 11; - src <= 47; - when "00101111" => - dest <= 47; - src <= 21; - when "00110000" => - dest <= 47; - src <= 48; - when "00110001" => - dest <= 0; - src <= 47; - when "00110010" => - dest <= 4; - src <= 6; - when "00110011" => - dest <= 47; - src <= 62; - when "00110100" => - dest <= 85; - src <= 61; - when "00110101" => - dest <= 45; - src <= 47; - when "00110110" => - dest <= 47; - src <= 47; - when "00110111" => - dest <= 47; - src <= 73; - when "00111000" => - dest <= 80; - src <= 47; - when "00111001" => - dest <= 13; - src <= 49; - when "00111010" => - dest <= 56; - src <= 84; - when "00111011" => - dest <= 99; - src <= 80; - when "00111100" => - dest <= 47; - src <= 9; - when "00111101" => - dest <= 71; - src <= 47; - when "00111110" => - dest <= 47; - src <= 38; - when "00111111" => - dest <= 62; - src <= 47; - when "01000000" => - dest <= 47; - src <= 47; - when "01000001" => - dest <= 43; - src <= 4; - when "01000010" => - dest <= 95; - src <= 71; - when "01000011" => - dest <= 47; - src <= 47; - when "01000100" => - dest <= 55; - src <= 95; - when "01000101" => - dest <= 47; - src <= 47; - when "01000110" => - dest <= 89; - src <= 13; - when "01000111" => - dest <= 32; - src <= 41; - when "01001000" => - dest <= 14; - src <= 22; - when "01001001" => - dest <= 47; - src <= 39; - when "01001010" => - dest <= 47; - src <= 47; - when "01001011" => - dest <= 47; - src <= 99; - when "01001100" => - dest <= 21; - src <= 47; - when "01001101" => - dest <= 98; - src <= 47; - when "01001110" => - dest <= 47; - src <= 5; - when "01001111" => - dest <= 64; - src <= 52; - when "01010000" => - dest <= 47; - src <= 70; - when "01010001" => - dest <= 75; - src <= 47; - when "01010010" => - dest <= 70; - src <= 47; - when "01010011" => - dest <= 10; - src <= 47; - when "01010100" => - dest <= 47; - src <= 63; - when "01010101" => - dest <= 47; - src <= 96; - when "01010110" => - dest <= 9; - src <= 20; - when "01010111" => - dest <= 47; - src <= 47; - when "01011000" => - dest <= 86; - src <= 19; - when "01011001" => - dest <= 48; - src <= 47; - when "01011010" => - dest <= 96; - src <= 14; - when "01011011" => - dest <= 47; - src <= 74; - when "01011100" => - dest <= 61; - src <= 46; - when "01011101" => - dest <= 76; - src <= 47; - when "01011110" => - dest <= 47; - src <= 85; - when "01011111" => - dest <= 5; - src <= 8; - when "01100000" => - dest <= 52; - src <= 47; - when "01100001" => - dest <= 47; - src <= 47; - when "01100010" => - dest <= 47; - src <= 98; - when "01100011" => - dest <= 65; - src <= 18; - when "01100100" => - dest <= 31; - src <= 23; - when "01100101" => - dest <= 24; - src <= 47; - when "01100110" => - dest <= 47; - src <= 47; - when "01100111" => - dest <= 20; - src <= 89; - when "01101000" => - dest <= 66; - src <= 32; - when "01101001" => - dest <= 19; - src <= 29; - when "01101010" => - dest <= 47; - src <= 47; - when "01101011" => - dest <= 54; - src <= 53; - when "01101100" => - dest <= 8; - src <= 60; - when "01101101" => - dest <= 47; - src <= 28; - when "01101110" => - dest <= 79; - src <= 64; - when "01101111" => - dest <= 47; - src <= 47; - when "01110000" => - dest <= 6; - src <= 75; - when "01110001" => - dest <= 60; - src <= 30; - when "01110010" => - dest <= 47; - src <= 47; - when "01110011" => - dest <= 47; - src <= 86; - when "01110100" => - dest <= 15; - src <= 47; - when "01110101" => - dest <= 51; - src <= 15; - when "01110110" => - dest <= 47; - src <= 47; - when "01110111" => - dest <= 97; - src <= 88; - when "01111000" => - dest <= 47; - src <= 24; - when "01111001" => - dest <= 42; - src <= 47; - when "01111010" => - dest <= 47; - src <= 47; - when "01111011" => - dest <= 47; - src <= 79; - when "01111100" => - dest <= 34; - src <= 33; - when "01111101" => - dest <= 30; - src <= 47; - when "01111110" => - dest <= 47; - src <= 97; - when "01111111" => - dest <= 29; - src <= 47; - when "10000000" => - dest <= 47; - src <= 42; - when "10000001" => - dest <= 18; - src <= 47; - when "10000010" => - dest <= 47; - src <= 50; - when "10000011" => - dest <= 78; - src <= 54; - when "10000100" => - dest <= 16; - src <= 47; - when "10000101" => - dest <= 69; - src <= 65; - when "10000110" => - dest <= 47; - src <= 47; - when "10000111" => - dest <= 25; - src <= 76; - when "10001000" => - dest <= 50; - src <= 47; - when "10001001" => - dest <= 7; - src <= 16; - when "10001010" => - dest <= 47; - src <= 78; - when "10001011" => - dest <= 41; - src <= 25; - when "10001100" => - dest <= 47; - src <= 47; - when "10001101" => - dest <= 47; - src <= 69; - when "10001110" => - dest <= 39; - src <= 34; - when "10001111" => - dest <= 28; - src <= 87; - when "10010000" => - dest <= 47; - src <= 47; - when "10010001" => - dest <= 26; - src <= 43; - when "10010010" => - dest <= 68; - src <= 47; - when "10010011" => - dest <= 17; - src <= 55; - when "10010100" => - dest <= 40; - src <= 66; - when "10010101" => - dest <= 47; - src <= 47; - when "10010110" => - dest <= 38; - src <= 68; - when "10010111" => - dest <= 27; - src <= 26; - when "10011000" => - dest <= 49; - src <= 77; - when "10011001" => - dest <= 47; - src <= 44; - when "10011010" => - dest <= 47; - src <= 56; - when "10011011" => - dest <= 92; - src <= 67; - when "10011100" => - dest <= 81; - src <= 45; - when others => - dest <= 47; - src <= 47; - - end case; - end process; - - end generate NI_NUM47; - - NI_NUM48 : if NI_NUM = 48 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 54; - src <= 48; - when "00000001" => - dest <= 68; - src <= 48; - when "00000010" => - dest <= 48; - src <= 48; - when "00000011" => - dest <= 38; - src <= 48; - when "00000100" => - dest <= 3; - src <= 48; - when "00000101" => - dest <= 94; - src <= 28; - when "00000110" => - dest <= 48; - src <= 58; - when "00000111" => - dest <= 84; - src <= 32; - when "00001000" => - dest <= 36; - src <= 48; - when "00001001" => - dest <= 48; - src <= 4; - when "00001010" => - dest <= 48; - src <= 93; - when "00001011" => - dest <= 58; - src <= 48; - when "00001100" => - dest <= 74; - src <= 48; - when "00001101" => - dest <= 83; - src <= 50; - when "00001110" => - dest <= 92; - src <= 38; - when "00001111" => - dest <= 78; - src <= 83; - when "00010000" => - dest <= 48; - src <= 92; - when "00010001" => - dest <= 48; - src <= 2; - when "00010010" => - dest <= 48; - src <= 48; - when "00010011" => - dest <= 34; - src <= 48; - when "00010100" => - dest <= 13; - src <= 18; - when "00010101" => - dest <= 48; - src <= 12; - when "00010110" => - dest <= 88; - src <= 48; - when "00010111" => - dest <= 37; - src <= 48; - when "00011000" => - dest <= 2; - src <= 3; - when "00011001" => - dest <= 95; - src <= 94; - when "00011010" => - dest <= 48; - src <= 52; - when "00011011" => - dest <= 85; - src <= 59; - when "00011100" => - dest <= 45; - src <= 8; - when "00011101" => - dest <= 48; - src <= 48; - when "00011110" => - dest <= 48; - src <= 73; - when "00011111" => - dest <= 75; - src <= 48; - when "00100000" => - dest <= 50; - src <= 48; - when "00100001" => - dest <= 4; - src <= 41; - when "00100010" => - dest <= 91; - src <= 84; - when "00100011" => - dest <= 73; - src <= 91; - when "00100100" => - dest <= 48; - src <= 1; - when "00100101" => - dest <= 59; - src <= 36; - when "00100110" => - dest <= 48; - src <= 48; - when "00100111" => - dest <= 64; - src <= 11; - when "00101000" => - dest <= 48; - src <= 48; - when "00101001" => - dest <= 48; - src <= 37; - when "00101010" => - dest <= 23; - src <= 48; - when "00101011" => - dest <= 89; - src <= 82; - when "00101100" => - dest <= 24; - src <= 95; - when "00101101" => - dest <= 47; - src <= 13; - when "00101110" => - dest <= 12; - src <= 48; - when "00101111" => - dest <= 48; - src <= 22; - when "00110000" => - dest <= 48; - src <= 49; - when "00110001" => - dest <= 1; - src <= 48; - when "00110010" => - dest <= 5; - src <= 7; - when "00110011" => - dest <= 48; - src <= 63; - when "00110100" => - dest <= 86; - src <= 62; - when "00110101" => - dest <= 46; - src <= 48; - when "00110110" => - dest <= 48; - src <= 48; - when "00110111" => - dest <= 48; - src <= 74; - when "00111000" => - dest <= 81; - src <= 48; - when "00111001" => - dest <= 14; - src <= 40; - when "00111010" => - dest <= 57; - src <= 85; - when "00111011" => - dest <= 90; - src <= 81; - when "00111100" => - dest <= 48; - src <= 0; - when "00111101" => - dest <= 72; - src <= 48; - when "00111110" => - dest <= 48; - src <= 39; - when "00111111" => - dest <= 63; - src <= 48; - when "01000000" => - dest <= 48; - src <= 48; - when "01000001" => - dest <= 44; - src <= 5; - when "01000010" => - dest <= 96; - src <= 72; - when "01000011" => - dest <= 48; - src <= 48; - when "01000100" => - dest <= 56; - src <= 96; - when "01000101" => - dest <= 48; - src <= 48; - when "01000110" => - dest <= 80; - src <= 14; - when "01000111" => - dest <= 33; - src <= 42; - when "01001000" => - dest <= 15; - src <= 23; - when "01001001" => - dest <= 48; - src <= 30; - when "01001010" => - dest <= 48; - src <= 48; - when "01001011" => - dest <= 48; - src <= 90; - when "01001100" => - dest <= 22; - src <= 48; - when "01001101" => - dest <= 99; - src <= 48; - when "01001110" => - dest <= 48; - src <= 6; - when "01001111" => - dest <= 65; - src <= 53; - when "01010000" => - dest <= 48; - src <= 71; - when "01010001" => - dest <= 76; - src <= 48; - when "01010010" => - dest <= 71; - src <= 48; - when "01010011" => - dest <= 11; - src <= 48; - when "01010100" => - dest <= 48; - src <= 64; - when "01010101" => - dest <= 48; - src <= 97; - when "01010110" => - dest <= 0; - src <= 21; - when "01010111" => - dest <= 48; - src <= 48; - when "01011000" => - dest <= 87; - src <= 10; - when "01011001" => - dest <= 49; - src <= 48; - when "01011010" => - dest <= 97; - src <= 15; - when "01011011" => - dest <= 48; - src <= 75; - when "01011100" => - dest <= 62; - src <= 47; - when "01011101" => - dest <= 77; - src <= 48; - when "01011110" => - dest <= 48; - src <= 86; - when "01011111" => - dest <= 6; - src <= 9; - when "01100000" => - dest <= 53; - src <= 48; - when "01100001" => - dest <= 48; - src <= 48; - when "01100010" => - dest <= 48; - src <= 99; - when "01100011" => - dest <= 66; - src <= 19; - when "01100100" => - dest <= 32; - src <= 24; - when "01100101" => - dest <= 25; - src <= 48; - when "01100110" => - dest <= 48; - src <= 48; - when "01100111" => - dest <= 21; - src <= 80; - when "01101000" => - dest <= 67; - src <= 33; - when "01101001" => - dest <= 10; - src <= 20; - when "01101010" => - dest <= 48; - src <= 48; - when "01101011" => - dest <= 55; - src <= 54; - when "01101100" => - dest <= 9; - src <= 61; - when "01101101" => - dest <= 48; - src <= 29; - when "01101110" => - dest <= 70; - src <= 65; - when "01101111" => - dest <= 48; - src <= 48; - when "01110000" => - dest <= 7; - src <= 76; - when "01110001" => - dest <= 61; - src <= 31; - when "01110010" => - dest <= 48; - src <= 48; - when "01110011" => - dest <= 48; - src <= 87; - when "01110100" => - dest <= 16; - src <= 48; - when "01110101" => - dest <= 52; - src <= 16; - when "01110110" => - dest <= 48; - src <= 48; - when "01110111" => - dest <= 98; - src <= 89; - when "01111000" => - dest <= 48; - src <= 25; - when "01111001" => - dest <= 43; - src <= 48; - when "01111010" => - dest <= 48; - src <= 48; - when "01111011" => - dest <= 48; - src <= 70; - when "01111100" => - dest <= 35; - src <= 34; - when "01111101" => - dest <= 31; - src <= 48; - when "01111110" => - dest <= 48; - src <= 98; - when "01111111" => - dest <= 20; - src <= 48; - when "10000000" => - dest <= 48; - src <= 43; - when "10000001" => - dest <= 19; - src <= 48; - when "10000010" => - dest <= 48; - src <= 51; - when "10000011" => - dest <= 79; - src <= 55; - when "10000100" => - dest <= 17; - src <= 48; - when "10000101" => - dest <= 60; - src <= 66; - when "10000110" => - dest <= 48; - src <= 48; - when "10000111" => - dest <= 26; - src <= 77; - when "10001000" => - dest <= 51; - src <= 48; - when "10001001" => - dest <= 8; - src <= 17; - when "10001010" => - dest <= 48; - src <= 79; - when "10001011" => - dest <= 42; - src <= 26; - when "10001100" => - dest <= 48; - src <= 48; - when "10001101" => - dest <= 48; - src <= 60; - when "10001110" => - dest <= 30; - src <= 35; - when "10001111" => - dest <= 29; - src <= 88; - when "10010000" => - dest <= 48; - src <= 48; - when "10010001" => - dest <= 27; - src <= 44; - when "10010010" => - dest <= 69; - src <= 48; - when "10010011" => - dest <= 18; - src <= 56; - when "10010100" => - dest <= 41; - src <= 67; - when "10010101" => - dest <= 48; - src <= 48; - when "10010110" => - dest <= 39; - src <= 69; - when "10010111" => - dest <= 28; - src <= 27; - when "10011000" => - dest <= 40; - src <= 78; - when "10011001" => - dest <= 48; - src <= 45; - when "10011010" => - dest <= 48; - src <= 57; - when "10011011" => - dest <= 93; - src <= 68; - when "10011100" => - dest <= 82; - src <= 46; - when others => - dest <= 48; - src <= 48; - - end case; - end process; - - end generate NI_NUM48; - - NI_NUM49 : if NI_NUM = 49 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 55; - src <= 49; - when "00000001" => - dest <= 69; - src <= 49; - when "00000010" => - dest <= 49; - src <= 49; - when "00000011" => - dest <= 39; - src <= 49; - when "00000100" => - dest <= 4; - src <= 49; - when "00000101" => - dest <= 95; - src <= 29; - when "00000110" => - dest <= 49; - src <= 59; - when "00000111" => - dest <= 85; - src <= 33; - when "00001000" => - dest <= 37; - src <= 49; - when "00001001" => - dest <= 49; - src <= 5; - when "00001010" => - dest <= 49; - src <= 94; - when "00001011" => - dest <= 59; - src <= 49; - when "00001100" => - dest <= 75; - src <= 49; - when "00001101" => - dest <= 84; - src <= 51; - when "00001110" => - dest <= 93; - src <= 39; - when "00001111" => - dest <= 79; - src <= 84; - when "00010000" => - dest <= 49; - src <= 93; - when "00010001" => - dest <= 49; - src <= 3; - when "00010010" => - dest <= 49; - src <= 49; - when "00010011" => - dest <= 35; - src <= 49; - when "00010100" => - dest <= 14; - src <= 19; - when "00010101" => - dest <= 49; - src <= 13; - when "00010110" => - dest <= 89; - src <= 49; - when "00010111" => - dest <= 38; - src <= 49; - when "00011000" => - dest <= 3; - src <= 4; - when "00011001" => - dest <= 96; - src <= 95; - when "00011010" => - dest <= 49; - src <= 53; - when "00011011" => - dest <= 86; - src <= 50; - when "00011100" => - dest <= 46; - src <= 9; - when "00011101" => - dest <= 49; - src <= 49; - when "00011110" => - dest <= 49; - src <= 74; - when "00011111" => - dest <= 76; - src <= 49; - when "00100000" => - dest <= 51; - src <= 49; - when "00100001" => - dest <= 5; - src <= 42; - when "00100010" => - dest <= 92; - src <= 85; - when "00100011" => - dest <= 74; - src <= 92; - when "00100100" => - dest <= 49; - src <= 2; - when "00100101" => - dest <= 50; - src <= 37; - when "00100110" => - dest <= 49; - src <= 49; - when "00100111" => - dest <= 65; - src <= 12; - when "00101000" => - dest <= 49; - src <= 49; - when "00101001" => - dest <= 49; - src <= 38; - when "00101010" => - dest <= 24; - src <= 49; - when "00101011" => - dest <= 80; - src <= 83; - when "00101100" => - dest <= 25; - src <= 96; - when "00101101" => - dest <= 48; - src <= 14; - when "00101110" => - dest <= 13; - src <= 49; - when "00101111" => - dest <= 49; - src <= 23; - when "00110000" => - dest <= 49; - src <= 40; - when "00110001" => - dest <= 2; - src <= 49; - when "00110010" => - dest <= 6; - src <= 8; - when "00110011" => - dest <= 49; - src <= 64; - when "00110100" => - dest <= 87; - src <= 63; - when "00110101" => - dest <= 47; - src <= 49; - when "00110110" => - dest <= 49; - src <= 49; - when "00110111" => - dest <= 49; - src <= 75; - when "00111000" => - dest <= 82; - src <= 49; - when "00111001" => - dest <= 15; - src <= 41; - when "00111010" => - dest <= 58; - src <= 86; - when "00111011" => - dest <= 91; - src <= 82; - when "00111100" => - dest <= 49; - src <= 1; - when "00111101" => - dest <= 73; - src <= 49; - when "00111110" => - dest <= 49; - src <= 30; - when "00111111" => - dest <= 64; - src <= 49; - when "01000000" => - dest <= 49; - src <= 49; - when "01000001" => - dest <= 45; - src <= 6; - when "01000010" => - dest <= 97; - src <= 73; - when "01000011" => - dest <= 49; - src <= 49; - when "01000100" => - dest <= 57; - src <= 97; - when "01000101" => - dest <= 49; - src <= 49; - when "01000110" => - dest <= 81; - src <= 15; - when "01000111" => - dest <= 34; - src <= 43; - when "01001000" => - dest <= 16; - src <= 24; - when "01001001" => - dest <= 49; - src <= 31; - when "01001010" => - dest <= 49; - src <= 49; - when "01001011" => - dest <= 49; - src <= 91; - when "01001100" => - dest <= 23; - src <= 49; - when "01001101" => - dest <= 90; - src <= 49; - when "01001110" => - dest <= 49; - src <= 7; - when "01001111" => - dest <= 66; - src <= 54; - when "01010000" => - dest <= 49; - src <= 72; - when "01010001" => - dest <= 77; - src <= 49; - when "01010010" => - dest <= 72; - src <= 49; - when "01010011" => - dest <= 12; - src <= 49; - when "01010100" => - dest <= 49; - src <= 65; - when "01010101" => - dest <= 49; - src <= 98; - when "01010110" => - dest <= 1; - src <= 22; - when "01010111" => - dest <= 49; - src <= 49; - when "01011000" => - dest <= 88; - src <= 11; - when "01011001" => - dest <= 40; - src <= 49; - when "01011010" => - dest <= 98; - src <= 16; - when "01011011" => - dest <= 49; - src <= 76; - when "01011100" => - dest <= 63; - src <= 48; - when "01011101" => - dest <= 78; - src <= 49; - when "01011110" => - dest <= 49; - src <= 87; - when "01011111" => - dest <= 7; - src <= 0; - when "01100000" => - dest <= 54; - src <= 49; - when "01100001" => - dest <= 49; - src <= 49; - when "01100010" => - dest <= 49; - src <= 90; - when "01100011" => - dest <= 67; - src <= 10; - when "01100100" => - dest <= 33; - src <= 25; - when "01100101" => - dest <= 26; - src <= 49; - when "01100110" => - dest <= 49; - src <= 49; - when "01100111" => - dest <= 22; - src <= 81; - when "01101000" => - dest <= 68; - src <= 34; - when "01101001" => - dest <= 11; - src <= 21; - when "01101010" => - dest <= 49; - src <= 49; - when "01101011" => - dest <= 56; - src <= 55; - when "01101100" => - dest <= 0; - src <= 62; - when "01101101" => - dest <= 49; - src <= 20; - when "01101110" => - dest <= 71; - src <= 66; - when "01101111" => - dest <= 49; - src <= 49; - when "01110000" => - dest <= 8; - src <= 77; - when "01110001" => - dest <= 62; - src <= 32; - when "01110010" => - dest <= 49; - src <= 49; - when "01110011" => - dest <= 49; - src <= 88; - when "01110100" => - dest <= 17; - src <= 49; - when "01110101" => - dest <= 53; - src <= 17; - when "01110110" => - dest <= 49; - src <= 49; - when "01110111" => - dest <= 99; - src <= 80; - when "01111000" => - dest <= 49; - src <= 26; - when "01111001" => - dest <= 44; - src <= 49; - when "01111010" => - dest <= 49; - src <= 49; - when "01111011" => - dest <= 49; - src <= 71; - when "01111100" => - dest <= 36; - src <= 35; - when "01111101" => - dest <= 32; - src <= 49; - when "01111110" => - dest <= 49; - src <= 99; - when "01111111" => - dest <= 21; - src <= 49; - when "10000000" => - dest <= 49; - src <= 44; - when "10000001" => - dest <= 10; - src <= 49; - when "10000010" => - dest <= 49; - src <= 52; - when "10000011" => - dest <= 70; - src <= 56; - when "10000100" => - dest <= 18; - src <= 49; - when "10000101" => - dest <= 61; - src <= 67; - when "10000110" => - dest <= 49; - src <= 49; - when "10000111" => - dest <= 27; - src <= 78; - when "10001000" => - dest <= 52; - src <= 49; - when "10001001" => - dest <= 9; - src <= 18; - when "10001010" => - dest <= 49; - src <= 70; - when "10001011" => - dest <= 43; - src <= 27; - when "10001100" => - dest <= 49; - src <= 49; - when "10001101" => - dest <= 49; - src <= 61; - when "10001110" => - dest <= 31; - src <= 36; - when "10001111" => - dest <= 20; - src <= 89; - when "10010000" => - dest <= 49; - src <= 49; - when "10010001" => - dest <= 28; - src <= 45; - when "10010010" => - dest <= 60; - src <= 49; - when "10010011" => - dest <= 19; - src <= 57; - when "10010100" => - dest <= 42; - src <= 68; - when "10010101" => - dest <= 49; - src <= 49; - when "10010110" => - dest <= 30; - src <= 60; - when "10010111" => - dest <= 29; - src <= 28; - when "10011000" => - dest <= 41; - src <= 79; - when "10011001" => - dest <= 49; - src <= 46; - when "10011010" => - dest <= 49; - src <= 58; - when "10011011" => - dest <= 94; - src <= 69; - when "10011100" => - dest <= 83; - src <= 47; - when others => - dest <= 49; - src <= 49; - - end case; - end process; - - end generate NI_NUM49; - - NI_NUM50 : if NI_NUM = 50 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 66; - src <= 50; - when "00000001" => - dest <= 70; - src <= 50; - when "00000010" => - dest <= 50; - src <= 50; - when "00000011" => - dest <= 40; - src <= 50; - when "00000100" => - dest <= 15; - src <= 50; - when "00000101" => - dest <= 6; - src <= 30; - when "00000110" => - dest <= 50; - src <= 60; - when "00000111" => - dest <= 96; - src <= 44; - when "00001000" => - dest <= 48; - src <= 50; - when "00001001" => - dest <= 50; - src <= 16; - when "00001010" => - dest <= 50; - src <= 5; - when "00001011" => - dest <= 60; - src <= 50; - when "00001100" => - dest <= 86; - src <= 50; - when "00001101" => - dest <= 95; - src <= 62; - when "00001110" => - dest <= 4; - src <= 40; - when "00001111" => - dest <= 80; - src <= 95; - when "00010000" => - dest <= 50; - src <= 4; - when "00010001" => - dest <= 50; - src <= 14; - when "00010010" => - dest <= 50; - src <= 50; - when "00010011" => - dest <= 46; - src <= 50; - when "00010100" => - dest <= 25; - src <= 20; - when "00010101" => - dest <= 50; - src <= 24; - when "00010110" => - dest <= 90; - src <= 50; - when "00010111" => - dest <= 49; - src <= 50; - when "00011000" => - dest <= 14; - src <= 15; - when "00011001" => - dest <= 7; - src <= 6; - when "00011010" => - dest <= 50; - src <= 64; - when "00011011" => - dest <= 97; - src <= 61; - when "00011100" => - dest <= 57; - src <= 10; - when "00011101" => - dest <= 50; - src <= 50; - when "00011110" => - dest <= 50; - src <= 85; - when "00011111" => - dest <= 87; - src <= 50; - when "00100000" => - dest <= 62; - src <= 50; - when "00100001" => - dest <= 16; - src <= 53; - when "00100010" => - dest <= 3; - src <= 96; - when "00100011" => - dest <= 85; - src <= 3; - when "00100100" => - dest <= 50; - src <= 13; - when "00100101" => - dest <= 61; - src <= 48; - when "00100110" => - dest <= 50; - src <= 50; - when "00100111" => - dest <= 76; - src <= 23; - when "00101000" => - dest <= 50; - src <= 50; - when "00101001" => - dest <= 50; - src <= 49; - when "00101010" => - dest <= 35; - src <= 50; - when "00101011" => - dest <= 91; - src <= 94; - when "00101100" => - dest <= 36; - src <= 7; - when "00101101" => - dest <= 59; - src <= 25; - when "00101110" => - dest <= 24; - src <= 50; - when "00101111" => - dest <= 50; - src <= 34; - when "00110000" => - dest <= 50; - src <= 51; - when "00110001" => - dest <= 13; - src <= 50; - when "00110010" => - dest <= 17; - src <= 19; - when "00110011" => - dest <= 50; - src <= 75; - when "00110100" => - dest <= 98; - src <= 74; - when "00110101" => - dest <= 58; - src <= 50; - when "00110110" => - dest <= 50; - src <= 50; - when "00110111" => - dest <= 50; - src <= 86; - when "00111000" => - dest <= 93; - src <= 50; - when "00111001" => - dest <= 26; - src <= 52; - when "00111010" => - dest <= 69; - src <= 97; - when "00111011" => - dest <= 2; - src <= 93; - when "00111100" => - dest <= 50; - src <= 12; - when "00111101" => - dest <= 84; - src <= 50; - when "00111110" => - dest <= 50; - src <= 41; - when "00111111" => - dest <= 75; - src <= 50; - when "01000000" => - dest <= 50; - src <= 50; - when "01000001" => - dest <= 56; - src <= 17; - when "01000010" => - dest <= 8; - src <= 84; - when "01000011" => - dest <= 50; - src <= 50; - when "01000100" => - dest <= 68; - src <= 8; - when "01000101" => - dest <= 50; - src <= 50; - when "01000110" => - dest <= 92; - src <= 26; - when "01000111" => - dest <= 45; - src <= 54; - when "01001000" => - dest <= 27; - src <= 35; - when "01001001" => - dest <= 50; - src <= 42; - when "01001010" => - dest <= 50; - src <= 50; - when "01001011" => - dest <= 50; - src <= 2; - when "01001100" => - dest <= 34; - src <= 50; - when "01001101" => - dest <= 1; - src <= 50; - when "01001110" => - dest <= 50; - src <= 18; - when "01001111" => - dest <= 77; - src <= 65; - when "01010000" => - dest <= 50; - src <= 83; - when "01010001" => - dest <= 88; - src <= 50; - when "01010010" => - dest <= 83; - src <= 50; - when "01010011" => - dest <= 23; - src <= 50; - when "01010100" => - dest <= 50; - src <= 76; - when "01010101" => - dest <= 50; - src <= 9; - when "01010110" => - dest <= 12; - src <= 33; - when "01010111" => - dest <= 50; - src <= 50; - when "01011000" => - dest <= 99; - src <= 22; - when "01011001" => - dest <= 51; - src <= 50; - when "01011010" => - dest <= 9; - src <= 27; - when "01011011" => - dest <= 50; - src <= 87; - when "01011100" => - dest <= 74; - src <= 59; - when "01011101" => - dest <= 89; - src <= 50; - when "01011110" => - dest <= 50; - src <= 98; - when "01011111" => - dest <= 18; - src <= 11; - when "01100000" => - dest <= 65; - src <= 50; - when "01100001" => - dest <= 50; - src <= 50; - when "01100010" => - dest <= 50; - src <= 1; - when "01100011" => - dest <= 78; - src <= 21; - when "01100100" => - dest <= 44; - src <= 36; - when "01100101" => - dest <= 37; - src <= 50; - when "01100110" => - dest <= 50; - src <= 50; - when "01100111" => - dest <= 33; - src <= 92; - when "01101000" => - dest <= 79; - src <= 45; - when "01101001" => - dest <= 22; - src <= 32; - when "01101010" => - dest <= 50; - src <= 50; - when "01101011" => - dest <= 67; - src <= 66; - when "01101100" => - dest <= 11; - src <= 73; - when "01101101" => - dest <= 50; - src <= 31; - when "01101110" => - dest <= 82; - src <= 77; - when "01101111" => - dest <= 50; - src <= 50; - when "01110000" => - dest <= 19; - src <= 88; - when "01110001" => - dest <= 73; - src <= 43; - when "01110010" => - dest <= 50; - src <= 50; - when "01110011" => - dest <= 50; - src <= 99; - when "01110100" => - dest <= 28; - src <= 50; - when "01110101" => - dest <= 64; - src <= 28; - when "01110110" => - dest <= 50; - src <= 50; - when "01110111" => - dest <= 0; - src <= 91; - when "01111000" => - dest <= 50; - src <= 37; - when "01111001" => - dest <= 55; - src <= 50; - when "01111010" => - dest <= 50; - src <= 50; - when "01111011" => - dest <= 50; - src <= 82; - when "01111100" => - dest <= 47; - src <= 46; - when "01111101" => - dest <= 43; - src <= 50; - when "01111110" => - dest <= 50; - src <= 0; - when "01111111" => - dest <= 32; - src <= 50; - when "10000000" => - dest <= 50; - src <= 55; - when "10000001" => - dest <= 21; - src <= 50; - when "10000010" => - dest <= 50; - src <= 63; - when "10000011" => - dest <= 81; - src <= 67; - when "10000100" => - dest <= 29; - src <= 50; - when "10000101" => - dest <= 72; - src <= 78; - when "10000110" => - dest <= 50; - src <= 50; - when "10000111" => - dest <= 38; - src <= 89; - when "10001000" => - dest <= 63; - src <= 50; - when "10001001" => - dest <= 10; - src <= 29; - when "10001010" => - dest <= 50; - src <= 81; - when "10001011" => - dest <= 54; - src <= 38; - when "10001100" => - dest <= 50; - src <= 50; - when "10001101" => - dest <= 50; - src <= 72; - when "10001110" => - dest <= 42; - src <= 47; - when "10001111" => - dest <= 31; - src <= 90; - when "10010000" => - dest <= 50; - src <= 50; - when "10010001" => - dest <= 39; - src <= 56; - when "10010010" => - dest <= 71; - src <= 50; - when "10010011" => - dest <= 20; - src <= 68; - when "10010100" => - dest <= 53; - src <= 79; - when "10010101" => - dest <= 50; - src <= 50; - when "10010110" => - dest <= 41; - src <= 71; - when "10010111" => - dest <= 30; - src <= 39; - when "10011000" => - dest <= 52; - src <= 80; - when "10011001" => - dest <= 50; - src <= 57; - when "10011010" => - dest <= 50; - src <= 69; - when "10011011" => - dest <= 5; - src <= 70; - when "10011100" => - dest <= 94; - src <= 58; - when others => - dest <= 50; - src <= 50; - - end case; - end process; - - end generate NI_NUM50; - - NI_NUM51 : if NI_NUM = 51 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 67; - src <= 51; - when "00000001" => - dest <= 71; - src <= 51; - when "00000010" => - dest <= 51; - src <= 51; - when "00000011" => - dest <= 41; - src <= 51; - when "00000100" => - dest <= 16; - src <= 51; - when "00000101" => - dest <= 7; - src <= 31; - when "00000110" => - dest <= 51; - src <= 61; - when "00000111" => - dest <= 97; - src <= 45; - when "00001000" => - dest <= 49; - src <= 51; - when "00001001" => - dest <= 51; - src <= 17; - when "00001010" => - dest <= 51; - src <= 6; - when "00001011" => - dest <= 61; - src <= 51; - when "00001100" => - dest <= 87; - src <= 51; - when "00001101" => - dest <= 96; - src <= 63; - when "00001110" => - dest <= 5; - src <= 41; - when "00001111" => - dest <= 81; - src <= 96; - when "00010000" => - dest <= 51; - src <= 5; - when "00010001" => - dest <= 51; - src <= 15; - when "00010010" => - dest <= 51; - src <= 51; - when "00010011" => - dest <= 47; - src <= 51; - when "00010100" => - dest <= 26; - src <= 21; - when "00010101" => - dest <= 51; - src <= 25; - when "00010110" => - dest <= 91; - src <= 51; - when "00010111" => - dest <= 40; - src <= 51; - when "00011000" => - dest <= 15; - src <= 16; - when "00011001" => - dest <= 8; - src <= 7; - when "00011010" => - dest <= 51; - src <= 65; - when "00011011" => - dest <= 98; - src <= 62; - when "00011100" => - dest <= 58; - src <= 11; - when "00011101" => - dest <= 51; - src <= 51; - when "00011110" => - dest <= 51; - src <= 86; - when "00011111" => - dest <= 88; - src <= 51; - when "00100000" => - dest <= 63; - src <= 51; - when "00100001" => - dest <= 17; - src <= 54; - when "00100010" => - dest <= 4; - src <= 97; - when "00100011" => - dest <= 86; - src <= 4; - when "00100100" => - dest <= 51; - src <= 14; - when "00100101" => - dest <= 62; - src <= 49; - when "00100110" => - dest <= 51; - src <= 51; - when "00100111" => - dest <= 77; - src <= 24; - when "00101000" => - dest <= 51; - src <= 51; - when "00101001" => - dest <= 51; - src <= 40; - when "00101010" => - dest <= 36; - src <= 51; - when "00101011" => - dest <= 92; - src <= 95; - when "00101100" => - dest <= 37; - src <= 8; - when "00101101" => - dest <= 50; - src <= 26; - when "00101110" => - dest <= 25; - src <= 51; - when "00101111" => - dest <= 51; - src <= 35; - when "00110000" => - dest <= 51; - src <= 52; - when "00110001" => - dest <= 14; - src <= 51; - when "00110010" => - dest <= 18; - src <= 10; - when "00110011" => - dest <= 51; - src <= 76; - when "00110100" => - dest <= 99; - src <= 75; - when "00110101" => - dest <= 59; - src <= 51; - when "00110110" => - dest <= 51; - src <= 51; - when "00110111" => - dest <= 51; - src <= 87; - when "00111000" => - dest <= 94; - src <= 51; - when "00111001" => - dest <= 27; - src <= 53; - when "00111010" => - dest <= 60; - src <= 98; - when "00111011" => - dest <= 3; - src <= 94; - when "00111100" => - dest <= 51; - src <= 13; - when "00111101" => - dest <= 85; - src <= 51; - when "00111110" => - dest <= 51; - src <= 42; - when "00111111" => - dest <= 76; - src <= 51; - when "01000000" => - dest <= 51; - src <= 51; - when "01000001" => - dest <= 57; - src <= 18; - when "01000010" => - dest <= 9; - src <= 85; - when "01000011" => - dest <= 51; - src <= 51; - when "01000100" => - dest <= 69; - src <= 9; - when "01000101" => - dest <= 51; - src <= 51; - when "01000110" => - dest <= 93; - src <= 27; - when "01000111" => - dest <= 46; - src <= 55; - when "01001000" => - dest <= 28; - src <= 36; - when "01001001" => - dest <= 51; - src <= 43; - when "01001010" => - dest <= 51; - src <= 51; - when "01001011" => - dest <= 51; - src <= 3; - when "01001100" => - dest <= 35; - src <= 51; - when "01001101" => - dest <= 2; - src <= 51; - when "01001110" => - dest <= 51; - src <= 19; - when "01001111" => - dest <= 78; - src <= 66; - when "01010000" => - dest <= 51; - src <= 84; - when "01010001" => - dest <= 89; - src <= 51; - when "01010010" => - dest <= 84; - src <= 51; - when "01010011" => - dest <= 24; - src <= 51; - when "01010100" => - dest <= 51; - src <= 77; - when "01010101" => - dest <= 51; - src <= 0; - when "01010110" => - dest <= 13; - src <= 34; - when "01010111" => - dest <= 51; - src <= 51; - when "01011000" => - dest <= 90; - src <= 23; - when "01011001" => - dest <= 52; - src <= 51; - when "01011010" => - dest <= 0; - src <= 28; - when "01011011" => - dest <= 51; - src <= 88; - when "01011100" => - dest <= 75; - src <= 50; - when "01011101" => - dest <= 80; - src <= 51; - when "01011110" => - dest <= 51; - src <= 99; - when "01011111" => - dest <= 19; - src <= 12; - when "01100000" => - dest <= 66; - src <= 51; - when "01100001" => - dest <= 51; - src <= 51; - when "01100010" => - dest <= 51; - src <= 2; - when "01100011" => - dest <= 79; - src <= 22; - when "01100100" => - dest <= 45; - src <= 37; - when "01100101" => - dest <= 38; - src <= 51; - when "01100110" => - dest <= 51; - src <= 51; - when "01100111" => - dest <= 34; - src <= 93; - when "01101000" => - dest <= 70; - src <= 46; - when "01101001" => - dest <= 23; - src <= 33; - when "01101010" => - dest <= 51; - src <= 51; - when "01101011" => - dest <= 68; - src <= 67; - when "01101100" => - dest <= 12; - src <= 74; - when "01101101" => - dest <= 51; - src <= 32; - when "01101110" => - dest <= 83; - src <= 78; - when "01101111" => - dest <= 51; - src <= 51; - when "01110000" => - dest <= 10; - src <= 89; - when "01110001" => - dest <= 74; - src <= 44; - when "01110010" => - dest <= 51; - src <= 51; - when "01110011" => - dest <= 51; - src <= 90; - when "01110100" => - dest <= 29; - src <= 51; - when "01110101" => - dest <= 65; - src <= 29; - when "01110110" => - dest <= 51; - src <= 51; - when "01110111" => - dest <= 1; - src <= 92; - when "01111000" => - dest <= 51; - src <= 38; - when "01111001" => - dest <= 56; - src <= 51; - when "01111010" => - dest <= 51; - src <= 51; - when "01111011" => - dest <= 51; - src <= 83; - when "01111100" => - dest <= 48; - src <= 47; - when "01111101" => - dest <= 44; - src <= 51; - when "01111110" => - dest <= 51; - src <= 1; - when "01111111" => - dest <= 33; - src <= 51; - when "10000000" => - dest <= 51; - src <= 56; - when "10000001" => - dest <= 22; - src <= 51; - when "10000010" => - dest <= 51; - src <= 64; - when "10000011" => - dest <= 82; - src <= 68; - when "10000100" => - dest <= 20; - src <= 51; - when "10000101" => - dest <= 73; - src <= 79; - when "10000110" => - dest <= 51; - src <= 51; - when "10000111" => - dest <= 39; - src <= 80; - when "10001000" => - dest <= 64; - src <= 51; - when "10001001" => - dest <= 11; - src <= 20; - when "10001010" => - dest <= 51; - src <= 82; - when "10001011" => - dest <= 55; - src <= 39; - when "10001100" => - dest <= 51; - src <= 51; - when "10001101" => - dest <= 51; - src <= 73; - when "10001110" => - dest <= 43; - src <= 48; - when "10001111" => - dest <= 32; - src <= 91; - when "10010000" => - dest <= 51; - src <= 51; - when "10010001" => - dest <= 30; - src <= 57; - when "10010010" => - dest <= 72; - src <= 51; - when "10010011" => - dest <= 21; - src <= 69; - when "10010100" => - dest <= 54; - src <= 70; - when "10010101" => - dest <= 51; - src <= 51; - when "10010110" => - dest <= 42; - src <= 72; - when "10010111" => - dest <= 31; - src <= 30; - when "10011000" => - dest <= 53; - src <= 81; - when "10011001" => - dest <= 51; - src <= 58; - when "10011010" => - dest <= 51; - src <= 60; - when "10011011" => - dest <= 6; - src <= 71; - when "10011100" => - dest <= 95; - src <= 59; - when others => - dest <= 51; - src <= 51; - - end case; - end process; - - end generate NI_NUM51; - - NI_NUM52 : if NI_NUM = 52 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 68; - src <= 52; - when "00000001" => - dest <= 72; - src <= 52; - when "00000010" => - dest <= 52; - src <= 52; - when "00000011" => - dest <= 42; - src <= 52; - when "00000100" => - dest <= 17; - src <= 52; - when "00000101" => - dest <= 8; - src <= 32; - when "00000110" => - dest <= 52; - src <= 62; - when "00000111" => - dest <= 98; - src <= 46; - when "00001000" => - dest <= 40; - src <= 52; - when "00001001" => - dest <= 52; - src <= 18; - when "00001010" => - dest <= 52; - src <= 7; - when "00001011" => - dest <= 62; - src <= 52; - when "00001100" => - dest <= 88; - src <= 52; - when "00001101" => - dest <= 97; - src <= 64; - when "00001110" => - dest <= 6; - src <= 42; - when "00001111" => - dest <= 82; - src <= 97; - when "00010000" => - dest <= 52; - src <= 6; - when "00010001" => - dest <= 52; - src <= 16; - when "00010010" => - dest <= 52; - src <= 52; - when "00010011" => - dest <= 48; - src <= 52; - when "00010100" => - dest <= 27; - src <= 22; - when "00010101" => - dest <= 52; - src <= 26; - when "00010110" => - dest <= 92; - src <= 52; - when "00010111" => - dest <= 41; - src <= 52; - when "00011000" => - dest <= 16; - src <= 17; - when "00011001" => - dest <= 9; - src <= 8; - when "00011010" => - dest <= 52; - src <= 66; - when "00011011" => - dest <= 99; - src <= 63; - when "00011100" => - dest <= 59; - src <= 12; - when "00011101" => - dest <= 52; - src <= 52; - when "00011110" => - dest <= 52; - src <= 87; - when "00011111" => - dest <= 89; - src <= 52; - when "00100000" => - dest <= 64; - src <= 52; - when "00100001" => - dest <= 18; - src <= 55; - when "00100010" => - dest <= 5; - src <= 98; - when "00100011" => - dest <= 87; - src <= 5; - when "00100100" => - dest <= 52; - src <= 15; - when "00100101" => - dest <= 63; - src <= 40; - when "00100110" => - dest <= 52; - src <= 52; - when "00100111" => - dest <= 78; - src <= 25; - when "00101000" => - dest <= 52; - src <= 52; - when "00101001" => - dest <= 52; - src <= 41; - when "00101010" => - dest <= 37; - src <= 52; - when "00101011" => - dest <= 93; - src <= 96; - when "00101100" => - dest <= 38; - src <= 9; - when "00101101" => - dest <= 51; - src <= 27; - when "00101110" => - dest <= 26; - src <= 52; - when "00101111" => - dest <= 52; - src <= 36; - when "00110000" => - dest <= 52; - src <= 53; - when "00110001" => - dest <= 15; - src <= 52; - when "00110010" => - dest <= 19; - src <= 11; - when "00110011" => - dest <= 52; - src <= 77; - when "00110100" => - dest <= 90; - src <= 76; - when "00110101" => - dest <= 50; - src <= 52; - when "00110110" => - dest <= 52; - src <= 52; - when "00110111" => - dest <= 52; - src <= 88; - when "00111000" => - dest <= 95; - src <= 52; - when "00111001" => - dest <= 28; - src <= 54; - when "00111010" => - dest <= 61; - src <= 99; - when "00111011" => - dest <= 4; - src <= 95; - when "00111100" => - dest <= 52; - src <= 14; - when "00111101" => - dest <= 86; - src <= 52; - when "00111110" => - dest <= 52; - src <= 43; - when "00111111" => - dest <= 77; - src <= 52; - when "01000000" => - dest <= 52; - src <= 52; - when "01000001" => - dest <= 58; - src <= 19; - when "01000010" => - dest <= 0; - src <= 86; - when "01000011" => - dest <= 52; - src <= 52; - when "01000100" => - dest <= 60; - src <= 0; - when "01000101" => - dest <= 52; - src <= 52; - when "01000110" => - dest <= 94; - src <= 28; - when "01000111" => - dest <= 47; - src <= 56; - when "01001000" => - dest <= 29; - src <= 37; - when "01001001" => - dest <= 52; - src <= 44; - when "01001010" => - dest <= 52; - src <= 52; - when "01001011" => - dest <= 52; - src <= 4; - when "01001100" => - dest <= 36; - src <= 52; - when "01001101" => - dest <= 3; - src <= 52; - when "01001110" => - dest <= 52; - src <= 10; - when "01001111" => - dest <= 79; - src <= 67; - when "01010000" => - dest <= 52; - src <= 85; - when "01010001" => - dest <= 80; - src <= 52; - when "01010010" => - dest <= 85; - src <= 52; - when "01010011" => - dest <= 25; - src <= 52; - when "01010100" => - dest <= 52; - src <= 78; - when "01010101" => - dest <= 52; - src <= 1; - when "01010110" => - dest <= 14; - src <= 35; - when "01010111" => - dest <= 52; - src <= 52; - when "01011000" => - dest <= 91; - src <= 24; - when "01011001" => - dest <= 53; - src <= 52; - when "01011010" => - dest <= 1; - src <= 29; - when "01011011" => - dest <= 52; - src <= 89; - when "01011100" => - dest <= 76; - src <= 51; - when "01011101" => - dest <= 81; - src <= 52; - when "01011110" => - dest <= 52; - src <= 90; - when "01011111" => - dest <= 10; - src <= 13; - when "01100000" => - dest <= 67; - src <= 52; - when "01100001" => - dest <= 52; - src <= 52; - when "01100010" => - dest <= 52; - src <= 3; - when "01100011" => - dest <= 70; - src <= 23; - when "01100100" => - dest <= 46; - src <= 38; - when "01100101" => - dest <= 39; - src <= 52; - when "01100110" => - dest <= 52; - src <= 52; - when "01100111" => - dest <= 35; - src <= 94; - when "01101000" => - dest <= 71; - src <= 47; - when "01101001" => - dest <= 24; - src <= 34; - when "01101010" => - dest <= 52; - src <= 52; - when "01101011" => - dest <= 69; - src <= 68; - when "01101100" => - dest <= 13; - src <= 75; - when "01101101" => - dest <= 52; - src <= 33; - when "01101110" => - dest <= 84; - src <= 79; - when "01101111" => - dest <= 52; - src <= 52; - when "01110000" => - dest <= 11; - src <= 80; - when "01110001" => - dest <= 75; - src <= 45; - when "01110010" => - dest <= 52; - src <= 52; - when "01110011" => - dest <= 52; - src <= 91; - when "01110100" => - dest <= 20; - src <= 52; - when "01110101" => - dest <= 66; - src <= 20; - when "01110110" => - dest <= 52; - src <= 52; - when "01110111" => - dest <= 2; - src <= 93; - when "01111000" => - dest <= 52; - src <= 39; - when "01111001" => - dest <= 57; - src <= 52; - when "01111010" => - dest <= 52; - src <= 52; - when "01111011" => - dest <= 52; - src <= 84; - when "01111100" => - dest <= 49; - src <= 48; - when "01111101" => - dest <= 45; - src <= 52; - when "01111110" => - dest <= 52; - src <= 2; - when "01111111" => - dest <= 34; - src <= 52; - when "10000000" => - dest <= 52; - src <= 57; - when "10000001" => - dest <= 23; - src <= 52; - when "10000010" => - dest <= 52; - src <= 65; - when "10000011" => - dest <= 83; - src <= 69; - when "10000100" => - dest <= 21; - src <= 52; - when "10000101" => - dest <= 74; - src <= 70; - when "10000110" => - dest <= 52; - src <= 52; - when "10000111" => - dest <= 30; - src <= 81; - when "10001000" => - dest <= 65; - src <= 52; - when "10001001" => - dest <= 12; - src <= 21; - when "10001010" => - dest <= 52; - src <= 83; - when "10001011" => - dest <= 56; - src <= 30; - when "10001100" => - dest <= 52; - src <= 52; - when "10001101" => - dest <= 52; - src <= 74; - when "10001110" => - dest <= 44; - src <= 49; - when "10001111" => - dest <= 33; - src <= 92; - when "10010000" => - dest <= 52; - src <= 52; - when "10010001" => - dest <= 31; - src <= 58; - when "10010010" => - dest <= 73; - src <= 52; - when "10010011" => - dest <= 22; - src <= 60; - when "10010100" => - dest <= 55; - src <= 71; - when "10010101" => - dest <= 52; - src <= 52; - when "10010110" => - dest <= 43; - src <= 73; - when "10010111" => - dest <= 32; - src <= 31; - when "10011000" => - dest <= 54; - src <= 82; - when "10011001" => - dest <= 52; - src <= 59; - when "10011010" => - dest <= 52; - src <= 61; - when "10011011" => - dest <= 7; - src <= 72; - when "10011100" => - dest <= 96; - src <= 50; - when others => - dest <= 52; - src <= 52; - - end case; - end process; - - end generate NI_NUM52; - - NI_NUM53 : if NI_NUM = 53 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 69; - src <= 53; - when "00000001" => - dest <= 73; - src <= 53; - when "00000010" => - dest <= 53; - src <= 53; - when "00000011" => - dest <= 43; - src <= 53; - when "00000100" => - dest <= 18; - src <= 53; - when "00000101" => - dest <= 9; - src <= 33; - when "00000110" => - dest <= 53; - src <= 63; - when "00000111" => - dest <= 99; - src <= 47; - when "00001000" => - dest <= 41; - src <= 53; - when "00001001" => - dest <= 53; - src <= 19; - when "00001010" => - dest <= 53; - src <= 8; - when "00001011" => - dest <= 63; - src <= 53; - when "00001100" => - dest <= 89; - src <= 53; - when "00001101" => - dest <= 98; - src <= 65; - when "00001110" => - dest <= 7; - src <= 43; - when "00001111" => - dest <= 83; - src <= 98; - when "00010000" => - dest <= 53; - src <= 7; - when "00010001" => - dest <= 53; - src <= 17; - when "00010010" => - dest <= 53; - src <= 53; - when "00010011" => - dest <= 49; - src <= 53; - when "00010100" => - dest <= 28; - src <= 23; - when "00010101" => - dest <= 53; - src <= 27; - when "00010110" => - dest <= 93; - src <= 53; - when "00010111" => - dest <= 42; - src <= 53; - when "00011000" => - dest <= 17; - src <= 18; - when "00011001" => - dest <= 0; - src <= 9; - when "00011010" => - dest <= 53; - src <= 67; - when "00011011" => - dest <= 90; - src <= 64; - when "00011100" => - dest <= 50; - src <= 13; - when "00011101" => - dest <= 53; - src <= 53; - when "00011110" => - dest <= 53; - src <= 88; - when "00011111" => - dest <= 80; - src <= 53; - when "00100000" => - dest <= 65; - src <= 53; - when "00100001" => - dest <= 19; - src <= 56; - when "00100010" => - dest <= 6; - src <= 99; - when "00100011" => - dest <= 88; - src <= 6; - when "00100100" => - dest <= 53; - src <= 16; - when "00100101" => - dest <= 64; - src <= 41; - when "00100110" => - dest <= 53; - src <= 53; - when "00100111" => - dest <= 79; - src <= 26; - when "00101000" => - dest <= 53; - src <= 53; - when "00101001" => - dest <= 53; - src <= 42; - when "00101010" => - dest <= 38; - src <= 53; - when "00101011" => - dest <= 94; - src <= 97; - when "00101100" => - dest <= 39; - src <= 0; - when "00101101" => - dest <= 52; - src <= 28; - when "00101110" => - dest <= 27; - src <= 53; - when "00101111" => - dest <= 53; - src <= 37; - when "00110000" => - dest <= 53; - src <= 54; - when "00110001" => - dest <= 16; - src <= 53; - when "00110010" => - dest <= 10; - src <= 12; - when "00110011" => - dest <= 53; - src <= 78; - when "00110100" => - dest <= 91; - src <= 77; - when "00110101" => - dest <= 51; - src <= 53; - when "00110110" => - dest <= 53; - src <= 53; - when "00110111" => - dest <= 53; - src <= 89; - when "00111000" => - dest <= 96; - src <= 53; - when "00111001" => - dest <= 29; - src <= 55; - when "00111010" => - dest <= 62; - src <= 90; - when "00111011" => - dest <= 5; - src <= 96; - when "00111100" => - dest <= 53; - src <= 15; - when "00111101" => - dest <= 87; - src <= 53; - when "00111110" => - dest <= 53; - src <= 44; - when "00111111" => - dest <= 78; - src <= 53; - when "01000000" => - dest <= 53; - src <= 53; - when "01000001" => - dest <= 59; - src <= 10; - when "01000010" => - dest <= 1; - src <= 87; - when "01000011" => - dest <= 53; - src <= 53; - when "01000100" => - dest <= 61; - src <= 1; - when "01000101" => - dest <= 53; - src <= 53; - when "01000110" => - dest <= 95; - src <= 29; - when "01000111" => - dest <= 48; - src <= 57; - when "01001000" => - dest <= 20; - src <= 38; - when "01001001" => - dest <= 53; - src <= 45; - when "01001010" => - dest <= 53; - src <= 53; - when "01001011" => - dest <= 53; - src <= 5; - when "01001100" => - dest <= 37; - src <= 53; - when "01001101" => - dest <= 4; - src <= 53; - when "01001110" => - dest <= 53; - src <= 11; - when "01001111" => - dest <= 70; - src <= 68; - when "01010000" => - dest <= 53; - src <= 86; - when "01010001" => - dest <= 81; - src <= 53; - when "01010010" => - dest <= 86; - src <= 53; - when "01010011" => - dest <= 26; - src <= 53; - when "01010100" => - dest <= 53; - src <= 79; - when "01010101" => - dest <= 53; - src <= 2; - when "01010110" => - dest <= 15; - src <= 36; - when "01010111" => - dest <= 53; - src <= 53; - when "01011000" => - dest <= 92; - src <= 25; - when "01011001" => - dest <= 54; - src <= 53; - when "01011010" => - dest <= 2; - src <= 20; - when "01011011" => - dest <= 53; - src <= 80; - when "01011100" => - dest <= 77; - src <= 52; - when "01011101" => - dest <= 82; - src <= 53; - when "01011110" => - dest <= 53; - src <= 91; - when "01011111" => - dest <= 11; - src <= 14; - when "01100000" => - dest <= 68; - src <= 53; - when "01100001" => - dest <= 53; - src <= 53; - when "01100010" => - dest <= 53; - src <= 4; - when "01100011" => - dest <= 71; - src <= 24; - when "01100100" => - dest <= 47; - src <= 39; - when "01100101" => - dest <= 30; - src <= 53; - when "01100110" => - dest <= 53; - src <= 53; - when "01100111" => - dest <= 36; - src <= 95; - when "01101000" => - dest <= 72; - src <= 48; - when "01101001" => - dest <= 25; - src <= 35; - when "01101010" => - dest <= 53; - src <= 53; - when "01101011" => - dest <= 60; - src <= 69; - when "01101100" => - dest <= 14; - src <= 76; - when "01101101" => - dest <= 53; - src <= 34; - when "01101110" => - dest <= 85; - src <= 70; - when "01101111" => - dest <= 53; - src <= 53; - when "01110000" => - dest <= 12; - src <= 81; - when "01110001" => - dest <= 76; - src <= 46; - when "01110010" => - dest <= 53; - src <= 53; - when "01110011" => - dest <= 53; - src <= 92; - when "01110100" => - dest <= 21; - src <= 53; - when "01110101" => - dest <= 67; - src <= 21; - when "01110110" => - dest <= 53; - src <= 53; - when "01110111" => - dest <= 3; - src <= 94; - when "01111000" => - dest <= 53; - src <= 30; - when "01111001" => - dest <= 58; - src <= 53; - when "01111010" => - dest <= 53; - src <= 53; - when "01111011" => - dest <= 53; - src <= 85; - when "01111100" => - dest <= 40; - src <= 49; - when "01111101" => - dest <= 46; - src <= 53; - when "01111110" => - dest <= 53; - src <= 3; - when "01111111" => - dest <= 35; - src <= 53; - when "10000000" => - dest <= 53; - src <= 58; - when "10000001" => - dest <= 24; - src <= 53; - when "10000010" => - dest <= 53; - src <= 66; - when "10000011" => - dest <= 84; - src <= 60; - when "10000100" => - dest <= 22; - src <= 53; - when "10000101" => - dest <= 75; - src <= 71; - when "10000110" => - dest <= 53; - src <= 53; - when "10000111" => - dest <= 31; - src <= 82; - when "10001000" => - dest <= 66; - src <= 53; - when "10001001" => - dest <= 13; - src <= 22; - when "10001010" => - dest <= 53; - src <= 84; - when "10001011" => - dest <= 57; - src <= 31; - when "10001100" => - dest <= 53; - src <= 53; - when "10001101" => - dest <= 53; - src <= 75; - when "10001110" => - dest <= 45; - src <= 40; - when "10001111" => - dest <= 34; - src <= 93; - when "10010000" => - dest <= 53; - src <= 53; - when "10010001" => - dest <= 32; - src <= 59; - when "10010010" => - dest <= 74; - src <= 53; - when "10010011" => - dest <= 23; - src <= 61; - when "10010100" => - dest <= 56; - src <= 72; - when "10010101" => - dest <= 53; - src <= 53; - when "10010110" => - dest <= 44; - src <= 74; - when "10010111" => - dest <= 33; - src <= 32; - when "10011000" => - dest <= 55; - src <= 83; - when "10011001" => - dest <= 53; - src <= 50; - when "10011010" => - dest <= 53; - src <= 62; - when "10011011" => - dest <= 8; - src <= 73; - when "10011100" => - dest <= 97; - src <= 51; - when others => - dest <= 53; - src <= 53; - - end case; - end process; - - end generate NI_NUM53; - - NI_NUM54 : if NI_NUM = 54 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 60; - src <= 54; - when "00000001" => - dest <= 74; - src <= 54; - when "00000010" => - dest <= 54; - src <= 54; - when "00000011" => - dest <= 44; - src <= 54; - when "00000100" => - dest <= 19; - src <= 54; - when "00000101" => - dest <= 0; - src <= 34; - when "00000110" => - dest <= 54; - src <= 64; - when "00000111" => - dest <= 90; - src <= 48; - when "00001000" => - dest <= 42; - src <= 54; - when "00001001" => - dest <= 54; - src <= 10; - when "00001010" => - dest <= 54; - src <= 9; - when "00001011" => - dest <= 64; - src <= 54; - when "00001100" => - dest <= 80; - src <= 54; - when "00001101" => - dest <= 99; - src <= 66; - when "00001110" => - dest <= 8; - src <= 44; - when "00001111" => - dest <= 84; - src <= 99; - when "00010000" => - dest <= 54; - src <= 8; - when "00010001" => - dest <= 54; - src <= 18; - when "00010010" => - dest <= 54; - src <= 54; - when "00010011" => - dest <= 40; - src <= 54; - when "00010100" => - dest <= 29; - src <= 24; - when "00010101" => - dest <= 54; - src <= 28; - when "00010110" => - dest <= 94; - src <= 54; - when "00010111" => - dest <= 43; - src <= 54; - when "00011000" => - dest <= 18; - src <= 19; - when "00011001" => - dest <= 1; - src <= 0; - when "00011010" => - dest <= 54; - src <= 68; - when "00011011" => - dest <= 91; - src <= 65; - when "00011100" => - dest <= 51; - src <= 14; - when "00011101" => - dest <= 54; - src <= 54; - when "00011110" => - dest <= 54; - src <= 89; - when "00011111" => - dest <= 81; - src <= 54; - when "00100000" => - dest <= 66; - src <= 54; - when "00100001" => - dest <= 10; - src <= 57; - when "00100010" => - dest <= 7; - src <= 90; - when "00100011" => - dest <= 89; - src <= 7; - when "00100100" => - dest <= 54; - src <= 17; - when "00100101" => - dest <= 65; - src <= 42; - when "00100110" => - dest <= 54; - src <= 54; - when "00100111" => - dest <= 70; - src <= 27; - when "00101000" => - dest <= 54; - src <= 54; - when "00101001" => - dest <= 54; - src <= 43; - when "00101010" => - dest <= 39; - src <= 54; - when "00101011" => - dest <= 95; - src <= 98; - when "00101100" => - dest <= 30; - src <= 1; - when "00101101" => - dest <= 53; - src <= 29; - when "00101110" => - dest <= 28; - src <= 54; - when "00101111" => - dest <= 54; - src <= 38; - when "00110000" => - dest <= 54; - src <= 55; - when "00110001" => - dest <= 17; - src <= 54; - when "00110010" => - dest <= 11; - src <= 13; - when "00110011" => - dest <= 54; - src <= 79; - when "00110100" => - dest <= 92; - src <= 78; - when "00110101" => - dest <= 52; - src <= 54; - when "00110110" => - dest <= 54; - src <= 54; - when "00110111" => - dest <= 54; - src <= 80; - when "00111000" => - dest <= 97; - src <= 54; - when "00111001" => - dest <= 20; - src <= 56; - when "00111010" => - dest <= 63; - src <= 91; - when "00111011" => - dest <= 6; - src <= 97; - when "00111100" => - dest <= 54; - src <= 16; - when "00111101" => - dest <= 88; - src <= 54; - when "00111110" => - dest <= 54; - src <= 45; - when "00111111" => - dest <= 79; - src <= 54; - when "01000000" => - dest <= 54; - src <= 54; - when "01000001" => - dest <= 50; - src <= 11; - when "01000010" => - dest <= 2; - src <= 88; - when "01000011" => - dest <= 54; - src <= 54; - when "01000100" => - dest <= 62; - src <= 2; - when "01000101" => - dest <= 54; - src <= 54; - when "01000110" => - dest <= 96; - src <= 20; - when "01000111" => - dest <= 49; - src <= 58; - when "01001000" => - dest <= 21; - src <= 39; - when "01001001" => - dest <= 54; - src <= 46; - when "01001010" => - dest <= 54; - src <= 54; - when "01001011" => - dest <= 54; - src <= 6; - when "01001100" => - dest <= 38; - src <= 54; - when "01001101" => - dest <= 5; - src <= 54; - when "01001110" => - dest <= 54; - src <= 12; - when "01001111" => - dest <= 71; - src <= 69; - when "01010000" => - dest <= 54; - src <= 87; - when "01010001" => - dest <= 82; - src <= 54; - when "01010010" => - dest <= 87; - src <= 54; - when "01010011" => - dest <= 27; - src <= 54; - when "01010100" => - dest <= 54; - src <= 70; - when "01010101" => - dest <= 54; - src <= 3; - when "01010110" => - dest <= 16; - src <= 37; - when "01010111" => - dest <= 54; - src <= 54; - when "01011000" => - dest <= 93; - src <= 26; - when "01011001" => - dest <= 55; - src <= 54; - when "01011010" => - dest <= 3; - src <= 21; - when "01011011" => - dest <= 54; - src <= 81; - when "01011100" => - dest <= 78; - src <= 53; - when "01011101" => - dest <= 83; - src <= 54; - when "01011110" => - dest <= 54; - src <= 92; - when "01011111" => - dest <= 12; - src <= 15; - when "01100000" => - dest <= 69; - src <= 54; - when "01100001" => - dest <= 54; - src <= 54; - when "01100010" => - dest <= 54; - src <= 5; - when "01100011" => - dest <= 72; - src <= 25; - when "01100100" => - dest <= 48; - src <= 30; - when "01100101" => - dest <= 31; - src <= 54; - when "01100110" => - dest <= 54; - src <= 54; - when "01100111" => - dest <= 37; - src <= 96; - when "01101000" => - dest <= 73; - src <= 49; - when "01101001" => - dest <= 26; - src <= 36; - when "01101010" => - dest <= 54; - src <= 54; - when "01101011" => - dest <= 61; - src <= 60; - when "01101100" => - dest <= 15; - src <= 77; - when "01101101" => - dest <= 54; - src <= 35; - when "01101110" => - dest <= 86; - src <= 71; - when "01101111" => - dest <= 54; - src <= 54; - when "01110000" => - dest <= 13; - src <= 82; - when "01110001" => - dest <= 77; - src <= 47; - when "01110010" => - dest <= 54; - src <= 54; - when "01110011" => - dest <= 54; - src <= 93; - when "01110100" => - dest <= 22; - src <= 54; - when "01110101" => - dest <= 68; - src <= 22; - when "01110110" => - dest <= 54; - src <= 54; - when "01110111" => - dest <= 4; - src <= 95; - when "01111000" => - dest <= 54; - src <= 31; - when "01111001" => - dest <= 59; - src <= 54; - when "01111010" => - dest <= 54; - src <= 54; - when "01111011" => - dest <= 54; - src <= 86; - when "01111100" => - dest <= 41; - src <= 40; - when "01111101" => - dest <= 47; - src <= 54; - when "01111110" => - dest <= 54; - src <= 4; - when "01111111" => - dest <= 36; - src <= 54; - when "10000000" => - dest <= 54; - src <= 59; - when "10000001" => - dest <= 25; - src <= 54; - when "10000010" => - dest <= 54; - src <= 67; - when "10000011" => - dest <= 85; - src <= 61; - when "10000100" => - dest <= 23; - src <= 54; - when "10000101" => - dest <= 76; - src <= 72; - when "10000110" => - dest <= 54; - src <= 54; - when "10000111" => - dest <= 32; - src <= 83; - when "10001000" => - dest <= 67; - src <= 54; - when "10001001" => - dest <= 14; - src <= 23; - when "10001010" => - dest <= 54; - src <= 85; - when "10001011" => - dest <= 58; - src <= 32; - when "10001100" => - dest <= 54; - src <= 54; - when "10001101" => - dest <= 54; - src <= 76; - when "10001110" => - dest <= 46; - src <= 41; - when "10001111" => - dest <= 35; - src <= 94; - when "10010000" => - dest <= 54; - src <= 54; - when "10010001" => - dest <= 33; - src <= 50; - when "10010010" => - dest <= 75; - src <= 54; - when "10010011" => - dest <= 24; - src <= 62; - when "10010100" => - dest <= 57; - src <= 73; - when "10010101" => - dest <= 54; - src <= 54; - when "10010110" => - dest <= 45; - src <= 75; - when "10010111" => - dest <= 34; - src <= 33; - when "10011000" => - dest <= 56; - src <= 84; - when "10011001" => - dest <= 54; - src <= 51; - when "10011010" => - dest <= 54; - src <= 63; - when "10011011" => - dest <= 9; - src <= 74; - when "10011100" => - dest <= 98; - src <= 52; - when others => - dest <= 54; - src <= 54; - - end case; - end process; - - end generate NI_NUM54; - - NI_NUM55 : if NI_NUM = 55 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 61; - src <= 55; - when "00000001" => - dest <= 75; - src <= 55; - when "00000010" => - dest <= 55; - src <= 55; - when "00000011" => - dest <= 45; - src <= 55; - when "00000100" => - dest <= 10; - src <= 55; - when "00000101" => - dest <= 1; - src <= 35; - when "00000110" => - dest <= 55; - src <= 65; - when "00000111" => - dest <= 91; - src <= 49; - when "00001000" => - dest <= 43; - src <= 55; - when "00001001" => - dest <= 55; - src <= 11; - when "00001010" => - dest <= 55; - src <= 0; - when "00001011" => - dest <= 65; - src <= 55; - when "00001100" => - dest <= 81; - src <= 55; - when "00001101" => - dest <= 90; - src <= 67; - when "00001110" => - dest <= 9; - src <= 45; - when "00001111" => - dest <= 85; - src <= 90; - when "00010000" => - dest <= 55; - src <= 9; - when "00010001" => - dest <= 55; - src <= 19; - when "00010010" => - dest <= 55; - src <= 55; - when "00010011" => - dest <= 41; - src <= 55; - when "00010100" => - dest <= 20; - src <= 25; - when "00010101" => - dest <= 55; - src <= 29; - when "00010110" => - dest <= 95; - src <= 55; - when "00010111" => - dest <= 44; - src <= 55; - when "00011000" => - dest <= 19; - src <= 10; - when "00011001" => - dest <= 2; - src <= 1; - when "00011010" => - dest <= 55; - src <= 69; - when "00011011" => - dest <= 92; - src <= 66; - when "00011100" => - dest <= 52; - src <= 15; - when "00011101" => - dest <= 55; - src <= 55; - when "00011110" => - dest <= 55; - src <= 80; - when "00011111" => - dest <= 82; - src <= 55; - when "00100000" => - dest <= 67; - src <= 55; - when "00100001" => - dest <= 11; - src <= 58; - when "00100010" => - dest <= 8; - src <= 91; - when "00100011" => - dest <= 80; - src <= 8; - when "00100100" => - dest <= 55; - src <= 18; - when "00100101" => - dest <= 66; - src <= 43; - when "00100110" => - dest <= 55; - src <= 55; - when "00100111" => - dest <= 71; - src <= 28; - when "00101000" => - dest <= 55; - src <= 55; - when "00101001" => - dest <= 55; - src <= 44; - when "00101010" => - dest <= 30; - src <= 55; - when "00101011" => - dest <= 96; - src <= 99; - when "00101100" => - dest <= 31; - src <= 2; - when "00101101" => - dest <= 54; - src <= 20; - when "00101110" => - dest <= 29; - src <= 55; - when "00101111" => - dest <= 55; - src <= 39; - when "00110000" => - dest <= 55; - src <= 56; - when "00110001" => - dest <= 18; - src <= 55; - when "00110010" => - dest <= 12; - src <= 14; - when "00110011" => - dest <= 55; - src <= 70; - when "00110100" => - dest <= 93; - src <= 79; - when "00110101" => - dest <= 53; - src <= 55; - when "00110110" => - dest <= 55; - src <= 55; - when "00110111" => - dest <= 55; - src <= 81; - when "00111000" => - dest <= 98; - src <= 55; - when "00111001" => - dest <= 21; - src <= 57; - when "00111010" => - dest <= 64; - src <= 92; - when "00111011" => - dest <= 7; - src <= 98; - when "00111100" => - dest <= 55; - src <= 17; - when "00111101" => - dest <= 89; - src <= 55; - when "00111110" => - dest <= 55; - src <= 46; - when "00111111" => - dest <= 70; - src <= 55; - when "01000000" => - dest <= 55; - src <= 55; - when "01000001" => - dest <= 51; - src <= 12; - when "01000010" => - dest <= 3; - src <= 89; - when "01000011" => - dest <= 55; - src <= 55; - when "01000100" => - dest <= 63; - src <= 3; - when "01000101" => - dest <= 55; - src <= 55; - when "01000110" => - dest <= 97; - src <= 21; - when "01000111" => - dest <= 40; - src <= 59; - when "01001000" => - dest <= 22; - src <= 30; - when "01001001" => - dest <= 55; - src <= 47; - when "01001010" => - dest <= 55; - src <= 55; - when "01001011" => - dest <= 55; - src <= 7; - when "01001100" => - dest <= 39; - src <= 55; - when "01001101" => - dest <= 6; - src <= 55; - when "01001110" => - dest <= 55; - src <= 13; - when "01001111" => - dest <= 72; - src <= 60; - when "01010000" => - dest <= 55; - src <= 88; - when "01010001" => - dest <= 83; - src <= 55; - when "01010010" => - dest <= 88; - src <= 55; - when "01010011" => - dest <= 28; - src <= 55; - when "01010100" => - dest <= 55; - src <= 71; - when "01010101" => - dest <= 55; - src <= 4; - when "01010110" => - dest <= 17; - src <= 38; - when "01010111" => - dest <= 55; - src <= 55; - when "01011000" => - dest <= 94; - src <= 27; - when "01011001" => - dest <= 56; - src <= 55; - when "01011010" => - dest <= 4; - src <= 22; - when "01011011" => - dest <= 55; - src <= 82; - when "01011100" => - dest <= 79; - src <= 54; - when "01011101" => - dest <= 84; - src <= 55; - when "01011110" => - dest <= 55; - src <= 93; - when "01011111" => - dest <= 13; - src <= 16; - when "01100000" => - dest <= 60; - src <= 55; - when "01100001" => - dest <= 55; - src <= 55; - when "01100010" => - dest <= 55; - src <= 6; - when "01100011" => - dest <= 73; - src <= 26; - when "01100100" => - dest <= 49; - src <= 31; - when "01100101" => - dest <= 32; - src <= 55; - when "01100110" => - dest <= 55; - src <= 55; - when "01100111" => - dest <= 38; - src <= 97; - when "01101000" => - dest <= 74; - src <= 40; - when "01101001" => - dest <= 27; - src <= 37; - when "01101010" => - dest <= 55; - src <= 55; - when "01101011" => - dest <= 62; - src <= 61; - when "01101100" => - dest <= 16; - src <= 78; - when "01101101" => - dest <= 55; - src <= 36; - when "01101110" => - dest <= 87; - src <= 72; - when "01101111" => - dest <= 55; - src <= 55; - when "01110000" => - dest <= 14; - src <= 83; - when "01110001" => - dest <= 78; - src <= 48; - when "01110010" => - dest <= 55; - src <= 55; - when "01110011" => - dest <= 55; - src <= 94; - when "01110100" => - dest <= 23; - src <= 55; - when "01110101" => - dest <= 69; - src <= 23; - when "01110110" => - dest <= 55; - src <= 55; - when "01110111" => - dest <= 5; - src <= 96; - when "01111000" => - dest <= 55; - src <= 32; - when "01111001" => - dest <= 50; - src <= 55; - when "01111010" => - dest <= 55; - src <= 55; - when "01111011" => - dest <= 55; - src <= 87; - when "01111100" => - dest <= 42; - src <= 41; - when "01111101" => - dest <= 48; - src <= 55; - when "01111110" => - dest <= 55; - src <= 5; - when "01111111" => - dest <= 37; - src <= 55; - when "10000000" => - dest <= 55; - src <= 50; - when "10000001" => - dest <= 26; - src <= 55; - when "10000010" => - dest <= 55; - src <= 68; - when "10000011" => - dest <= 86; - src <= 62; - when "10000100" => - dest <= 24; - src <= 55; - when "10000101" => - dest <= 77; - src <= 73; - when "10000110" => - dest <= 55; - src <= 55; - when "10000111" => - dest <= 33; - src <= 84; - when "10001000" => - dest <= 68; - src <= 55; - when "10001001" => - dest <= 15; - src <= 24; - when "10001010" => - dest <= 55; - src <= 86; - when "10001011" => - dest <= 59; - src <= 33; - when "10001100" => - dest <= 55; - src <= 55; - when "10001101" => - dest <= 55; - src <= 77; - when "10001110" => - dest <= 47; - src <= 42; - when "10001111" => - dest <= 36; - src <= 95; - when "10010000" => - dest <= 55; - src <= 55; - when "10010001" => - dest <= 34; - src <= 51; - when "10010010" => - dest <= 76; - src <= 55; - when "10010011" => - dest <= 25; - src <= 63; - when "10010100" => - dest <= 58; - src <= 74; - when "10010101" => - dest <= 55; - src <= 55; - when "10010110" => - dest <= 46; - src <= 76; - when "10010111" => - dest <= 35; - src <= 34; - when "10011000" => - dest <= 57; - src <= 85; - when "10011001" => - dest <= 55; - src <= 52; - when "10011010" => - dest <= 55; - src <= 64; - when "10011011" => - dest <= 0; - src <= 75; - when "10011100" => - dest <= 99; - src <= 53; - when others => - dest <= 55; - src <= 55; - - end case; - end process; - - end generate NI_NUM55; - - NI_NUM56 : if NI_NUM = 56 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 62; - src <= 56; - when "00000001" => - dest <= 76; - src <= 56; - when "00000010" => - dest <= 56; - src <= 56; - when "00000011" => - dest <= 46; - src <= 56; - when "00000100" => - dest <= 11; - src <= 56; - when "00000101" => - dest <= 2; - src <= 36; - when "00000110" => - dest <= 56; - src <= 66; - when "00000111" => - dest <= 92; - src <= 40; - when "00001000" => - dest <= 44; - src <= 56; - when "00001001" => - dest <= 56; - src <= 12; - when "00001010" => - dest <= 56; - src <= 1; - when "00001011" => - dest <= 66; - src <= 56; - when "00001100" => - dest <= 82; - src <= 56; - when "00001101" => - dest <= 91; - src <= 68; - when "00001110" => - dest <= 0; - src <= 46; - when "00001111" => - dest <= 86; - src <= 91; - when "00010000" => - dest <= 56; - src <= 0; - when "00010001" => - dest <= 56; - src <= 10; - when "00010010" => - dest <= 56; - src <= 56; - when "00010011" => - dest <= 42; - src <= 56; - when "00010100" => - dest <= 21; - src <= 26; - when "00010101" => - dest <= 56; - src <= 20; - when "00010110" => - dest <= 96; - src <= 56; - when "00010111" => - dest <= 45; - src <= 56; - when "00011000" => - dest <= 10; - src <= 11; - when "00011001" => - dest <= 3; - src <= 2; - when "00011010" => - dest <= 56; - src <= 60; - when "00011011" => - dest <= 93; - src <= 67; - when "00011100" => - dest <= 53; - src <= 16; - when "00011101" => - dest <= 56; - src <= 56; - when "00011110" => - dest <= 56; - src <= 81; - when "00011111" => - dest <= 83; - src <= 56; - when "00100000" => - dest <= 68; - src <= 56; - when "00100001" => - dest <= 12; - src <= 59; - when "00100010" => - dest <= 9; - src <= 92; - when "00100011" => - dest <= 81; - src <= 9; - when "00100100" => - dest <= 56; - src <= 19; - when "00100101" => - dest <= 67; - src <= 44; - when "00100110" => - dest <= 56; - src <= 56; - when "00100111" => - dest <= 72; - src <= 29; - when "00101000" => - dest <= 56; - src <= 56; - when "00101001" => - dest <= 56; - src <= 45; - when "00101010" => - dest <= 31; - src <= 56; - when "00101011" => - dest <= 97; - src <= 90; - when "00101100" => - dest <= 32; - src <= 3; - when "00101101" => - dest <= 55; - src <= 21; - when "00101110" => - dest <= 20; - src <= 56; - when "00101111" => - dest <= 56; - src <= 30; - when "00110000" => - dest <= 56; - src <= 57; - when "00110001" => - dest <= 19; - src <= 56; - when "00110010" => - dest <= 13; - src <= 15; - when "00110011" => - dest <= 56; - src <= 71; - when "00110100" => - dest <= 94; - src <= 70; - when "00110101" => - dest <= 54; - src <= 56; - when "00110110" => - dest <= 56; - src <= 56; - when "00110111" => - dest <= 56; - src <= 82; - when "00111000" => - dest <= 99; - src <= 56; - when "00111001" => - dest <= 22; - src <= 58; - when "00111010" => - dest <= 65; - src <= 93; - when "00111011" => - dest <= 8; - src <= 99; - when "00111100" => - dest <= 56; - src <= 18; - when "00111101" => - dest <= 80; - src <= 56; - when "00111110" => - dest <= 56; - src <= 47; - when "00111111" => - dest <= 71; - src <= 56; - when "01000000" => - dest <= 56; - src <= 56; - when "01000001" => - dest <= 52; - src <= 13; - when "01000010" => - dest <= 4; - src <= 80; - when "01000011" => - dest <= 56; - src <= 56; - when "01000100" => - dest <= 64; - src <= 4; - when "01000101" => - dest <= 56; - src <= 56; - when "01000110" => - dest <= 98; - src <= 22; - when "01000111" => - dest <= 41; - src <= 50; - when "01001000" => - dest <= 23; - src <= 31; - when "01001001" => - dest <= 56; - src <= 48; - when "01001010" => - dest <= 56; - src <= 56; - when "01001011" => - dest <= 56; - src <= 8; - when "01001100" => - dest <= 30; - src <= 56; - when "01001101" => - dest <= 7; - src <= 56; - when "01001110" => - dest <= 56; - src <= 14; - when "01001111" => - dest <= 73; - src <= 61; - when "01010000" => - dest <= 56; - src <= 89; - when "01010001" => - dest <= 84; - src <= 56; - when "01010010" => - dest <= 89; - src <= 56; - when "01010011" => - dest <= 29; - src <= 56; - when "01010100" => - dest <= 56; - src <= 72; - when "01010101" => - dest <= 56; - src <= 5; - when "01010110" => - dest <= 18; - src <= 39; - when "01010111" => - dest <= 56; - src <= 56; - when "01011000" => - dest <= 95; - src <= 28; - when "01011001" => - dest <= 57; - src <= 56; - when "01011010" => - dest <= 5; - src <= 23; - when "01011011" => - dest <= 56; - src <= 83; - when "01011100" => - dest <= 70; - src <= 55; - when "01011101" => - dest <= 85; - src <= 56; - when "01011110" => - dest <= 56; - src <= 94; - when "01011111" => - dest <= 14; - src <= 17; - when "01100000" => - dest <= 61; - src <= 56; - when "01100001" => - dest <= 56; - src <= 56; - when "01100010" => - dest <= 56; - src <= 7; - when "01100011" => - dest <= 74; - src <= 27; - when "01100100" => - dest <= 40; - src <= 32; - when "01100101" => - dest <= 33; - src <= 56; - when "01100110" => - dest <= 56; - src <= 56; - when "01100111" => - dest <= 39; - src <= 98; - when "01101000" => - dest <= 75; - src <= 41; - when "01101001" => - dest <= 28; - src <= 38; - when "01101010" => - dest <= 56; - src <= 56; - when "01101011" => - dest <= 63; - src <= 62; - when "01101100" => - dest <= 17; - src <= 79; - when "01101101" => - dest <= 56; - src <= 37; - when "01101110" => - dest <= 88; - src <= 73; - when "01101111" => - dest <= 56; - src <= 56; - when "01110000" => - dest <= 15; - src <= 84; - when "01110001" => - dest <= 79; - src <= 49; - when "01110010" => - dest <= 56; - src <= 56; - when "01110011" => - dest <= 56; - src <= 95; - when "01110100" => - dest <= 24; - src <= 56; - when "01110101" => - dest <= 60; - src <= 24; - when "01110110" => - dest <= 56; - src <= 56; - when "01110111" => - dest <= 6; - src <= 97; - when "01111000" => - dest <= 56; - src <= 33; - when "01111001" => - dest <= 51; - src <= 56; - when "01111010" => - dest <= 56; - src <= 56; - when "01111011" => - dest <= 56; - src <= 88; - when "01111100" => - dest <= 43; - src <= 42; - when "01111101" => - dest <= 49; - src <= 56; - when "01111110" => - dest <= 56; - src <= 6; - when "01111111" => - dest <= 38; - src <= 56; - when "10000000" => - dest <= 56; - src <= 51; - when "10000001" => - dest <= 27; - src <= 56; - when "10000010" => - dest <= 56; - src <= 69; - when "10000011" => - dest <= 87; - src <= 63; - when "10000100" => - dest <= 25; - src <= 56; - when "10000101" => - dest <= 78; - src <= 74; - when "10000110" => - dest <= 56; - src <= 56; - when "10000111" => - dest <= 34; - src <= 85; - when "10001000" => - dest <= 69; - src <= 56; - when "10001001" => - dest <= 16; - src <= 25; - when "10001010" => - dest <= 56; - src <= 87; - when "10001011" => - dest <= 50; - src <= 34; - when "10001100" => - dest <= 56; - src <= 56; - when "10001101" => - dest <= 56; - src <= 78; - when "10001110" => - dest <= 48; - src <= 43; - when "10001111" => - dest <= 37; - src <= 96; - when "10010000" => - dest <= 56; - src <= 56; - when "10010001" => - dest <= 35; - src <= 52; - when "10010010" => - dest <= 77; - src <= 56; - when "10010011" => - dest <= 26; - src <= 64; - when "10010100" => - dest <= 59; - src <= 75; - when "10010101" => - dest <= 56; - src <= 56; - when "10010110" => - dest <= 47; - src <= 77; - when "10010111" => - dest <= 36; - src <= 35; - when "10011000" => - dest <= 58; - src <= 86; - when "10011001" => - dest <= 56; - src <= 53; - when "10011010" => - dest <= 56; - src <= 65; - when "10011011" => - dest <= 1; - src <= 76; - when "10011100" => - dest <= 90; - src <= 54; - when others => - dest <= 56; - src <= 56; - - end case; - end process; - - end generate NI_NUM56; - - NI_NUM57 : if NI_NUM = 57 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 63; - src <= 57; - when "00000001" => - dest <= 77; - src <= 57; - when "00000010" => - dest <= 57; - src <= 57; - when "00000011" => - dest <= 47; - src <= 57; - when "00000100" => - dest <= 12; - src <= 57; - when "00000101" => - dest <= 3; - src <= 37; - when "00000110" => - dest <= 57; - src <= 67; - when "00000111" => - dest <= 93; - src <= 41; - when "00001000" => - dest <= 45; - src <= 57; - when "00001001" => - dest <= 57; - src <= 13; - when "00001010" => - dest <= 57; - src <= 2; - when "00001011" => - dest <= 67; - src <= 57; - when "00001100" => - dest <= 83; - src <= 57; - when "00001101" => - dest <= 92; - src <= 69; - when "00001110" => - dest <= 1; - src <= 47; - when "00001111" => - dest <= 87; - src <= 92; - when "00010000" => - dest <= 57; - src <= 1; - when "00010001" => - dest <= 57; - src <= 11; - when "00010010" => - dest <= 57; - src <= 57; - when "00010011" => - dest <= 43; - src <= 57; - when "00010100" => - dest <= 22; - src <= 27; - when "00010101" => - dest <= 57; - src <= 21; - when "00010110" => - dest <= 97; - src <= 57; - when "00010111" => - dest <= 46; - src <= 57; - when "00011000" => - dest <= 11; - src <= 12; - when "00011001" => - dest <= 4; - src <= 3; - when "00011010" => - dest <= 57; - src <= 61; - when "00011011" => - dest <= 94; - src <= 68; - when "00011100" => - dest <= 54; - src <= 17; - when "00011101" => - dest <= 57; - src <= 57; - when "00011110" => - dest <= 57; - src <= 82; - when "00011111" => - dest <= 84; - src <= 57; - when "00100000" => - dest <= 69; - src <= 57; - when "00100001" => - dest <= 13; - src <= 50; - when "00100010" => - dest <= 0; - src <= 93; - when "00100011" => - dest <= 82; - src <= 0; - when "00100100" => - dest <= 57; - src <= 10; - when "00100101" => - dest <= 68; - src <= 45; - when "00100110" => - dest <= 57; - src <= 57; - when "00100111" => - dest <= 73; - src <= 20; - when "00101000" => - dest <= 57; - src <= 57; - when "00101001" => - dest <= 57; - src <= 46; - when "00101010" => - dest <= 32; - src <= 57; - when "00101011" => - dest <= 98; - src <= 91; - when "00101100" => - dest <= 33; - src <= 4; - when "00101101" => - dest <= 56; - src <= 22; - when "00101110" => - dest <= 21; - src <= 57; - when "00101111" => - dest <= 57; - src <= 31; - when "00110000" => - dest <= 57; - src <= 58; - when "00110001" => - dest <= 10; - src <= 57; - when "00110010" => - dest <= 14; - src <= 16; - when "00110011" => - dest <= 57; - src <= 72; - when "00110100" => - dest <= 95; - src <= 71; - when "00110101" => - dest <= 55; - src <= 57; - when "00110110" => - dest <= 57; - src <= 57; - when "00110111" => - dest <= 57; - src <= 83; - when "00111000" => - dest <= 90; - src <= 57; - when "00111001" => - dest <= 23; - src <= 59; - when "00111010" => - dest <= 66; - src <= 94; - when "00111011" => - dest <= 9; - src <= 90; - when "00111100" => - dest <= 57; - src <= 19; - when "00111101" => - dest <= 81; - src <= 57; - when "00111110" => - dest <= 57; - src <= 48; - when "00111111" => - dest <= 72; - src <= 57; - when "01000000" => - dest <= 57; - src <= 57; - when "01000001" => - dest <= 53; - src <= 14; - when "01000010" => - dest <= 5; - src <= 81; - when "01000011" => - dest <= 57; - src <= 57; - when "01000100" => - dest <= 65; - src <= 5; - when "01000101" => - dest <= 57; - src <= 57; - when "01000110" => - dest <= 99; - src <= 23; - when "01000111" => - dest <= 42; - src <= 51; - when "01001000" => - dest <= 24; - src <= 32; - when "01001001" => - dest <= 57; - src <= 49; - when "01001010" => - dest <= 57; - src <= 57; - when "01001011" => - dest <= 57; - src <= 9; - when "01001100" => - dest <= 31; - src <= 57; - when "01001101" => - dest <= 8; - src <= 57; - when "01001110" => - dest <= 57; - src <= 15; - when "01001111" => - dest <= 74; - src <= 62; - when "01010000" => - dest <= 57; - src <= 80; - when "01010001" => - dest <= 85; - src <= 57; - when "01010010" => - dest <= 80; - src <= 57; - when "01010011" => - dest <= 20; - src <= 57; - when "01010100" => - dest <= 57; - src <= 73; - when "01010101" => - dest <= 57; - src <= 6; - when "01010110" => - dest <= 19; - src <= 30; - when "01010111" => - dest <= 57; - src <= 57; - when "01011000" => - dest <= 96; - src <= 29; - when "01011001" => - dest <= 58; - src <= 57; - when "01011010" => - dest <= 6; - src <= 24; - when "01011011" => - dest <= 57; - src <= 84; - when "01011100" => - dest <= 71; - src <= 56; - when "01011101" => - dest <= 86; - src <= 57; - when "01011110" => - dest <= 57; - src <= 95; - when "01011111" => - dest <= 15; - src <= 18; - when "01100000" => - dest <= 62; - src <= 57; - when "01100001" => - dest <= 57; - src <= 57; - when "01100010" => - dest <= 57; - src <= 8; - when "01100011" => - dest <= 75; - src <= 28; - when "01100100" => - dest <= 41; - src <= 33; - when "01100101" => - dest <= 34; - src <= 57; - when "01100110" => - dest <= 57; - src <= 57; - when "01100111" => - dest <= 30; - src <= 99; - when "01101000" => - dest <= 76; - src <= 42; - when "01101001" => - dest <= 29; - src <= 39; - when "01101010" => - dest <= 57; - src <= 57; - when "01101011" => - dest <= 64; - src <= 63; - when "01101100" => - dest <= 18; - src <= 70; - when "01101101" => - dest <= 57; - src <= 38; - when "01101110" => - dest <= 89; - src <= 74; - when "01101111" => - dest <= 57; - src <= 57; - when "01110000" => - dest <= 16; - src <= 85; - when "01110001" => - dest <= 70; - src <= 40; - when "01110010" => - dest <= 57; - src <= 57; - when "01110011" => - dest <= 57; - src <= 96; - when "01110100" => - dest <= 25; - src <= 57; - when "01110101" => - dest <= 61; - src <= 25; - when "01110110" => - dest <= 57; - src <= 57; - when "01110111" => - dest <= 7; - src <= 98; - when "01111000" => - dest <= 57; - src <= 34; - when "01111001" => - dest <= 52; - src <= 57; - when "01111010" => - dest <= 57; - src <= 57; - when "01111011" => - dest <= 57; - src <= 89; - when "01111100" => - dest <= 44; - src <= 43; - when "01111101" => - dest <= 40; - src <= 57; - when "01111110" => - dest <= 57; - src <= 7; - when "01111111" => - dest <= 39; - src <= 57; - when "10000000" => - dest <= 57; - src <= 52; - when "10000001" => - dest <= 28; - src <= 57; - when "10000010" => - dest <= 57; - src <= 60; - when "10000011" => - dest <= 88; - src <= 64; - when "10000100" => - dest <= 26; - src <= 57; - when "10000101" => - dest <= 79; - src <= 75; - when "10000110" => - dest <= 57; - src <= 57; - when "10000111" => - dest <= 35; - src <= 86; - when "10001000" => - dest <= 60; - src <= 57; - when "10001001" => - dest <= 17; - src <= 26; - when "10001010" => - dest <= 57; - src <= 88; - when "10001011" => - dest <= 51; - src <= 35; - when "10001100" => - dest <= 57; - src <= 57; - when "10001101" => - dest <= 57; - src <= 79; - when "10001110" => - dest <= 49; - src <= 44; - when "10001111" => - dest <= 38; - src <= 97; - when "10010000" => - dest <= 57; - src <= 57; - when "10010001" => - dest <= 36; - src <= 53; - when "10010010" => - dest <= 78; - src <= 57; - when "10010011" => - dest <= 27; - src <= 65; - when "10010100" => - dest <= 50; - src <= 76; - when "10010101" => - dest <= 57; - src <= 57; - when "10010110" => - dest <= 48; - src <= 78; - when "10010111" => - dest <= 37; - src <= 36; - when "10011000" => - dest <= 59; - src <= 87; - when "10011001" => - dest <= 57; - src <= 54; - when "10011010" => - dest <= 57; - src <= 66; - when "10011011" => - dest <= 2; - src <= 77; - when "10011100" => - dest <= 91; - src <= 55; - when others => - dest <= 57; - src <= 57; - - end case; - end process; - - end generate NI_NUM57; - - NI_NUM58 : if NI_NUM = 58 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 64; - src <= 58; - when "00000001" => - dest <= 78; - src <= 58; - when "00000010" => - dest <= 58; - src <= 58; - when "00000011" => - dest <= 48; - src <= 58; - when "00000100" => - dest <= 13; - src <= 58; - when "00000101" => - dest <= 4; - src <= 38; - when "00000110" => - dest <= 58; - src <= 68; - when "00000111" => - dest <= 94; - src <= 42; - when "00001000" => - dest <= 46; - src <= 58; - when "00001001" => - dest <= 58; - src <= 14; - when "00001010" => - dest <= 58; - src <= 3; - when "00001011" => - dest <= 68; - src <= 58; - when "00001100" => - dest <= 84; - src <= 58; - when "00001101" => - dest <= 93; - src <= 60; - when "00001110" => - dest <= 2; - src <= 48; - when "00001111" => - dest <= 88; - src <= 93; - when "00010000" => - dest <= 58; - src <= 2; - when "00010001" => - dest <= 58; - src <= 12; - when "00010010" => - dest <= 58; - src <= 58; - when "00010011" => - dest <= 44; - src <= 58; - when "00010100" => - dest <= 23; - src <= 28; - when "00010101" => - dest <= 58; - src <= 22; - when "00010110" => - dest <= 98; - src <= 58; - when "00010111" => - dest <= 47; - src <= 58; - when "00011000" => - dest <= 12; - src <= 13; - when "00011001" => - dest <= 5; - src <= 4; - when "00011010" => - dest <= 58; - src <= 62; - when "00011011" => - dest <= 95; - src <= 69; - when "00011100" => - dest <= 55; - src <= 18; - when "00011101" => - dest <= 58; - src <= 58; - when "00011110" => - dest <= 58; - src <= 83; - when "00011111" => - dest <= 85; - src <= 58; - when "00100000" => - dest <= 60; - src <= 58; - when "00100001" => - dest <= 14; - src <= 51; - when "00100010" => - dest <= 1; - src <= 94; - when "00100011" => - dest <= 83; - src <= 1; - when "00100100" => - dest <= 58; - src <= 11; - when "00100101" => - dest <= 69; - src <= 46; - when "00100110" => - dest <= 58; - src <= 58; - when "00100111" => - dest <= 74; - src <= 21; - when "00101000" => - dest <= 58; - src <= 58; - when "00101001" => - dest <= 58; - src <= 47; - when "00101010" => - dest <= 33; - src <= 58; - when "00101011" => - dest <= 99; - src <= 92; - when "00101100" => - dest <= 34; - src <= 5; - when "00101101" => - dest <= 57; - src <= 23; - when "00101110" => - dest <= 22; - src <= 58; - when "00101111" => - dest <= 58; - src <= 32; - when "00110000" => - dest <= 58; - src <= 59; - when "00110001" => - dest <= 11; - src <= 58; - when "00110010" => - dest <= 15; - src <= 17; - when "00110011" => - dest <= 58; - src <= 73; - when "00110100" => - dest <= 96; - src <= 72; - when "00110101" => - dest <= 56; - src <= 58; - when "00110110" => - dest <= 58; - src <= 58; - when "00110111" => - dest <= 58; - src <= 84; - when "00111000" => - dest <= 91; - src <= 58; - when "00111001" => - dest <= 24; - src <= 50; - when "00111010" => - dest <= 67; - src <= 95; - when "00111011" => - dest <= 0; - src <= 91; - when "00111100" => - dest <= 58; - src <= 10; - when "00111101" => - dest <= 82; - src <= 58; - when "00111110" => - dest <= 58; - src <= 49; - when "00111111" => - dest <= 73; - src <= 58; - when "01000000" => - dest <= 58; - src <= 58; - when "01000001" => - dest <= 54; - src <= 15; - when "01000010" => - dest <= 6; - src <= 82; - when "01000011" => - dest <= 58; - src <= 58; - when "01000100" => - dest <= 66; - src <= 6; - when "01000101" => - dest <= 58; - src <= 58; - when "01000110" => - dest <= 90; - src <= 24; - when "01000111" => - dest <= 43; - src <= 52; - when "01001000" => - dest <= 25; - src <= 33; - when "01001001" => - dest <= 58; - src <= 40; - when "01001010" => - dest <= 58; - src <= 58; - when "01001011" => - dest <= 58; - src <= 0; - when "01001100" => - dest <= 32; - src <= 58; - when "01001101" => - dest <= 9; - src <= 58; - when "01001110" => - dest <= 58; - src <= 16; - when "01001111" => - dest <= 75; - src <= 63; - when "01010000" => - dest <= 58; - src <= 81; - when "01010001" => - dest <= 86; - src <= 58; - when "01010010" => - dest <= 81; - src <= 58; - when "01010011" => - dest <= 21; - src <= 58; - when "01010100" => - dest <= 58; - src <= 74; - when "01010101" => - dest <= 58; - src <= 7; - when "01010110" => - dest <= 10; - src <= 31; - when "01010111" => - dest <= 58; - src <= 58; - when "01011000" => - dest <= 97; - src <= 20; - when "01011001" => - dest <= 59; - src <= 58; - when "01011010" => - dest <= 7; - src <= 25; - when "01011011" => - dest <= 58; - src <= 85; - when "01011100" => - dest <= 72; - src <= 57; - when "01011101" => - dest <= 87; - src <= 58; - when "01011110" => - dest <= 58; - src <= 96; - when "01011111" => - dest <= 16; - src <= 19; - when "01100000" => - dest <= 63; - src <= 58; - when "01100001" => - dest <= 58; - src <= 58; - when "01100010" => - dest <= 58; - src <= 9; - when "01100011" => - dest <= 76; - src <= 29; - when "01100100" => - dest <= 42; - src <= 34; - when "01100101" => - dest <= 35; - src <= 58; - when "01100110" => - dest <= 58; - src <= 58; - when "01100111" => - dest <= 31; - src <= 90; - when "01101000" => - dest <= 77; - src <= 43; - when "01101001" => - dest <= 20; - src <= 30; - when "01101010" => - dest <= 58; - src <= 58; - when "01101011" => - dest <= 65; - src <= 64; - when "01101100" => - dest <= 19; - src <= 71; - when "01101101" => - dest <= 58; - src <= 39; - when "01101110" => - dest <= 80; - src <= 75; - when "01101111" => - dest <= 58; - src <= 58; - when "01110000" => - dest <= 17; - src <= 86; - when "01110001" => - dest <= 71; - src <= 41; - when "01110010" => - dest <= 58; - src <= 58; - when "01110011" => - dest <= 58; - src <= 97; - when "01110100" => - dest <= 26; - src <= 58; - when "01110101" => - dest <= 62; - src <= 26; - when "01110110" => - dest <= 58; - src <= 58; - when "01110111" => - dest <= 8; - src <= 99; - when "01111000" => - dest <= 58; - src <= 35; - when "01111001" => - dest <= 53; - src <= 58; - when "01111010" => - dest <= 58; - src <= 58; - when "01111011" => - dest <= 58; - src <= 80; - when "01111100" => - dest <= 45; - src <= 44; - when "01111101" => - dest <= 41; - src <= 58; - when "01111110" => - dest <= 58; - src <= 8; - when "01111111" => - dest <= 30; - src <= 58; - when "10000000" => - dest <= 58; - src <= 53; - when "10000001" => - dest <= 29; - src <= 58; - when "10000010" => - dest <= 58; - src <= 61; - when "10000011" => - dest <= 89; - src <= 65; - when "10000100" => - dest <= 27; - src <= 58; - when "10000101" => - dest <= 70; - src <= 76; - when "10000110" => - dest <= 58; - src <= 58; - when "10000111" => - dest <= 36; - src <= 87; - when "10001000" => - dest <= 61; - src <= 58; - when "10001001" => - dest <= 18; - src <= 27; - when "10001010" => - dest <= 58; - src <= 89; - when "10001011" => - dest <= 52; - src <= 36; - when "10001100" => - dest <= 58; - src <= 58; - when "10001101" => - dest <= 58; - src <= 70; - when "10001110" => - dest <= 40; - src <= 45; - when "10001111" => - dest <= 39; - src <= 98; - when "10010000" => - dest <= 58; - src <= 58; - when "10010001" => - dest <= 37; - src <= 54; - when "10010010" => - dest <= 79; - src <= 58; - when "10010011" => - dest <= 28; - src <= 66; - when "10010100" => - dest <= 51; - src <= 77; - when "10010101" => - dest <= 58; - src <= 58; - when "10010110" => - dest <= 49; - src <= 79; - when "10010111" => - dest <= 38; - src <= 37; - when "10011000" => - dest <= 50; - src <= 88; - when "10011001" => - dest <= 58; - src <= 55; - when "10011010" => - dest <= 58; - src <= 67; - when "10011011" => - dest <= 3; - src <= 78; - when "10011100" => - dest <= 92; - src <= 56; - when others => - dest <= 58; - src <= 58; - - end case; - end process; - - end generate NI_NUM58; - - NI_NUM59 : if NI_NUM = 59 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 65; - src <= 59; - when "00000001" => - dest <= 79; - src <= 59; - when "00000010" => - dest <= 59; - src <= 59; - when "00000011" => - dest <= 49; - src <= 59; - when "00000100" => - dest <= 14; - src <= 59; - when "00000101" => - dest <= 5; - src <= 39; - when "00000110" => - dest <= 59; - src <= 69; - when "00000111" => - dest <= 95; - src <= 43; - when "00001000" => - dest <= 47; - src <= 59; - when "00001001" => - dest <= 59; - src <= 15; - when "00001010" => - dest <= 59; - src <= 4; - when "00001011" => - dest <= 69; - src <= 59; - when "00001100" => - dest <= 85; - src <= 59; - when "00001101" => - dest <= 94; - src <= 61; - when "00001110" => - dest <= 3; - src <= 49; - when "00001111" => - dest <= 89; - src <= 94; - when "00010000" => - dest <= 59; - src <= 3; - when "00010001" => - dest <= 59; - src <= 13; - when "00010010" => - dest <= 59; - src <= 59; - when "00010011" => - dest <= 45; - src <= 59; - when "00010100" => - dest <= 24; - src <= 29; - when "00010101" => - dest <= 59; - src <= 23; - when "00010110" => - dest <= 99; - src <= 59; - when "00010111" => - dest <= 48; - src <= 59; - when "00011000" => - dest <= 13; - src <= 14; - when "00011001" => - dest <= 6; - src <= 5; - when "00011010" => - dest <= 59; - src <= 63; - when "00011011" => - dest <= 96; - src <= 60; - when "00011100" => - dest <= 56; - src <= 19; - when "00011101" => - dest <= 59; - src <= 59; - when "00011110" => - dest <= 59; - src <= 84; - when "00011111" => - dest <= 86; - src <= 59; - when "00100000" => - dest <= 61; - src <= 59; - when "00100001" => - dest <= 15; - src <= 52; - when "00100010" => - dest <= 2; - src <= 95; - when "00100011" => - dest <= 84; - src <= 2; - when "00100100" => - dest <= 59; - src <= 12; - when "00100101" => - dest <= 60; - src <= 47; - when "00100110" => - dest <= 59; - src <= 59; - when "00100111" => - dest <= 75; - src <= 22; - when "00101000" => - dest <= 59; - src <= 59; - when "00101001" => - dest <= 59; - src <= 48; - when "00101010" => - dest <= 34; - src <= 59; - when "00101011" => - dest <= 90; - src <= 93; - when "00101100" => - dest <= 35; - src <= 6; - when "00101101" => - dest <= 58; - src <= 24; - when "00101110" => - dest <= 23; - src <= 59; - when "00101111" => - dest <= 59; - src <= 33; - when "00110000" => - dest <= 59; - src <= 50; - when "00110001" => - dest <= 12; - src <= 59; - when "00110010" => - dest <= 16; - src <= 18; - when "00110011" => - dest <= 59; - src <= 74; - when "00110100" => - dest <= 97; - src <= 73; - when "00110101" => - dest <= 57; - src <= 59; - when "00110110" => - dest <= 59; - src <= 59; - when "00110111" => - dest <= 59; - src <= 85; - when "00111000" => - dest <= 92; - src <= 59; - when "00111001" => - dest <= 25; - src <= 51; - when "00111010" => - dest <= 68; - src <= 96; - when "00111011" => - dest <= 1; - src <= 92; - when "00111100" => - dest <= 59; - src <= 11; - when "00111101" => - dest <= 83; - src <= 59; - when "00111110" => - dest <= 59; - src <= 40; - when "00111111" => - dest <= 74; - src <= 59; - when "01000000" => - dest <= 59; - src <= 59; - when "01000001" => - dest <= 55; - src <= 16; - when "01000010" => - dest <= 7; - src <= 83; - when "01000011" => - dest <= 59; - src <= 59; - when "01000100" => - dest <= 67; - src <= 7; - when "01000101" => - dest <= 59; - src <= 59; - when "01000110" => - dest <= 91; - src <= 25; - when "01000111" => - dest <= 44; - src <= 53; - when "01001000" => - dest <= 26; - src <= 34; - when "01001001" => - dest <= 59; - src <= 41; - when "01001010" => - dest <= 59; - src <= 59; - when "01001011" => - dest <= 59; - src <= 1; - when "01001100" => - dest <= 33; - src <= 59; - when "01001101" => - dest <= 0; - src <= 59; - when "01001110" => - dest <= 59; - src <= 17; - when "01001111" => - dest <= 76; - src <= 64; - when "01010000" => - dest <= 59; - src <= 82; - when "01010001" => - dest <= 87; - src <= 59; - when "01010010" => - dest <= 82; - src <= 59; - when "01010011" => - dest <= 22; - src <= 59; - when "01010100" => - dest <= 59; - src <= 75; - when "01010101" => - dest <= 59; - src <= 8; - when "01010110" => - dest <= 11; - src <= 32; - when "01010111" => - dest <= 59; - src <= 59; - when "01011000" => - dest <= 98; - src <= 21; - when "01011001" => - dest <= 50; - src <= 59; - when "01011010" => - dest <= 8; - src <= 26; - when "01011011" => - dest <= 59; - src <= 86; - when "01011100" => - dest <= 73; - src <= 58; - when "01011101" => - dest <= 88; - src <= 59; - when "01011110" => - dest <= 59; - src <= 97; - when "01011111" => - dest <= 17; - src <= 10; - when "01100000" => - dest <= 64; - src <= 59; - when "01100001" => - dest <= 59; - src <= 59; - when "01100010" => - dest <= 59; - src <= 0; - when "01100011" => - dest <= 77; - src <= 20; - when "01100100" => - dest <= 43; - src <= 35; - when "01100101" => - dest <= 36; - src <= 59; - when "01100110" => - dest <= 59; - src <= 59; - when "01100111" => - dest <= 32; - src <= 91; - when "01101000" => - dest <= 78; - src <= 44; - when "01101001" => - dest <= 21; - src <= 31; - when "01101010" => - dest <= 59; - src <= 59; - when "01101011" => - dest <= 66; - src <= 65; - when "01101100" => - dest <= 10; - src <= 72; - when "01101101" => - dest <= 59; - src <= 30; - when "01101110" => - dest <= 81; - src <= 76; - when "01101111" => - dest <= 59; - src <= 59; - when "01110000" => - dest <= 18; - src <= 87; - when "01110001" => - dest <= 72; - src <= 42; - when "01110010" => - dest <= 59; - src <= 59; - when "01110011" => - dest <= 59; - src <= 98; - when "01110100" => - dest <= 27; - src <= 59; - when "01110101" => - dest <= 63; - src <= 27; - when "01110110" => - dest <= 59; - src <= 59; - when "01110111" => - dest <= 9; - src <= 90; - when "01111000" => - dest <= 59; - src <= 36; - when "01111001" => - dest <= 54; - src <= 59; - when "01111010" => - dest <= 59; - src <= 59; - when "01111011" => - dest <= 59; - src <= 81; - when "01111100" => - dest <= 46; - src <= 45; - when "01111101" => - dest <= 42; - src <= 59; - when "01111110" => - dest <= 59; - src <= 9; - when "01111111" => - dest <= 31; - src <= 59; - when "10000000" => - dest <= 59; - src <= 54; - when "10000001" => - dest <= 20; - src <= 59; - when "10000010" => - dest <= 59; - src <= 62; - when "10000011" => - dest <= 80; - src <= 66; - when "10000100" => - dest <= 28; - src <= 59; - when "10000101" => - dest <= 71; - src <= 77; - when "10000110" => - dest <= 59; - src <= 59; - when "10000111" => - dest <= 37; - src <= 88; - when "10001000" => - dest <= 62; - src <= 59; - when "10001001" => - dest <= 19; - src <= 28; - when "10001010" => - dest <= 59; - src <= 80; - when "10001011" => - dest <= 53; - src <= 37; - when "10001100" => - dest <= 59; - src <= 59; - when "10001101" => - dest <= 59; - src <= 71; - when "10001110" => - dest <= 41; - src <= 46; - when "10001111" => - dest <= 30; - src <= 99; - when "10010000" => - dest <= 59; - src <= 59; - when "10010001" => - dest <= 38; - src <= 55; - when "10010010" => - dest <= 70; - src <= 59; - when "10010011" => - dest <= 29; - src <= 67; - when "10010100" => - dest <= 52; - src <= 78; - when "10010101" => - dest <= 59; - src <= 59; - when "10010110" => - dest <= 40; - src <= 70; - when "10010111" => - dest <= 39; - src <= 38; - when "10011000" => - dest <= 51; - src <= 89; - when "10011001" => - dest <= 59; - src <= 56; - when "10011010" => - dest <= 59; - src <= 68; - when "10011011" => - dest <= 4; - src <= 79; - when "10011100" => - dest <= 93; - src <= 57; - when others => - dest <= 59; - src <= 59; - - end case; - end process; - - end generate NI_NUM59; - - NI_NUM60 : if NI_NUM = 60 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 76; - src <= 60; - when "00000001" => - dest <= 80; - src <= 60; - when "00000010" => - dest <= 60; - src <= 60; - when "00000011" => - dest <= 50; - src <= 60; - when "00000100" => - dest <= 25; - src <= 60; - when "00000101" => - dest <= 16; - src <= 40; - when "00000110" => - dest <= 60; - src <= 70; - when "00000111" => - dest <= 6; - src <= 54; - when "00001000" => - dest <= 58; - src <= 60; - when "00001001" => - dest <= 60; - src <= 26; - when "00001010" => - dest <= 60; - src <= 15; - when "00001011" => - dest <= 70; - src <= 60; - when "00001100" => - dest <= 96; - src <= 60; - when "00001101" => - dest <= 5; - src <= 72; - when "00001110" => - dest <= 14; - src <= 50; - when "00001111" => - dest <= 90; - src <= 5; - when "00010000" => - dest <= 60; - src <= 14; - when "00010001" => - dest <= 60; - src <= 24; - when "00010010" => - dest <= 60; - src <= 60; - when "00010011" => - dest <= 56; - src <= 60; - when "00010100" => - dest <= 35; - src <= 30; - when "00010101" => - dest <= 60; - src <= 34; - when "00010110" => - dest <= 0; - src <= 60; - when "00010111" => - dest <= 59; - src <= 60; - when "00011000" => - dest <= 24; - src <= 25; - when "00011001" => - dest <= 17; - src <= 16; - when "00011010" => - dest <= 60; - src <= 74; - when "00011011" => - dest <= 7; - src <= 71; - when "00011100" => - dest <= 67; - src <= 20; - when "00011101" => - dest <= 60; - src <= 60; - when "00011110" => - dest <= 60; - src <= 95; - when "00011111" => - dest <= 97; - src <= 60; - when "00100000" => - dest <= 72; - src <= 60; - when "00100001" => - dest <= 26; - src <= 63; - when "00100010" => - dest <= 13; - src <= 6; - when "00100011" => - dest <= 95; - src <= 13; - when "00100100" => - dest <= 60; - src <= 23; - when "00100101" => - dest <= 71; - src <= 58; - when "00100110" => - dest <= 60; - src <= 60; - when "00100111" => - dest <= 86; - src <= 33; - when "00101000" => - dest <= 60; - src <= 60; - when "00101001" => - dest <= 60; - src <= 59; - when "00101010" => - dest <= 45; - src <= 60; - when "00101011" => - dest <= 1; - src <= 4; - when "00101100" => - dest <= 46; - src <= 17; - when "00101101" => - dest <= 69; - src <= 35; - when "00101110" => - dest <= 34; - src <= 60; - when "00101111" => - dest <= 60; - src <= 44; - when "00110000" => - dest <= 60; - src <= 61; - when "00110001" => - dest <= 23; - src <= 60; - when "00110010" => - dest <= 27; - src <= 29; - when "00110011" => - dest <= 60; - src <= 85; - when "00110100" => - dest <= 8; - src <= 84; - when "00110101" => - dest <= 68; - src <= 60; - when "00110110" => - dest <= 60; - src <= 60; - when "00110111" => - dest <= 60; - src <= 96; - when "00111000" => - dest <= 3; - src <= 60; - when "00111001" => - dest <= 36; - src <= 62; - when "00111010" => - dest <= 79; - src <= 7; - when "00111011" => - dest <= 12; - src <= 3; - when "00111100" => - dest <= 60; - src <= 22; - when "00111101" => - dest <= 94; - src <= 60; - when "00111110" => - dest <= 60; - src <= 51; - when "00111111" => - dest <= 85; - src <= 60; - when "01000000" => - dest <= 60; - src <= 60; - when "01000001" => - dest <= 66; - src <= 27; - when "01000010" => - dest <= 18; - src <= 94; - when "01000011" => - dest <= 60; - src <= 60; - when "01000100" => - dest <= 78; - src <= 18; - when "01000101" => - dest <= 60; - src <= 60; - when "01000110" => - dest <= 2; - src <= 36; - when "01000111" => - dest <= 55; - src <= 64; - when "01001000" => - dest <= 37; - src <= 45; - when "01001001" => - dest <= 60; - src <= 52; - when "01001010" => - dest <= 60; - src <= 60; - when "01001011" => - dest <= 60; - src <= 12; - when "01001100" => - dest <= 44; - src <= 60; - when "01001101" => - dest <= 11; - src <= 60; - when "01001110" => - dest <= 60; - src <= 28; - when "01001111" => - dest <= 87; - src <= 75; - when "01010000" => - dest <= 60; - src <= 93; - when "01010001" => - dest <= 98; - src <= 60; - when "01010010" => - dest <= 93; - src <= 60; - when "01010011" => - dest <= 33; - src <= 60; - when "01010100" => - dest <= 60; - src <= 86; - when "01010101" => - dest <= 60; - src <= 19; - when "01010110" => - dest <= 22; - src <= 43; - when "01010111" => - dest <= 60; - src <= 60; - when "01011000" => - dest <= 9; - src <= 32; - when "01011001" => - dest <= 61; - src <= 60; - when "01011010" => - dest <= 19; - src <= 37; - when "01011011" => - dest <= 60; - src <= 97; - when "01011100" => - dest <= 84; - src <= 69; - when "01011101" => - dest <= 99; - src <= 60; - when "01011110" => - dest <= 60; - src <= 8; - when "01011111" => - dest <= 28; - src <= 21; - when "01100000" => - dest <= 75; - src <= 60; - when "01100001" => - dest <= 60; - src <= 60; - when "01100010" => - dest <= 60; - src <= 11; - when "01100011" => - dest <= 88; - src <= 31; - when "01100100" => - dest <= 54; - src <= 46; - when "01100101" => - dest <= 47; - src <= 60; - when "01100110" => - dest <= 60; - src <= 60; - when "01100111" => - dest <= 43; - src <= 2; - when "01101000" => - dest <= 89; - src <= 55; - when "01101001" => - dest <= 32; - src <= 42; - when "01101010" => - dest <= 60; - src <= 60; - when "01101011" => - dest <= 77; - src <= 76; - when "01101100" => - dest <= 21; - src <= 83; - when "01101101" => - dest <= 60; - src <= 41; - when "01101110" => - dest <= 92; - src <= 87; - when "01101111" => - dest <= 60; - src <= 60; - when "01110000" => - dest <= 29; - src <= 98; - when "01110001" => - dest <= 83; - src <= 53; - when "01110010" => - dest <= 60; - src <= 60; - when "01110011" => - dest <= 60; - src <= 9; - when "01110100" => - dest <= 38; - src <= 60; - when "01110101" => - dest <= 74; - src <= 38; - when "01110110" => - dest <= 60; - src <= 60; - when "01110111" => - dest <= 10; - src <= 1; - when "01111000" => - dest <= 60; - src <= 47; - when "01111001" => - dest <= 65; - src <= 60; - when "01111010" => - dest <= 60; - src <= 60; - when "01111011" => - dest <= 60; - src <= 92; - when "01111100" => - dest <= 57; - src <= 56; - when "01111101" => - dest <= 53; - src <= 60; - when "01111110" => - dest <= 60; - src <= 10; - when "01111111" => - dest <= 42; - src <= 60; - when "10000000" => - dest <= 60; - src <= 65; - when "10000001" => - dest <= 31; - src <= 60; - when "10000010" => - dest <= 60; - src <= 73; - when "10000011" => - dest <= 91; - src <= 77; - when "10000100" => - dest <= 39; - src <= 60; - when "10000101" => - dest <= 82; - src <= 88; - when "10000110" => - dest <= 60; - src <= 60; - when "10000111" => - dest <= 48; - src <= 99; - when "10001000" => - dest <= 73; - src <= 60; - when "10001001" => - dest <= 20; - src <= 39; - when "10001010" => - dest <= 60; - src <= 91; - when "10001011" => - dest <= 64; - src <= 48; - when "10001100" => - dest <= 60; - src <= 60; - when "10001101" => - dest <= 60; - src <= 82; - when "10001110" => - dest <= 52; - src <= 57; - when "10001111" => - dest <= 41; - src <= 0; - when "10010000" => - dest <= 60; - src <= 60; - when "10010001" => - dest <= 49; - src <= 66; - when "10010010" => - dest <= 81; - src <= 60; - when "10010011" => - dest <= 30; - src <= 78; - when "10010100" => - dest <= 63; - src <= 89; - when "10010101" => - dest <= 60; - src <= 60; - when "10010110" => - dest <= 51; - src <= 81; - when "10010111" => - dest <= 40; - src <= 49; - when "10011000" => - dest <= 62; - src <= 90; - when "10011001" => - dest <= 60; - src <= 67; - when "10011010" => - dest <= 60; - src <= 79; - when "10011011" => - dest <= 15; - src <= 80; - when "10011100" => - dest <= 4; - src <= 68; - when others => - dest <= 60; - src <= 60; - - end case; - end process; - - end generate NI_NUM60; - - NI_NUM61 : if NI_NUM = 61 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 77; - src <= 61; - when "00000001" => - dest <= 81; - src <= 61; - when "00000010" => - dest <= 61; - src <= 61; - when "00000011" => - dest <= 51; - src <= 61; - when "00000100" => - dest <= 26; - src <= 61; - when "00000101" => - dest <= 17; - src <= 41; - when "00000110" => - dest <= 61; - src <= 71; - when "00000111" => - dest <= 7; - src <= 55; - when "00001000" => - dest <= 59; - src <= 61; - when "00001001" => - dest <= 61; - src <= 27; - when "00001010" => - dest <= 61; - src <= 16; - when "00001011" => - dest <= 71; - src <= 61; - when "00001100" => - dest <= 97; - src <= 61; - when "00001101" => - dest <= 6; - src <= 73; - when "00001110" => - dest <= 15; - src <= 51; - when "00001111" => - dest <= 91; - src <= 6; - when "00010000" => - dest <= 61; - src <= 15; - when "00010001" => - dest <= 61; - src <= 25; - when "00010010" => - dest <= 61; - src <= 61; - when "00010011" => - dest <= 57; - src <= 61; - when "00010100" => - dest <= 36; - src <= 31; - when "00010101" => - dest <= 61; - src <= 35; - when "00010110" => - dest <= 1; - src <= 61; - when "00010111" => - dest <= 50; - src <= 61; - when "00011000" => - dest <= 25; - src <= 26; - when "00011001" => - dest <= 18; - src <= 17; - when "00011010" => - dest <= 61; - src <= 75; - when "00011011" => - dest <= 8; - src <= 72; - when "00011100" => - dest <= 68; - src <= 21; - when "00011101" => - dest <= 61; - src <= 61; - when "00011110" => - dest <= 61; - src <= 96; - when "00011111" => - dest <= 98; - src <= 61; - when "00100000" => - dest <= 73; - src <= 61; - when "00100001" => - dest <= 27; - src <= 64; - when "00100010" => - dest <= 14; - src <= 7; - when "00100011" => - dest <= 96; - src <= 14; - when "00100100" => - dest <= 61; - src <= 24; - when "00100101" => - dest <= 72; - src <= 59; - when "00100110" => - dest <= 61; - src <= 61; - when "00100111" => - dest <= 87; - src <= 34; - when "00101000" => - dest <= 61; - src <= 61; - when "00101001" => - dest <= 61; - src <= 50; - when "00101010" => - dest <= 46; - src <= 61; - when "00101011" => - dest <= 2; - src <= 5; - when "00101100" => - dest <= 47; - src <= 18; - when "00101101" => - dest <= 60; - src <= 36; - when "00101110" => - dest <= 35; - src <= 61; - when "00101111" => - dest <= 61; - src <= 45; - when "00110000" => - dest <= 61; - src <= 62; - when "00110001" => - dest <= 24; - src <= 61; - when "00110010" => - dest <= 28; - src <= 20; - when "00110011" => - dest <= 61; - src <= 86; - when "00110100" => - dest <= 9; - src <= 85; - when "00110101" => - dest <= 69; - src <= 61; - when "00110110" => - dest <= 61; - src <= 61; - when "00110111" => - dest <= 61; - src <= 97; - when "00111000" => - dest <= 4; - src <= 61; - when "00111001" => - dest <= 37; - src <= 63; - when "00111010" => - dest <= 70; - src <= 8; - when "00111011" => - dest <= 13; - src <= 4; - when "00111100" => - dest <= 61; - src <= 23; - when "00111101" => - dest <= 95; - src <= 61; - when "00111110" => - dest <= 61; - src <= 52; - when "00111111" => - dest <= 86; - src <= 61; - when "01000000" => - dest <= 61; - src <= 61; - when "01000001" => - dest <= 67; - src <= 28; - when "01000010" => - dest <= 19; - src <= 95; - when "01000011" => - dest <= 61; - src <= 61; - when "01000100" => - dest <= 79; - src <= 19; - when "01000101" => - dest <= 61; - src <= 61; - when "01000110" => - dest <= 3; - src <= 37; - when "01000111" => - dest <= 56; - src <= 65; - when "01001000" => - dest <= 38; - src <= 46; - when "01001001" => - dest <= 61; - src <= 53; - when "01001010" => - dest <= 61; - src <= 61; - when "01001011" => - dest <= 61; - src <= 13; - when "01001100" => - dest <= 45; - src <= 61; - when "01001101" => - dest <= 12; - src <= 61; - when "01001110" => - dest <= 61; - src <= 29; - when "01001111" => - dest <= 88; - src <= 76; - when "01010000" => - dest <= 61; - src <= 94; - when "01010001" => - dest <= 99; - src <= 61; - when "01010010" => - dest <= 94; - src <= 61; - when "01010011" => - dest <= 34; - src <= 61; - when "01010100" => - dest <= 61; - src <= 87; - when "01010101" => - dest <= 61; - src <= 10; - when "01010110" => - dest <= 23; - src <= 44; - when "01010111" => - dest <= 61; - src <= 61; - when "01011000" => - dest <= 0; - src <= 33; - when "01011001" => - dest <= 62; - src <= 61; - when "01011010" => - dest <= 10; - src <= 38; - when "01011011" => - dest <= 61; - src <= 98; - when "01011100" => - dest <= 85; - src <= 60; - when "01011101" => - dest <= 90; - src <= 61; - when "01011110" => - dest <= 61; - src <= 9; - when "01011111" => - dest <= 29; - src <= 22; - when "01100000" => - dest <= 76; - src <= 61; - when "01100001" => - dest <= 61; - src <= 61; - when "01100010" => - dest <= 61; - src <= 12; - when "01100011" => - dest <= 89; - src <= 32; - when "01100100" => - dest <= 55; - src <= 47; - when "01100101" => - dest <= 48; - src <= 61; - when "01100110" => - dest <= 61; - src <= 61; - when "01100111" => - dest <= 44; - src <= 3; - when "01101000" => - dest <= 80; - src <= 56; - when "01101001" => - dest <= 33; - src <= 43; - when "01101010" => - dest <= 61; - src <= 61; - when "01101011" => - dest <= 78; - src <= 77; - when "01101100" => - dest <= 22; - src <= 84; - when "01101101" => - dest <= 61; - src <= 42; - when "01101110" => - dest <= 93; - src <= 88; - when "01101111" => - dest <= 61; - src <= 61; - when "01110000" => - dest <= 20; - src <= 99; - when "01110001" => - dest <= 84; - src <= 54; - when "01110010" => - dest <= 61; - src <= 61; - when "01110011" => - dest <= 61; - src <= 0; - when "01110100" => - dest <= 39; - src <= 61; - when "01110101" => - dest <= 75; - src <= 39; - when "01110110" => - dest <= 61; - src <= 61; - when "01110111" => - dest <= 11; - src <= 2; - when "01111000" => - dest <= 61; - src <= 48; - when "01111001" => - dest <= 66; - src <= 61; - when "01111010" => - dest <= 61; - src <= 61; - when "01111011" => - dest <= 61; - src <= 93; - when "01111100" => - dest <= 58; - src <= 57; - when "01111101" => - dest <= 54; - src <= 61; - when "01111110" => - dest <= 61; - src <= 11; - when "01111111" => - dest <= 43; - src <= 61; - when "10000000" => - dest <= 61; - src <= 66; - when "10000001" => - dest <= 32; - src <= 61; - when "10000010" => - dest <= 61; - src <= 74; - when "10000011" => - dest <= 92; - src <= 78; - when "10000100" => - dest <= 30; - src <= 61; - when "10000101" => - dest <= 83; - src <= 89; - when "10000110" => - dest <= 61; - src <= 61; - when "10000111" => - dest <= 49; - src <= 90; - when "10001000" => - dest <= 74; - src <= 61; - when "10001001" => - dest <= 21; - src <= 30; - when "10001010" => - dest <= 61; - src <= 92; - when "10001011" => - dest <= 65; - src <= 49; - when "10001100" => - dest <= 61; - src <= 61; - when "10001101" => - dest <= 61; - src <= 83; - when "10001110" => - dest <= 53; - src <= 58; - when "10001111" => - dest <= 42; - src <= 1; - when "10010000" => - dest <= 61; - src <= 61; - when "10010001" => - dest <= 40; - src <= 67; - when "10010010" => - dest <= 82; - src <= 61; - when "10010011" => - dest <= 31; - src <= 79; - when "10010100" => - dest <= 64; - src <= 80; - when "10010101" => - dest <= 61; - src <= 61; - when "10010110" => - dest <= 52; - src <= 82; - when "10010111" => - dest <= 41; - src <= 40; - when "10011000" => - dest <= 63; - src <= 91; - when "10011001" => - dest <= 61; - src <= 68; - when "10011010" => - dest <= 61; - src <= 70; - when "10011011" => - dest <= 16; - src <= 81; - when "10011100" => - dest <= 5; - src <= 69; - when others => - dest <= 61; - src <= 61; - - end case; - end process; - - end generate NI_NUM61; - - NI_NUM62 : if NI_NUM = 62 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 78; - src <= 62; - when "00000001" => - dest <= 82; - src <= 62; - when "00000010" => - dest <= 62; - src <= 62; - when "00000011" => - dest <= 52; - src <= 62; - when "00000100" => - dest <= 27; - src <= 62; - when "00000101" => - dest <= 18; - src <= 42; - when "00000110" => - dest <= 62; - src <= 72; - when "00000111" => - dest <= 8; - src <= 56; - when "00001000" => - dest <= 50; - src <= 62; - when "00001001" => - dest <= 62; - src <= 28; - when "00001010" => - dest <= 62; - src <= 17; - when "00001011" => - dest <= 72; - src <= 62; - when "00001100" => - dest <= 98; - src <= 62; - when "00001101" => - dest <= 7; - src <= 74; - when "00001110" => - dest <= 16; - src <= 52; - when "00001111" => - dest <= 92; - src <= 7; - when "00010000" => - dest <= 62; - src <= 16; - when "00010001" => - dest <= 62; - src <= 26; - when "00010010" => - dest <= 62; - src <= 62; - when "00010011" => - dest <= 58; - src <= 62; - when "00010100" => - dest <= 37; - src <= 32; - when "00010101" => - dest <= 62; - src <= 36; - when "00010110" => - dest <= 2; - src <= 62; - when "00010111" => - dest <= 51; - src <= 62; - when "00011000" => - dest <= 26; - src <= 27; - when "00011001" => - dest <= 19; - src <= 18; - when "00011010" => - dest <= 62; - src <= 76; - when "00011011" => - dest <= 9; - src <= 73; - when "00011100" => - dest <= 69; - src <= 22; - when "00011101" => - dest <= 62; - src <= 62; - when "00011110" => - dest <= 62; - src <= 97; - when "00011111" => - dest <= 99; - src <= 62; - when "00100000" => - dest <= 74; - src <= 62; - when "00100001" => - dest <= 28; - src <= 65; - when "00100010" => - dest <= 15; - src <= 8; - when "00100011" => - dest <= 97; - src <= 15; - when "00100100" => - dest <= 62; - src <= 25; - when "00100101" => - dest <= 73; - src <= 50; - when "00100110" => - dest <= 62; - src <= 62; - when "00100111" => - dest <= 88; - src <= 35; - when "00101000" => - dest <= 62; - src <= 62; - when "00101001" => - dest <= 62; - src <= 51; - when "00101010" => - dest <= 47; - src <= 62; - when "00101011" => - dest <= 3; - src <= 6; - when "00101100" => - dest <= 48; - src <= 19; - when "00101101" => - dest <= 61; - src <= 37; - when "00101110" => - dest <= 36; - src <= 62; - when "00101111" => - dest <= 62; - src <= 46; - when "00110000" => - dest <= 62; - src <= 63; - when "00110001" => - dest <= 25; - src <= 62; - when "00110010" => - dest <= 29; - src <= 21; - when "00110011" => - dest <= 62; - src <= 87; - when "00110100" => - dest <= 0; - src <= 86; - when "00110101" => - dest <= 60; - src <= 62; - when "00110110" => - dest <= 62; - src <= 62; - when "00110111" => - dest <= 62; - src <= 98; - when "00111000" => - dest <= 5; - src <= 62; - when "00111001" => - dest <= 38; - src <= 64; - when "00111010" => - dest <= 71; - src <= 9; - when "00111011" => - dest <= 14; - src <= 5; - when "00111100" => - dest <= 62; - src <= 24; - when "00111101" => - dest <= 96; - src <= 62; - when "00111110" => - dest <= 62; - src <= 53; - when "00111111" => - dest <= 87; - src <= 62; - when "01000000" => - dest <= 62; - src <= 62; - when "01000001" => - dest <= 68; - src <= 29; - when "01000010" => - dest <= 10; - src <= 96; - when "01000011" => - dest <= 62; - src <= 62; - when "01000100" => - dest <= 70; - src <= 10; - when "01000101" => - dest <= 62; - src <= 62; - when "01000110" => - dest <= 4; - src <= 38; - when "01000111" => - dest <= 57; - src <= 66; - when "01001000" => - dest <= 39; - src <= 47; - when "01001001" => - dest <= 62; - src <= 54; - when "01001010" => - dest <= 62; - src <= 62; - when "01001011" => - dest <= 62; - src <= 14; - when "01001100" => - dest <= 46; - src <= 62; - when "01001101" => - dest <= 13; - src <= 62; - when "01001110" => - dest <= 62; - src <= 20; - when "01001111" => - dest <= 89; - src <= 77; - when "01010000" => - dest <= 62; - src <= 95; - when "01010001" => - dest <= 90; - src <= 62; - when "01010010" => - dest <= 95; - src <= 62; - when "01010011" => - dest <= 35; - src <= 62; - when "01010100" => - dest <= 62; - src <= 88; - when "01010101" => - dest <= 62; - src <= 11; - when "01010110" => - dest <= 24; - src <= 45; - when "01010111" => - dest <= 62; - src <= 62; - when "01011000" => - dest <= 1; - src <= 34; - when "01011001" => - dest <= 63; - src <= 62; - when "01011010" => - dest <= 11; - src <= 39; - when "01011011" => - dest <= 62; - src <= 99; - when "01011100" => - dest <= 86; - src <= 61; - when "01011101" => - dest <= 91; - src <= 62; - when "01011110" => - dest <= 62; - src <= 0; - when "01011111" => - dest <= 20; - src <= 23; - when "01100000" => - dest <= 77; - src <= 62; - when "01100001" => - dest <= 62; - src <= 62; - when "01100010" => - dest <= 62; - src <= 13; - when "01100011" => - dest <= 80; - src <= 33; - when "01100100" => - dest <= 56; - src <= 48; - when "01100101" => - dest <= 49; - src <= 62; - when "01100110" => - dest <= 62; - src <= 62; - when "01100111" => - dest <= 45; - src <= 4; - when "01101000" => - dest <= 81; - src <= 57; - when "01101001" => - dest <= 34; - src <= 44; - when "01101010" => - dest <= 62; - src <= 62; - when "01101011" => - dest <= 79; - src <= 78; - when "01101100" => - dest <= 23; - src <= 85; - when "01101101" => - dest <= 62; - src <= 43; - when "01101110" => - dest <= 94; - src <= 89; - when "01101111" => - dest <= 62; - src <= 62; - when "01110000" => - dest <= 21; - src <= 90; - when "01110001" => - dest <= 85; - src <= 55; - when "01110010" => - dest <= 62; - src <= 62; - when "01110011" => - dest <= 62; - src <= 1; - when "01110100" => - dest <= 30; - src <= 62; - when "01110101" => - dest <= 76; - src <= 30; - when "01110110" => - dest <= 62; - src <= 62; - when "01110111" => - dest <= 12; - src <= 3; - when "01111000" => - dest <= 62; - src <= 49; - when "01111001" => - dest <= 67; - src <= 62; - when "01111010" => - dest <= 62; - src <= 62; - when "01111011" => - dest <= 62; - src <= 94; - when "01111100" => - dest <= 59; - src <= 58; - when "01111101" => - dest <= 55; - src <= 62; - when "01111110" => - dest <= 62; - src <= 12; - when "01111111" => - dest <= 44; - src <= 62; - when "10000000" => - dest <= 62; - src <= 67; - when "10000001" => - dest <= 33; - src <= 62; - when "10000010" => - dest <= 62; - src <= 75; - when "10000011" => - dest <= 93; - src <= 79; - when "10000100" => - dest <= 31; - src <= 62; - when "10000101" => - dest <= 84; - src <= 80; - when "10000110" => - dest <= 62; - src <= 62; - when "10000111" => - dest <= 40; - src <= 91; - when "10001000" => - dest <= 75; - src <= 62; - when "10001001" => - dest <= 22; - src <= 31; - when "10001010" => - dest <= 62; - src <= 93; - when "10001011" => - dest <= 66; - src <= 40; - when "10001100" => - dest <= 62; - src <= 62; - when "10001101" => - dest <= 62; - src <= 84; - when "10001110" => - dest <= 54; - src <= 59; - when "10001111" => - dest <= 43; - src <= 2; - when "10010000" => - dest <= 62; - src <= 62; - when "10010001" => - dest <= 41; - src <= 68; - when "10010010" => - dest <= 83; - src <= 62; - when "10010011" => - dest <= 32; - src <= 70; - when "10010100" => - dest <= 65; - src <= 81; - when "10010101" => - dest <= 62; - src <= 62; - when "10010110" => - dest <= 53; - src <= 83; - when "10010111" => - dest <= 42; - src <= 41; - when "10011000" => - dest <= 64; - src <= 92; - when "10011001" => - dest <= 62; - src <= 69; - when "10011010" => - dest <= 62; - src <= 71; - when "10011011" => - dest <= 17; - src <= 82; - when "10011100" => - dest <= 6; - src <= 60; - when others => - dest <= 62; - src <= 62; - - end case; - end process; - - end generate NI_NUM62; - - NI_NUM63 : if NI_NUM = 63 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 79; - src <= 63; - when "00000001" => - dest <= 83; - src <= 63; - when "00000010" => - dest <= 63; - src <= 63; - when "00000011" => - dest <= 53; - src <= 63; - when "00000100" => - dest <= 28; - src <= 63; - when "00000101" => - dest <= 19; - src <= 43; - when "00000110" => - dest <= 63; - src <= 73; - when "00000111" => - dest <= 9; - src <= 57; - when "00001000" => - dest <= 51; - src <= 63; - when "00001001" => - dest <= 63; - src <= 29; - when "00001010" => - dest <= 63; - src <= 18; - when "00001011" => - dest <= 73; - src <= 63; - when "00001100" => - dest <= 99; - src <= 63; - when "00001101" => - dest <= 8; - src <= 75; - when "00001110" => - dest <= 17; - src <= 53; - when "00001111" => - dest <= 93; - src <= 8; - when "00010000" => - dest <= 63; - src <= 17; - when "00010001" => - dest <= 63; - src <= 27; - when "00010010" => - dest <= 63; - src <= 63; - when "00010011" => - dest <= 59; - src <= 63; - when "00010100" => - dest <= 38; - src <= 33; - when "00010101" => - dest <= 63; - src <= 37; - when "00010110" => - dest <= 3; - src <= 63; - when "00010111" => - dest <= 52; - src <= 63; - when "00011000" => - dest <= 27; - src <= 28; - when "00011001" => - dest <= 10; - src <= 19; - when "00011010" => - dest <= 63; - src <= 77; - when "00011011" => - dest <= 0; - src <= 74; - when "00011100" => - dest <= 60; - src <= 23; - when "00011101" => - dest <= 63; - src <= 63; - when "00011110" => - dest <= 63; - src <= 98; - when "00011111" => - dest <= 90; - src <= 63; - when "00100000" => - dest <= 75; - src <= 63; - when "00100001" => - dest <= 29; - src <= 66; - when "00100010" => - dest <= 16; - src <= 9; - when "00100011" => - dest <= 98; - src <= 16; - when "00100100" => - dest <= 63; - src <= 26; - when "00100101" => - dest <= 74; - src <= 51; - when "00100110" => - dest <= 63; - src <= 63; - when "00100111" => - dest <= 89; - src <= 36; - when "00101000" => - dest <= 63; - src <= 63; - when "00101001" => - dest <= 63; - src <= 52; - when "00101010" => - dest <= 48; - src <= 63; - when "00101011" => - dest <= 4; - src <= 7; - when "00101100" => - dest <= 49; - src <= 10; - when "00101101" => - dest <= 62; - src <= 38; - when "00101110" => - dest <= 37; - src <= 63; - when "00101111" => - dest <= 63; - src <= 47; - when "00110000" => - dest <= 63; - src <= 64; - when "00110001" => - dest <= 26; - src <= 63; - when "00110010" => - dest <= 20; - src <= 22; - when "00110011" => - dest <= 63; - src <= 88; - when "00110100" => - dest <= 1; - src <= 87; - when "00110101" => - dest <= 61; - src <= 63; - when "00110110" => - dest <= 63; - src <= 63; - when "00110111" => - dest <= 63; - src <= 99; - when "00111000" => - dest <= 6; - src <= 63; - when "00111001" => - dest <= 39; - src <= 65; - when "00111010" => - dest <= 72; - src <= 0; - when "00111011" => - dest <= 15; - src <= 6; - when "00111100" => - dest <= 63; - src <= 25; - when "00111101" => - dest <= 97; - src <= 63; - when "00111110" => - dest <= 63; - src <= 54; - when "00111111" => - dest <= 88; - src <= 63; - when "01000000" => - dest <= 63; - src <= 63; - when "01000001" => - dest <= 69; - src <= 20; - when "01000010" => - dest <= 11; - src <= 97; - when "01000011" => - dest <= 63; - src <= 63; - when "01000100" => - dest <= 71; - src <= 11; - when "01000101" => - dest <= 63; - src <= 63; - when "01000110" => - dest <= 5; - src <= 39; - when "01000111" => - dest <= 58; - src <= 67; - when "01001000" => - dest <= 30; - src <= 48; - when "01001001" => - dest <= 63; - src <= 55; - when "01001010" => - dest <= 63; - src <= 63; - when "01001011" => - dest <= 63; - src <= 15; - when "01001100" => - dest <= 47; - src <= 63; - when "01001101" => - dest <= 14; - src <= 63; - when "01001110" => - dest <= 63; - src <= 21; - when "01001111" => - dest <= 80; - src <= 78; - when "01010000" => - dest <= 63; - src <= 96; - when "01010001" => - dest <= 91; - src <= 63; - when "01010010" => - dest <= 96; - src <= 63; - when "01010011" => - dest <= 36; - src <= 63; - when "01010100" => - dest <= 63; - src <= 89; - when "01010101" => - dest <= 63; - src <= 12; - when "01010110" => - dest <= 25; - src <= 46; - when "01010111" => - dest <= 63; - src <= 63; - when "01011000" => - dest <= 2; - src <= 35; - when "01011001" => - dest <= 64; - src <= 63; - when "01011010" => - dest <= 12; - src <= 30; - when "01011011" => - dest <= 63; - src <= 90; - when "01011100" => - dest <= 87; - src <= 62; - when "01011101" => - dest <= 92; - src <= 63; - when "01011110" => - dest <= 63; - src <= 1; - when "01011111" => - dest <= 21; - src <= 24; - when "01100000" => - dest <= 78; - src <= 63; - when "01100001" => - dest <= 63; - src <= 63; - when "01100010" => - dest <= 63; - src <= 14; - when "01100011" => - dest <= 81; - src <= 34; - when "01100100" => - dest <= 57; - src <= 49; - when "01100101" => - dest <= 40; - src <= 63; - when "01100110" => - dest <= 63; - src <= 63; - when "01100111" => - dest <= 46; - src <= 5; - when "01101000" => - dest <= 82; - src <= 58; - when "01101001" => - dest <= 35; - src <= 45; - when "01101010" => - dest <= 63; - src <= 63; - when "01101011" => - dest <= 70; - src <= 79; - when "01101100" => - dest <= 24; - src <= 86; - when "01101101" => - dest <= 63; - src <= 44; - when "01101110" => - dest <= 95; - src <= 80; - when "01101111" => - dest <= 63; - src <= 63; - when "01110000" => - dest <= 22; - src <= 91; - when "01110001" => - dest <= 86; - src <= 56; - when "01110010" => - dest <= 63; - src <= 63; - when "01110011" => - dest <= 63; - src <= 2; - when "01110100" => - dest <= 31; - src <= 63; - when "01110101" => - dest <= 77; - src <= 31; - when "01110110" => - dest <= 63; - src <= 63; - when "01110111" => - dest <= 13; - src <= 4; - when "01111000" => - dest <= 63; - src <= 40; - when "01111001" => - dest <= 68; - src <= 63; - when "01111010" => - dest <= 63; - src <= 63; - when "01111011" => - dest <= 63; - src <= 95; - when "01111100" => - dest <= 50; - src <= 59; - when "01111101" => - dest <= 56; - src <= 63; - when "01111110" => - dest <= 63; - src <= 13; - when "01111111" => - dest <= 45; - src <= 63; - when "10000000" => - dest <= 63; - src <= 68; - when "10000001" => - dest <= 34; - src <= 63; - when "10000010" => - dest <= 63; - src <= 76; - when "10000011" => - dest <= 94; - src <= 70; - when "10000100" => - dest <= 32; - src <= 63; - when "10000101" => - dest <= 85; - src <= 81; - when "10000110" => - dest <= 63; - src <= 63; - when "10000111" => - dest <= 41; - src <= 92; - when "10001000" => - dest <= 76; - src <= 63; - when "10001001" => - dest <= 23; - src <= 32; - when "10001010" => - dest <= 63; - src <= 94; - when "10001011" => - dest <= 67; - src <= 41; - when "10001100" => - dest <= 63; - src <= 63; - when "10001101" => - dest <= 63; - src <= 85; - when "10001110" => - dest <= 55; - src <= 50; - when "10001111" => - dest <= 44; - src <= 3; - when "10010000" => - dest <= 63; - src <= 63; - when "10010001" => - dest <= 42; - src <= 69; - when "10010010" => - dest <= 84; - src <= 63; - when "10010011" => - dest <= 33; - src <= 71; - when "10010100" => - dest <= 66; - src <= 82; - when "10010101" => - dest <= 63; - src <= 63; - when "10010110" => - dest <= 54; - src <= 84; - when "10010111" => - dest <= 43; - src <= 42; - when "10011000" => - dest <= 65; - src <= 93; - when "10011001" => - dest <= 63; - src <= 60; - when "10011010" => - dest <= 63; - src <= 72; - when "10011011" => - dest <= 18; - src <= 83; - when "10011100" => - dest <= 7; - src <= 61; - when others => - dest <= 63; - src <= 63; - - end case; - end process; - - end generate NI_NUM63; - - NI_NUM64 : if NI_NUM = 64 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 70; - src <= 64; - when "00000001" => - dest <= 84; - src <= 64; - when "00000010" => - dest <= 64; - src <= 64; - when "00000011" => - dest <= 54; - src <= 64; - when "00000100" => - dest <= 29; - src <= 64; - when "00000101" => - dest <= 10; - src <= 44; - when "00000110" => - dest <= 64; - src <= 74; - when "00000111" => - dest <= 0; - src <= 58; - when "00001000" => - dest <= 52; - src <= 64; - when "00001001" => - dest <= 64; - src <= 20; - when "00001010" => - dest <= 64; - src <= 19; - when "00001011" => - dest <= 74; - src <= 64; - when "00001100" => - dest <= 90; - src <= 64; - when "00001101" => - dest <= 9; - src <= 76; - when "00001110" => - dest <= 18; - src <= 54; - when "00001111" => - dest <= 94; - src <= 9; - when "00010000" => - dest <= 64; - src <= 18; - when "00010001" => - dest <= 64; - src <= 28; - when "00010010" => - dest <= 64; - src <= 64; - when "00010011" => - dest <= 50; - src <= 64; - when "00010100" => - dest <= 39; - src <= 34; - when "00010101" => - dest <= 64; - src <= 38; - when "00010110" => - dest <= 4; - src <= 64; - when "00010111" => - dest <= 53; - src <= 64; - when "00011000" => - dest <= 28; - src <= 29; - when "00011001" => - dest <= 11; - src <= 10; - when "00011010" => - dest <= 64; - src <= 78; - when "00011011" => - dest <= 1; - src <= 75; - when "00011100" => - dest <= 61; - src <= 24; - when "00011101" => - dest <= 64; - src <= 64; - when "00011110" => - dest <= 64; - src <= 99; - when "00011111" => - dest <= 91; - src <= 64; - when "00100000" => - dest <= 76; - src <= 64; - when "00100001" => - dest <= 20; - src <= 67; - when "00100010" => - dest <= 17; - src <= 0; - when "00100011" => - dest <= 99; - src <= 17; - when "00100100" => - dest <= 64; - src <= 27; - when "00100101" => - dest <= 75; - src <= 52; - when "00100110" => - dest <= 64; - src <= 64; - when "00100111" => - dest <= 80; - src <= 37; - when "00101000" => - dest <= 64; - src <= 64; - when "00101001" => - dest <= 64; - src <= 53; - when "00101010" => - dest <= 49; - src <= 64; - when "00101011" => - dest <= 5; - src <= 8; - when "00101100" => - dest <= 40; - src <= 11; - when "00101101" => - dest <= 63; - src <= 39; - when "00101110" => - dest <= 38; - src <= 64; - when "00101111" => - dest <= 64; - src <= 48; - when "00110000" => - dest <= 64; - src <= 65; - when "00110001" => - dest <= 27; - src <= 64; - when "00110010" => - dest <= 21; - src <= 23; - when "00110011" => - dest <= 64; - src <= 89; - when "00110100" => - dest <= 2; - src <= 88; - when "00110101" => - dest <= 62; - src <= 64; - when "00110110" => - dest <= 64; - src <= 64; - when "00110111" => - dest <= 64; - src <= 90; - when "00111000" => - dest <= 7; - src <= 64; - when "00111001" => - dest <= 30; - src <= 66; - when "00111010" => - dest <= 73; - src <= 1; - when "00111011" => - dest <= 16; - src <= 7; - when "00111100" => - dest <= 64; - src <= 26; - when "00111101" => - dest <= 98; - src <= 64; - when "00111110" => - dest <= 64; - src <= 55; - when "00111111" => - dest <= 89; - src <= 64; - when "01000000" => - dest <= 64; - src <= 64; - when "01000001" => - dest <= 60; - src <= 21; - when "01000010" => - dest <= 12; - src <= 98; - when "01000011" => - dest <= 64; - src <= 64; - when "01000100" => - dest <= 72; - src <= 12; - when "01000101" => - dest <= 64; - src <= 64; - when "01000110" => - dest <= 6; - src <= 30; - when "01000111" => - dest <= 59; - src <= 68; - when "01001000" => - dest <= 31; - src <= 49; - when "01001001" => - dest <= 64; - src <= 56; - when "01001010" => - dest <= 64; - src <= 64; - when "01001011" => - dest <= 64; - src <= 16; - when "01001100" => - dest <= 48; - src <= 64; - when "01001101" => - dest <= 15; - src <= 64; - when "01001110" => - dest <= 64; - src <= 22; - when "01001111" => - dest <= 81; - src <= 79; - when "01010000" => - dest <= 64; - src <= 97; - when "01010001" => - dest <= 92; - src <= 64; - when "01010010" => - dest <= 97; - src <= 64; - when "01010011" => - dest <= 37; - src <= 64; - when "01010100" => - dest <= 64; - src <= 80; - when "01010101" => - dest <= 64; - src <= 13; - when "01010110" => - dest <= 26; - src <= 47; - when "01010111" => - dest <= 64; - src <= 64; - when "01011000" => - dest <= 3; - src <= 36; - when "01011001" => - dest <= 65; - src <= 64; - when "01011010" => - dest <= 13; - src <= 31; - when "01011011" => - dest <= 64; - src <= 91; - when "01011100" => - dest <= 88; - src <= 63; - when "01011101" => - dest <= 93; - src <= 64; - when "01011110" => - dest <= 64; - src <= 2; - when "01011111" => - dest <= 22; - src <= 25; - when "01100000" => - dest <= 79; - src <= 64; - when "01100001" => - dest <= 64; - src <= 64; - when "01100010" => - dest <= 64; - src <= 15; - when "01100011" => - dest <= 82; - src <= 35; - when "01100100" => - dest <= 58; - src <= 40; - when "01100101" => - dest <= 41; - src <= 64; - when "01100110" => - dest <= 64; - src <= 64; - when "01100111" => - dest <= 47; - src <= 6; - when "01101000" => - dest <= 83; - src <= 59; - when "01101001" => - dest <= 36; - src <= 46; - when "01101010" => - dest <= 64; - src <= 64; - when "01101011" => - dest <= 71; - src <= 70; - when "01101100" => - dest <= 25; - src <= 87; - when "01101101" => - dest <= 64; - src <= 45; - when "01101110" => - dest <= 96; - src <= 81; - when "01101111" => - dest <= 64; - src <= 64; - when "01110000" => - dest <= 23; - src <= 92; - when "01110001" => - dest <= 87; - src <= 57; - when "01110010" => - dest <= 64; - src <= 64; - when "01110011" => - dest <= 64; - src <= 3; - when "01110100" => - dest <= 32; - src <= 64; - when "01110101" => - dest <= 78; - src <= 32; - when "01110110" => - dest <= 64; - src <= 64; - when "01110111" => - dest <= 14; - src <= 5; - when "01111000" => - dest <= 64; - src <= 41; - when "01111001" => - dest <= 69; - src <= 64; - when "01111010" => - dest <= 64; - src <= 64; - when "01111011" => - dest <= 64; - src <= 96; - when "01111100" => - dest <= 51; - src <= 50; - when "01111101" => - dest <= 57; - src <= 64; - when "01111110" => - dest <= 64; - src <= 14; - when "01111111" => - dest <= 46; - src <= 64; - when "10000000" => - dest <= 64; - src <= 69; - when "10000001" => - dest <= 35; - src <= 64; - when "10000010" => - dest <= 64; - src <= 77; - when "10000011" => - dest <= 95; - src <= 71; - when "10000100" => - dest <= 33; - src <= 64; - when "10000101" => - dest <= 86; - src <= 82; - when "10000110" => - dest <= 64; - src <= 64; - when "10000111" => - dest <= 42; - src <= 93; - when "10001000" => - dest <= 77; - src <= 64; - when "10001001" => - dest <= 24; - src <= 33; - when "10001010" => - dest <= 64; - src <= 95; - when "10001011" => - dest <= 68; - src <= 42; - when "10001100" => - dest <= 64; - src <= 64; - when "10001101" => - dest <= 64; - src <= 86; - when "10001110" => - dest <= 56; - src <= 51; - when "10001111" => - dest <= 45; - src <= 4; - when "10010000" => - dest <= 64; - src <= 64; - when "10010001" => - dest <= 43; - src <= 60; - when "10010010" => - dest <= 85; - src <= 64; - when "10010011" => - dest <= 34; - src <= 72; - when "10010100" => - dest <= 67; - src <= 83; - when "10010101" => - dest <= 64; - src <= 64; - when "10010110" => - dest <= 55; - src <= 85; - when "10010111" => - dest <= 44; - src <= 43; - when "10011000" => - dest <= 66; - src <= 94; - when "10011001" => - dest <= 64; - src <= 61; - when "10011010" => - dest <= 64; - src <= 73; - when "10011011" => - dest <= 19; - src <= 84; - when "10011100" => - dest <= 8; - src <= 62; - when others => - dest <= 64; - src <= 64; - - end case; - end process; - - end generate NI_NUM64; - - NI_NUM65 : if NI_NUM = 65 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 71; - src <= 65; - when "00000001" => - dest <= 85; - src <= 65; - when "00000010" => - dest <= 65; - src <= 65; - when "00000011" => - dest <= 55; - src <= 65; - when "00000100" => - dest <= 20; - src <= 65; - when "00000101" => - dest <= 11; - src <= 45; - when "00000110" => - dest <= 65; - src <= 75; - when "00000111" => - dest <= 1; - src <= 59; - when "00001000" => - dest <= 53; - src <= 65; - when "00001001" => - dest <= 65; - src <= 21; - when "00001010" => - dest <= 65; - src <= 10; - when "00001011" => - dest <= 75; - src <= 65; - when "00001100" => - dest <= 91; - src <= 65; - when "00001101" => - dest <= 0; - src <= 77; - when "00001110" => - dest <= 19; - src <= 55; - when "00001111" => - dest <= 95; - src <= 0; - when "00010000" => - dest <= 65; - src <= 19; - when "00010001" => - dest <= 65; - src <= 29; - when "00010010" => - dest <= 65; - src <= 65; - when "00010011" => - dest <= 51; - src <= 65; - when "00010100" => - dest <= 30; - src <= 35; - when "00010101" => - dest <= 65; - src <= 39; - when "00010110" => - dest <= 5; - src <= 65; - when "00010111" => - dest <= 54; - src <= 65; - when "00011000" => - dest <= 29; - src <= 20; - when "00011001" => - dest <= 12; - src <= 11; - when "00011010" => - dest <= 65; - src <= 79; - when "00011011" => - dest <= 2; - src <= 76; - when "00011100" => - dest <= 62; - src <= 25; - when "00011101" => - dest <= 65; - src <= 65; - when "00011110" => - dest <= 65; - src <= 90; - when "00011111" => - dest <= 92; - src <= 65; - when "00100000" => - dest <= 77; - src <= 65; - when "00100001" => - dest <= 21; - src <= 68; - when "00100010" => - dest <= 18; - src <= 1; - when "00100011" => - dest <= 90; - src <= 18; - when "00100100" => - dest <= 65; - src <= 28; - when "00100101" => - dest <= 76; - src <= 53; - when "00100110" => - dest <= 65; - src <= 65; - when "00100111" => - dest <= 81; - src <= 38; - when "00101000" => - dest <= 65; - src <= 65; - when "00101001" => - dest <= 65; - src <= 54; - when "00101010" => - dest <= 40; - src <= 65; - when "00101011" => - dest <= 6; - src <= 9; - when "00101100" => - dest <= 41; - src <= 12; - when "00101101" => - dest <= 64; - src <= 30; - when "00101110" => - dest <= 39; - src <= 65; - when "00101111" => - dest <= 65; - src <= 49; - when "00110000" => - dest <= 65; - src <= 66; - when "00110001" => - dest <= 28; - src <= 65; - when "00110010" => - dest <= 22; - src <= 24; - when "00110011" => - dest <= 65; - src <= 80; - when "00110100" => - dest <= 3; - src <= 89; - when "00110101" => - dest <= 63; - src <= 65; - when "00110110" => - dest <= 65; - src <= 65; - when "00110111" => - dest <= 65; - src <= 91; - when "00111000" => - dest <= 8; - src <= 65; - when "00111001" => - dest <= 31; - src <= 67; - when "00111010" => - dest <= 74; - src <= 2; - when "00111011" => - dest <= 17; - src <= 8; - when "00111100" => - dest <= 65; - src <= 27; - when "00111101" => - dest <= 99; - src <= 65; - when "00111110" => - dest <= 65; - src <= 56; - when "00111111" => - dest <= 80; - src <= 65; - when "01000000" => - dest <= 65; - src <= 65; - when "01000001" => - dest <= 61; - src <= 22; - when "01000010" => - dest <= 13; - src <= 99; - when "01000011" => - dest <= 65; - src <= 65; - when "01000100" => - dest <= 73; - src <= 13; - when "01000101" => - dest <= 65; - src <= 65; - when "01000110" => - dest <= 7; - src <= 31; - when "01000111" => - dest <= 50; - src <= 69; - when "01001000" => - dest <= 32; - src <= 40; - when "01001001" => - dest <= 65; - src <= 57; - when "01001010" => - dest <= 65; - src <= 65; - when "01001011" => - dest <= 65; - src <= 17; - when "01001100" => - dest <= 49; - src <= 65; - when "01001101" => - dest <= 16; - src <= 65; - when "01001110" => - dest <= 65; - src <= 23; - when "01001111" => - dest <= 82; - src <= 70; - when "01010000" => - dest <= 65; - src <= 98; - when "01010001" => - dest <= 93; - src <= 65; - when "01010010" => - dest <= 98; - src <= 65; - when "01010011" => - dest <= 38; - src <= 65; - when "01010100" => - dest <= 65; - src <= 81; - when "01010101" => - dest <= 65; - src <= 14; - when "01010110" => - dest <= 27; - src <= 48; - when "01010111" => - dest <= 65; - src <= 65; - when "01011000" => - dest <= 4; - src <= 37; - when "01011001" => - dest <= 66; - src <= 65; - when "01011010" => - dest <= 14; - src <= 32; - when "01011011" => - dest <= 65; - src <= 92; - when "01011100" => - dest <= 89; - src <= 64; - when "01011101" => - dest <= 94; - src <= 65; - when "01011110" => - dest <= 65; - src <= 3; - when "01011111" => - dest <= 23; - src <= 26; - when "01100000" => - dest <= 70; - src <= 65; - when "01100001" => - dest <= 65; - src <= 65; - when "01100010" => - dest <= 65; - src <= 16; - when "01100011" => - dest <= 83; - src <= 36; - when "01100100" => - dest <= 59; - src <= 41; - when "01100101" => - dest <= 42; - src <= 65; - when "01100110" => - dest <= 65; - src <= 65; - when "01100111" => - dest <= 48; - src <= 7; - when "01101000" => - dest <= 84; - src <= 50; - when "01101001" => - dest <= 37; - src <= 47; - when "01101010" => - dest <= 65; - src <= 65; - when "01101011" => - dest <= 72; - src <= 71; - when "01101100" => - dest <= 26; - src <= 88; - when "01101101" => - dest <= 65; - src <= 46; - when "01101110" => - dest <= 97; - src <= 82; - when "01101111" => - dest <= 65; - src <= 65; - when "01110000" => - dest <= 24; - src <= 93; - when "01110001" => - dest <= 88; - src <= 58; - when "01110010" => - dest <= 65; - src <= 65; - when "01110011" => - dest <= 65; - src <= 4; - when "01110100" => - dest <= 33; - src <= 65; - when "01110101" => - dest <= 79; - src <= 33; - when "01110110" => - dest <= 65; - src <= 65; - when "01110111" => - dest <= 15; - src <= 6; - when "01111000" => - dest <= 65; - src <= 42; - when "01111001" => - dest <= 60; - src <= 65; - when "01111010" => - dest <= 65; - src <= 65; - when "01111011" => - dest <= 65; - src <= 97; - when "01111100" => - dest <= 52; - src <= 51; - when "01111101" => - dest <= 58; - src <= 65; - when "01111110" => - dest <= 65; - src <= 15; - when "01111111" => - dest <= 47; - src <= 65; - when "10000000" => - dest <= 65; - src <= 60; - when "10000001" => - dest <= 36; - src <= 65; - when "10000010" => - dest <= 65; - src <= 78; - when "10000011" => - dest <= 96; - src <= 72; - when "10000100" => - dest <= 34; - src <= 65; - when "10000101" => - dest <= 87; - src <= 83; - when "10000110" => - dest <= 65; - src <= 65; - when "10000111" => - dest <= 43; - src <= 94; - when "10001000" => - dest <= 78; - src <= 65; - when "10001001" => - dest <= 25; - src <= 34; - when "10001010" => - dest <= 65; - src <= 96; - when "10001011" => - dest <= 69; - src <= 43; - when "10001100" => - dest <= 65; - src <= 65; - when "10001101" => - dest <= 65; - src <= 87; - when "10001110" => - dest <= 57; - src <= 52; - when "10001111" => - dest <= 46; - src <= 5; - when "10010000" => - dest <= 65; - src <= 65; - when "10010001" => - dest <= 44; - src <= 61; - when "10010010" => - dest <= 86; - src <= 65; - when "10010011" => - dest <= 35; - src <= 73; - when "10010100" => - dest <= 68; - src <= 84; - when "10010101" => - dest <= 65; - src <= 65; - when "10010110" => - dest <= 56; - src <= 86; - when "10010111" => - dest <= 45; - src <= 44; - when "10011000" => - dest <= 67; - src <= 95; - when "10011001" => - dest <= 65; - src <= 62; - when "10011010" => - dest <= 65; - src <= 74; - when "10011011" => - dest <= 10; - src <= 85; - when "10011100" => - dest <= 9; - src <= 63; - when others => - dest <= 65; - src <= 65; - - end case; - end process; - - end generate NI_NUM65; - - NI_NUM66 : if NI_NUM = 66 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 72; - src <= 66; - when "00000001" => - dest <= 86; - src <= 66; - when "00000010" => - dest <= 66; - src <= 66; - when "00000011" => - dest <= 56; - src <= 66; - when "00000100" => - dest <= 21; - src <= 66; - when "00000101" => - dest <= 12; - src <= 46; - when "00000110" => - dest <= 66; - src <= 76; - when "00000111" => - dest <= 2; - src <= 50; - when "00001000" => - dest <= 54; - src <= 66; - when "00001001" => - dest <= 66; - src <= 22; - when "00001010" => - dest <= 66; - src <= 11; - when "00001011" => - dest <= 76; - src <= 66; - when "00001100" => - dest <= 92; - src <= 66; - when "00001101" => - dest <= 1; - src <= 78; - when "00001110" => - dest <= 10; - src <= 56; - when "00001111" => - dest <= 96; - src <= 1; - when "00010000" => - dest <= 66; - src <= 10; - when "00010001" => - dest <= 66; - src <= 20; - when "00010010" => - dest <= 66; - src <= 66; - when "00010011" => - dest <= 52; - src <= 66; - when "00010100" => - dest <= 31; - src <= 36; - when "00010101" => - dest <= 66; - src <= 30; - when "00010110" => - dest <= 6; - src <= 66; - when "00010111" => - dest <= 55; - src <= 66; - when "00011000" => - dest <= 20; - src <= 21; - when "00011001" => - dest <= 13; - src <= 12; - when "00011010" => - dest <= 66; - src <= 70; - when "00011011" => - dest <= 3; - src <= 77; - when "00011100" => - dest <= 63; - src <= 26; - when "00011101" => - dest <= 66; - src <= 66; - when "00011110" => - dest <= 66; - src <= 91; - when "00011111" => - dest <= 93; - src <= 66; - when "00100000" => - dest <= 78; - src <= 66; - when "00100001" => - dest <= 22; - src <= 69; - when "00100010" => - dest <= 19; - src <= 2; - when "00100011" => - dest <= 91; - src <= 19; - when "00100100" => - dest <= 66; - src <= 29; - when "00100101" => - dest <= 77; - src <= 54; - when "00100110" => - dest <= 66; - src <= 66; - when "00100111" => - dest <= 82; - src <= 39; - when "00101000" => - dest <= 66; - src <= 66; - when "00101001" => - dest <= 66; - src <= 55; - when "00101010" => - dest <= 41; - src <= 66; - when "00101011" => - dest <= 7; - src <= 0; - when "00101100" => - dest <= 42; - src <= 13; - when "00101101" => - dest <= 65; - src <= 31; - when "00101110" => - dest <= 30; - src <= 66; - when "00101111" => - dest <= 66; - src <= 40; - when "00110000" => - dest <= 66; - src <= 67; - when "00110001" => - dest <= 29; - src <= 66; - when "00110010" => - dest <= 23; - src <= 25; - when "00110011" => - dest <= 66; - src <= 81; - when "00110100" => - dest <= 4; - src <= 80; - when "00110101" => - dest <= 64; - src <= 66; - when "00110110" => - dest <= 66; - src <= 66; - when "00110111" => - dest <= 66; - src <= 92; - when "00111000" => - dest <= 9; - src <= 66; - when "00111001" => - dest <= 32; - src <= 68; - when "00111010" => - dest <= 75; - src <= 3; - when "00111011" => - dest <= 18; - src <= 9; - when "00111100" => - dest <= 66; - src <= 28; - when "00111101" => - dest <= 90; - src <= 66; - when "00111110" => - dest <= 66; - src <= 57; - when "00111111" => - dest <= 81; - src <= 66; - when "01000000" => - dest <= 66; - src <= 66; - when "01000001" => - dest <= 62; - src <= 23; - when "01000010" => - dest <= 14; - src <= 90; - when "01000011" => - dest <= 66; - src <= 66; - when "01000100" => - dest <= 74; - src <= 14; - when "01000101" => - dest <= 66; - src <= 66; - when "01000110" => - dest <= 8; - src <= 32; - when "01000111" => - dest <= 51; - src <= 60; - when "01001000" => - dest <= 33; - src <= 41; - when "01001001" => - dest <= 66; - src <= 58; - when "01001010" => - dest <= 66; - src <= 66; - when "01001011" => - dest <= 66; - src <= 18; - when "01001100" => - dest <= 40; - src <= 66; - when "01001101" => - dest <= 17; - src <= 66; - when "01001110" => - dest <= 66; - src <= 24; - when "01001111" => - dest <= 83; - src <= 71; - when "01010000" => - dest <= 66; - src <= 99; - when "01010001" => - dest <= 94; - src <= 66; - when "01010010" => - dest <= 99; - src <= 66; - when "01010011" => - dest <= 39; - src <= 66; - when "01010100" => - dest <= 66; - src <= 82; - when "01010101" => - dest <= 66; - src <= 15; - when "01010110" => - dest <= 28; - src <= 49; - when "01010111" => - dest <= 66; - src <= 66; - when "01011000" => - dest <= 5; - src <= 38; - when "01011001" => - dest <= 67; - src <= 66; - when "01011010" => - dest <= 15; - src <= 33; - when "01011011" => - dest <= 66; - src <= 93; - when "01011100" => - dest <= 80; - src <= 65; - when "01011101" => - dest <= 95; - src <= 66; - when "01011110" => - dest <= 66; - src <= 4; - when "01011111" => - dest <= 24; - src <= 27; - when "01100000" => - dest <= 71; - src <= 66; - when "01100001" => - dest <= 66; - src <= 66; - when "01100010" => - dest <= 66; - src <= 17; - when "01100011" => - dest <= 84; - src <= 37; - when "01100100" => - dest <= 50; - src <= 42; - when "01100101" => - dest <= 43; - src <= 66; - when "01100110" => - dest <= 66; - src <= 66; - when "01100111" => - dest <= 49; - src <= 8; - when "01101000" => - dest <= 85; - src <= 51; - when "01101001" => - dest <= 38; - src <= 48; - when "01101010" => - dest <= 66; - src <= 66; - when "01101011" => - dest <= 73; - src <= 72; - when "01101100" => - dest <= 27; - src <= 89; - when "01101101" => - dest <= 66; - src <= 47; - when "01101110" => - dest <= 98; - src <= 83; - when "01101111" => - dest <= 66; - src <= 66; - when "01110000" => - dest <= 25; - src <= 94; - when "01110001" => - dest <= 89; - src <= 59; - when "01110010" => - dest <= 66; - src <= 66; - when "01110011" => - dest <= 66; - src <= 5; - when "01110100" => - dest <= 34; - src <= 66; - when "01110101" => - dest <= 70; - src <= 34; - when "01110110" => - dest <= 66; - src <= 66; - when "01110111" => - dest <= 16; - src <= 7; - when "01111000" => - dest <= 66; - src <= 43; - when "01111001" => - dest <= 61; - src <= 66; - when "01111010" => - dest <= 66; - src <= 66; - when "01111011" => - dest <= 66; - src <= 98; - when "01111100" => - dest <= 53; - src <= 52; - when "01111101" => - dest <= 59; - src <= 66; - when "01111110" => - dest <= 66; - src <= 16; - when "01111111" => - dest <= 48; - src <= 66; - when "10000000" => - dest <= 66; - src <= 61; - when "10000001" => - dest <= 37; - src <= 66; - when "10000010" => - dest <= 66; - src <= 79; - when "10000011" => - dest <= 97; - src <= 73; - when "10000100" => - dest <= 35; - src <= 66; - when "10000101" => - dest <= 88; - src <= 84; - when "10000110" => - dest <= 66; - src <= 66; - when "10000111" => - dest <= 44; - src <= 95; - when "10001000" => - dest <= 79; - src <= 66; - when "10001001" => - dest <= 26; - src <= 35; - when "10001010" => - dest <= 66; - src <= 97; - when "10001011" => - dest <= 60; - src <= 44; - when "10001100" => - dest <= 66; - src <= 66; - when "10001101" => - dest <= 66; - src <= 88; - when "10001110" => - dest <= 58; - src <= 53; - when "10001111" => - dest <= 47; - src <= 6; - when "10010000" => - dest <= 66; - src <= 66; - when "10010001" => - dest <= 45; - src <= 62; - when "10010010" => - dest <= 87; - src <= 66; - when "10010011" => - dest <= 36; - src <= 74; - when "10010100" => - dest <= 69; - src <= 85; - when "10010101" => - dest <= 66; - src <= 66; - when "10010110" => - dest <= 57; - src <= 87; - when "10010111" => - dest <= 46; - src <= 45; - when "10011000" => - dest <= 68; - src <= 96; - when "10011001" => - dest <= 66; - src <= 63; - when "10011010" => - dest <= 66; - src <= 75; - when "10011011" => - dest <= 11; - src <= 86; - when "10011100" => - dest <= 0; - src <= 64; - when others => - dest <= 66; - src <= 66; - - end case; - end process; - - end generate NI_NUM66; - - NI_NUM67 : if NI_NUM = 67 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 73; - src <= 67; - when "00000001" => - dest <= 87; - src <= 67; - when "00000010" => - dest <= 67; - src <= 67; - when "00000011" => - dest <= 57; - src <= 67; - when "00000100" => - dest <= 22; - src <= 67; - when "00000101" => - dest <= 13; - src <= 47; - when "00000110" => - dest <= 67; - src <= 77; - when "00000111" => - dest <= 3; - src <= 51; - when "00001000" => - dest <= 55; - src <= 67; - when "00001001" => - dest <= 67; - src <= 23; - when "00001010" => - dest <= 67; - src <= 12; - when "00001011" => - dest <= 77; - src <= 67; - when "00001100" => - dest <= 93; - src <= 67; - when "00001101" => - dest <= 2; - src <= 79; - when "00001110" => - dest <= 11; - src <= 57; - when "00001111" => - dest <= 97; - src <= 2; - when "00010000" => - dest <= 67; - src <= 11; - when "00010001" => - dest <= 67; - src <= 21; - when "00010010" => - dest <= 67; - src <= 67; - when "00010011" => - dest <= 53; - src <= 67; - when "00010100" => - dest <= 32; - src <= 37; - when "00010101" => - dest <= 67; - src <= 31; - when "00010110" => - dest <= 7; - src <= 67; - when "00010111" => - dest <= 56; - src <= 67; - when "00011000" => - dest <= 21; - src <= 22; - when "00011001" => - dest <= 14; - src <= 13; - when "00011010" => - dest <= 67; - src <= 71; - when "00011011" => - dest <= 4; - src <= 78; - when "00011100" => - dest <= 64; - src <= 27; - when "00011101" => - dest <= 67; - src <= 67; - when "00011110" => - dest <= 67; - src <= 92; - when "00011111" => - dest <= 94; - src <= 67; - when "00100000" => - dest <= 79; - src <= 67; - when "00100001" => - dest <= 23; - src <= 60; - when "00100010" => - dest <= 10; - src <= 3; - when "00100011" => - dest <= 92; - src <= 10; - when "00100100" => - dest <= 67; - src <= 20; - when "00100101" => - dest <= 78; - src <= 55; - when "00100110" => - dest <= 67; - src <= 67; - when "00100111" => - dest <= 83; - src <= 30; - when "00101000" => - dest <= 67; - src <= 67; - when "00101001" => - dest <= 67; - src <= 56; - when "00101010" => - dest <= 42; - src <= 67; - when "00101011" => - dest <= 8; - src <= 1; - when "00101100" => - dest <= 43; - src <= 14; - when "00101101" => - dest <= 66; - src <= 32; - when "00101110" => - dest <= 31; - src <= 67; - when "00101111" => - dest <= 67; - src <= 41; - when "00110000" => - dest <= 67; - src <= 68; - when "00110001" => - dest <= 20; - src <= 67; - when "00110010" => - dest <= 24; - src <= 26; - when "00110011" => - dest <= 67; - src <= 82; - when "00110100" => - dest <= 5; - src <= 81; - when "00110101" => - dest <= 65; - src <= 67; - when "00110110" => - dest <= 67; - src <= 67; - when "00110111" => - dest <= 67; - src <= 93; - when "00111000" => - dest <= 0; - src <= 67; - when "00111001" => - dest <= 33; - src <= 69; - when "00111010" => - dest <= 76; - src <= 4; - when "00111011" => - dest <= 19; - src <= 0; - when "00111100" => - dest <= 67; - src <= 29; - when "00111101" => - dest <= 91; - src <= 67; - when "00111110" => - dest <= 67; - src <= 58; - when "00111111" => - dest <= 82; - src <= 67; - when "01000000" => - dest <= 67; - src <= 67; - when "01000001" => - dest <= 63; - src <= 24; - when "01000010" => - dest <= 15; - src <= 91; - when "01000011" => - dest <= 67; - src <= 67; - when "01000100" => - dest <= 75; - src <= 15; - when "01000101" => - dest <= 67; - src <= 67; - when "01000110" => - dest <= 9; - src <= 33; - when "01000111" => - dest <= 52; - src <= 61; - when "01001000" => - dest <= 34; - src <= 42; - when "01001001" => - dest <= 67; - src <= 59; - when "01001010" => - dest <= 67; - src <= 67; - when "01001011" => - dest <= 67; - src <= 19; - when "01001100" => - dest <= 41; - src <= 67; - when "01001101" => - dest <= 18; - src <= 67; - when "01001110" => - dest <= 67; - src <= 25; - when "01001111" => - dest <= 84; - src <= 72; - when "01010000" => - dest <= 67; - src <= 90; - when "01010001" => - dest <= 95; - src <= 67; - when "01010010" => - dest <= 90; - src <= 67; - when "01010011" => - dest <= 30; - src <= 67; - when "01010100" => - dest <= 67; - src <= 83; - when "01010101" => - dest <= 67; - src <= 16; - when "01010110" => - dest <= 29; - src <= 40; - when "01010111" => - dest <= 67; - src <= 67; - when "01011000" => - dest <= 6; - src <= 39; - when "01011001" => - dest <= 68; - src <= 67; - when "01011010" => - dest <= 16; - src <= 34; - when "01011011" => - dest <= 67; - src <= 94; - when "01011100" => - dest <= 81; - src <= 66; - when "01011101" => - dest <= 96; - src <= 67; - when "01011110" => - dest <= 67; - src <= 5; - when "01011111" => - dest <= 25; - src <= 28; - when "01100000" => - dest <= 72; - src <= 67; - when "01100001" => - dest <= 67; - src <= 67; - when "01100010" => - dest <= 67; - src <= 18; - when "01100011" => - dest <= 85; - src <= 38; - when "01100100" => - dest <= 51; - src <= 43; - when "01100101" => - dest <= 44; - src <= 67; - when "01100110" => - dest <= 67; - src <= 67; - when "01100111" => - dest <= 40; - src <= 9; - when "01101000" => - dest <= 86; - src <= 52; - when "01101001" => - dest <= 39; - src <= 49; - when "01101010" => - dest <= 67; - src <= 67; - when "01101011" => - dest <= 74; - src <= 73; - when "01101100" => - dest <= 28; - src <= 80; - when "01101101" => - dest <= 67; - src <= 48; - when "01101110" => - dest <= 99; - src <= 84; - when "01101111" => - dest <= 67; - src <= 67; - when "01110000" => - dest <= 26; - src <= 95; - when "01110001" => - dest <= 80; - src <= 50; - when "01110010" => - dest <= 67; - src <= 67; - when "01110011" => - dest <= 67; - src <= 6; - when "01110100" => - dest <= 35; - src <= 67; - when "01110101" => - dest <= 71; - src <= 35; - when "01110110" => - dest <= 67; - src <= 67; - when "01110111" => - dest <= 17; - src <= 8; - when "01111000" => - dest <= 67; - src <= 44; - when "01111001" => - dest <= 62; - src <= 67; - when "01111010" => - dest <= 67; - src <= 67; - when "01111011" => - dest <= 67; - src <= 99; - when "01111100" => - dest <= 54; - src <= 53; - when "01111101" => - dest <= 50; - src <= 67; - when "01111110" => - dest <= 67; - src <= 17; - when "01111111" => - dest <= 49; - src <= 67; - when "10000000" => - dest <= 67; - src <= 62; - when "10000001" => - dest <= 38; - src <= 67; - when "10000010" => - dest <= 67; - src <= 70; - when "10000011" => - dest <= 98; - src <= 74; - when "10000100" => - dest <= 36; - src <= 67; - when "10000101" => - dest <= 89; - src <= 85; - when "10000110" => - dest <= 67; - src <= 67; - when "10000111" => - dest <= 45; - src <= 96; - when "10001000" => - dest <= 70; - src <= 67; - when "10001001" => - dest <= 27; - src <= 36; - when "10001010" => - dest <= 67; - src <= 98; - when "10001011" => - dest <= 61; - src <= 45; - when "10001100" => - dest <= 67; - src <= 67; - when "10001101" => - dest <= 67; - src <= 89; - when "10001110" => - dest <= 59; - src <= 54; - when "10001111" => - dest <= 48; - src <= 7; - when "10010000" => - dest <= 67; - src <= 67; - when "10010001" => - dest <= 46; - src <= 63; - when "10010010" => - dest <= 88; - src <= 67; - when "10010011" => - dest <= 37; - src <= 75; - when "10010100" => - dest <= 60; - src <= 86; - when "10010101" => - dest <= 67; - src <= 67; - when "10010110" => - dest <= 58; - src <= 88; - when "10010111" => - dest <= 47; - src <= 46; - when "10011000" => - dest <= 69; - src <= 97; - when "10011001" => - dest <= 67; - src <= 64; - when "10011010" => - dest <= 67; - src <= 76; - when "10011011" => - dest <= 12; - src <= 87; - when "10011100" => - dest <= 1; - src <= 65; - when others => - dest <= 67; - src <= 67; - - end case; - end process; - - end generate NI_NUM67; - - NI_NUM68 : if NI_NUM = 68 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 74; - src <= 68; - when "00000001" => - dest <= 88; - src <= 68; - when "00000010" => - dest <= 68; - src <= 68; - when "00000011" => - dest <= 58; - src <= 68; - when "00000100" => - dest <= 23; - src <= 68; - when "00000101" => - dest <= 14; - src <= 48; - when "00000110" => - dest <= 68; - src <= 78; - when "00000111" => - dest <= 4; - src <= 52; - when "00001000" => - dest <= 56; - src <= 68; - when "00001001" => - dest <= 68; - src <= 24; - when "00001010" => - dest <= 68; - src <= 13; - when "00001011" => - dest <= 78; - src <= 68; - when "00001100" => - dest <= 94; - src <= 68; - when "00001101" => - dest <= 3; - src <= 70; - when "00001110" => - dest <= 12; - src <= 58; - when "00001111" => - dest <= 98; - src <= 3; - when "00010000" => - dest <= 68; - src <= 12; - when "00010001" => - dest <= 68; - src <= 22; - when "00010010" => - dest <= 68; - src <= 68; - when "00010011" => - dest <= 54; - src <= 68; - when "00010100" => - dest <= 33; - src <= 38; - when "00010101" => - dest <= 68; - src <= 32; - when "00010110" => - dest <= 8; - src <= 68; - when "00010111" => - dest <= 57; - src <= 68; - when "00011000" => - dest <= 22; - src <= 23; - when "00011001" => - dest <= 15; - src <= 14; - when "00011010" => - dest <= 68; - src <= 72; - when "00011011" => - dest <= 5; - src <= 79; - when "00011100" => - dest <= 65; - src <= 28; - when "00011101" => - dest <= 68; - src <= 68; - when "00011110" => - dest <= 68; - src <= 93; - when "00011111" => - dest <= 95; - src <= 68; - when "00100000" => - dest <= 70; - src <= 68; - when "00100001" => - dest <= 24; - src <= 61; - when "00100010" => - dest <= 11; - src <= 4; - when "00100011" => - dest <= 93; - src <= 11; - when "00100100" => - dest <= 68; - src <= 21; - when "00100101" => - dest <= 79; - src <= 56; - when "00100110" => - dest <= 68; - src <= 68; - when "00100111" => - dest <= 84; - src <= 31; - when "00101000" => - dest <= 68; - src <= 68; - when "00101001" => - dest <= 68; - src <= 57; - when "00101010" => - dest <= 43; - src <= 68; - when "00101011" => - dest <= 9; - src <= 2; - when "00101100" => - dest <= 44; - src <= 15; - when "00101101" => - dest <= 67; - src <= 33; - when "00101110" => - dest <= 32; - src <= 68; - when "00101111" => - dest <= 68; - src <= 42; - when "00110000" => - dest <= 68; - src <= 69; - when "00110001" => - dest <= 21; - src <= 68; - when "00110010" => - dest <= 25; - src <= 27; - when "00110011" => - dest <= 68; - src <= 83; - when "00110100" => - dest <= 6; - src <= 82; - when "00110101" => - dest <= 66; - src <= 68; - when "00110110" => - dest <= 68; - src <= 68; - when "00110111" => - dest <= 68; - src <= 94; - when "00111000" => - dest <= 1; - src <= 68; - when "00111001" => - dest <= 34; - src <= 60; - when "00111010" => - dest <= 77; - src <= 5; - when "00111011" => - dest <= 10; - src <= 1; - when "00111100" => - dest <= 68; - src <= 20; - when "00111101" => - dest <= 92; - src <= 68; - when "00111110" => - dest <= 68; - src <= 59; - when "00111111" => - dest <= 83; - src <= 68; - when "01000000" => - dest <= 68; - src <= 68; - when "01000001" => - dest <= 64; - src <= 25; - when "01000010" => - dest <= 16; - src <= 92; - when "01000011" => - dest <= 68; - src <= 68; - when "01000100" => - dest <= 76; - src <= 16; - when "01000101" => - dest <= 68; - src <= 68; - when "01000110" => - dest <= 0; - src <= 34; - when "01000111" => - dest <= 53; - src <= 62; - when "01001000" => - dest <= 35; - src <= 43; - when "01001001" => - dest <= 68; - src <= 50; - when "01001010" => - dest <= 68; - src <= 68; - when "01001011" => - dest <= 68; - src <= 10; - when "01001100" => - dest <= 42; - src <= 68; - when "01001101" => - dest <= 19; - src <= 68; - when "01001110" => - dest <= 68; - src <= 26; - when "01001111" => - dest <= 85; - src <= 73; - when "01010000" => - dest <= 68; - src <= 91; - when "01010001" => - dest <= 96; - src <= 68; - when "01010010" => - dest <= 91; - src <= 68; - when "01010011" => - dest <= 31; - src <= 68; - when "01010100" => - dest <= 68; - src <= 84; - when "01010101" => - dest <= 68; - src <= 17; - when "01010110" => - dest <= 20; - src <= 41; - when "01010111" => - dest <= 68; - src <= 68; - when "01011000" => - dest <= 7; - src <= 30; - when "01011001" => - dest <= 69; - src <= 68; - when "01011010" => - dest <= 17; - src <= 35; - when "01011011" => - dest <= 68; - src <= 95; - when "01011100" => - dest <= 82; - src <= 67; - when "01011101" => - dest <= 97; - src <= 68; - when "01011110" => - dest <= 68; - src <= 6; - when "01011111" => - dest <= 26; - src <= 29; - when "01100000" => - dest <= 73; - src <= 68; - when "01100001" => - dest <= 68; - src <= 68; - when "01100010" => - dest <= 68; - src <= 19; - when "01100011" => - dest <= 86; - src <= 39; - when "01100100" => - dest <= 52; - src <= 44; - when "01100101" => - dest <= 45; - src <= 68; - when "01100110" => - dest <= 68; - src <= 68; - when "01100111" => - dest <= 41; - src <= 0; - when "01101000" => - dest <= 87; - src <= 53; - when "01101001" => - dest <= 30; - src <= 40; - when "01101010" => - dest <= 68; - src <= 68; - when "01101011" => - dest <= 75; - src <= 74; - when "01101100" => - dest <= 29; - src <= 81; - when "01101101" => - dest <= 68; - src <= 49; - when "01101110" => - dest <= 90; - src <= 85; - when "01101111" => - dest <= 68; - src <= 68; - when "01110000" => - dest <= 27; - src <= 96; - when "01110001" => - dest <= 81; - src <= 51; - when "01110010" => - dest <= 68; - src <= 68; - when "01110011" => - dest <= 68; - src <= 7; - when "01110100" => - dest <= 36; - src <= 68; - when "01110101" => - dest <= 72; - src <= 36; - when "01110110" => - dest <= 68; - src <= 68; - when "01110111" => - dest <= 18; - src <= 9; - when "01111000" => - dest <= 68; - src <= 45; - when "01111001" => - dest <= 63; - src <= 68; - when "01111010" => - dest <= 68; - src <= 68; - when "01111011" => - dest <= 68; - src <= 90; - when "01111100" => - dest <= 55; - src <= 54; - when "01111101" => - dest <= 51; - src <= 68; - when "01111110" => - dest <= 68; - src <= 18; - when "01111111" => - dest <= 40; - src <= 68; - when "10000000" => - dest <= 68; - src <= 63; - when "10000001" => - dest <= 39; - src <= 68; - when "10000010" => - dest <= 68; - src <= 71; - when "10000011" => - dest <= 99; - src <= 75; - when "10000100" => - dest <= 37; - src <= 68; - when "10000101" => - dest <= 80; - src <= 86; - when "10000110" => - dest <= 68; - src <= 68; - when "10000111" => - dest <= 46; - src <= 97; - when "10001000" => - dest <= 71; - src <= 68; - when "10001001" => - dest <= 28; - src <= 37; - when "10001010" => - dest <= 68; - src <= 99; - when "10001011" => - dest <= 62; - src <= 46; - when "10001100" => - dest <= 68; - src <= 68; - when "10001101" => - dest <= 68; - src <= 80; - when "10001110" => - dest <= 50; - src <= 55; - when "10001111" => - dest <= 49; - src <= 8; - when "10010000" => - dest <= 68; - src <= 68; - when "10010001" => - dest <= 47; - src <= 64; - when "10010010" => - dest <= 89; - src <= 68; - when "10010011" => - dest <= 38; - src <= 76; - when "10010100" => - dest <= 61; - src <= 87; - when "10010101" => - dest <= 68; - src <= 68; - when "10010110" => - dest <= 59; - src <= 89; - when "10010111" => - dest <= 48; - src <= 47; - when "10011000" => - dest <= 60; - src <= 98; - when "10011001" => - dest <= 68; - src <= 65; - when "10011010" => - dest <= 68; - src <= 77; - when "10011011" => - dest <= 13; - src <= 88; - when "10011100" => - dest <= 2; - src <= 66; - when others => - dest <= 68; - src <= 68; - - end case; - end process; - - end generate NI_NUM68; - - NI_NUM69 : if NI_NUM = 69 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 75; - src <= 69; - when "00000001" => - dest <= 89; - src <= 69; - when "00000010" => - dest <= 69; - src <= 69; - when "00000011" => - dest <= 59; - src <= 69; - when "00000100" => - dest <= 24; - src <= 69; - when "00000101" => - dest <= 15; - src <= 49; - when "00000110" => - dest <= 69; - src <= 79; - when "00000111" => - dest <= 5; - src <= 53; - when "00001000" => - dest <= 57; - src <= 69; - when "00001001" => - dest <= 69; - src <= 25; - when "00001010" => - dest <= 69; - src <= 14; - when "00001011" => - dest <= 79; - src <= 69; - when "00001100" => - dest <= 95; - src <= 69; - when "00001101" => - dest <= 4; - src <= 71; - when "00001110" => - dest <= 13; - src <= 59; - when "00001111" => - dest <= 99; - src <= 4; - when "00010000" => - dest <= 69; - src <= 13; - when "00010001" => - dest <= 69; - src <= 23; - when "00010010" => - dest <= 69; - src <= 69; - when "00010011" => - dest <= 55; - src <= 69; - when "00010100" => - dest <= 34; - src <= 39; - when "00010101" => - dest <= 69; - src <= 33; - when "00010110" => - dest <= 9; - src <= 69; - when "00010111" => - dest <= 58; - src <= 69; - when "00011000" => - dest <= 23; - src <= 24; - when "00011001" => - dest <= 16; - src <= 15; - when "00011010" => - dest <= 69; - src <= 73; - when "00011011" => - dest <= 6; - src <= 70; - when "00011100" => - dest <= 66; - src <= 29; - when "00011101" => - dest <= 69; - src <= 69; - when "00011110" => - dest <= 69; - src <= 94; - when "00011111" => - dest <= 96; - src <= 69; - when "00100000" => - dest <= 71; - src <= 69; - when "00100001" => - dest <= 25; - src <= 62; - when "00100010" => - dest <= 12; - src <= 5; - when "00100011" => - dest <= 94; - src <= 12; - when "00100100" => - dest <= 69; - src <= 22; - when "00100101" => - dest <= 70; - src <= 57; - when "00100110" => - dest <= 69; - src <= 69; - when "00100111" => - dest <= 85; - src <= 32; - when "00101000" => - dest <= 69; - src <= 69; - when "00101001" => - dest <= 69; - src <= 58; - when "00101010" => - dest <= 44; - src <= 69; - when "00101011" => - dest <= 0; - src <= 3; - when "00101100" => - dest <= 45; - src <= 16; - when "00101101" => - dest <= 68; - src <= 34; - when "00101110" => - dest <= 33; - src <= 69; - when "00101111" => - dest <= 69; - src <= 43; - when "00110000" => - dest <= 69; - src <= 60; - when "00110001" => - dest <= 22; - src <= 69; - when "00110010" => - dest <= 26; - src <= 28; - when "00110011" => - dest <= 69; - src <= 84; - when "00110100" => - dest <= 7; - src <= 83; - when "00110101" => - dest <= 67; - src <= 69; - when "00110110" => - dest <= 69; - src <= 69; - when "00110111" => - dest <= 69; - src <= 95; - when "00111000" => - dest <= 2; - src <= 69; - when "00111001" => - dest <= 35; - src <= 61; - when "00111010" => - dest <= 78; - src <= 6; - when "00111011" => - dest <= 11; - src <= 2; - when "00111100" => - dest <= 69; - src <= 21; - when "00111101" => - dest <= 93; - src <= 69; - when "00111110" => - dest <= 69; - src <= 50; - when "00111111" => - dest <= 84; - src <= 69; - when "01000000" => - dest <= 69; - src <= 69; - when "01000001" => - dest <= 65; - src <= 26; - when "01000010" => - dest <= 17; - src <= 93; - when "01000011" => - dest <= 69; - src <= 69; - when "01000100" => - dest <= 77; - src <= 17; - when "01000101" => - dest <= 69; - src <= 69; - when "01000110" => - dest <= 1; - src <= 35; - when "01000111" => - dest <= 54; - src <= 63; - when "01001000" => - dest <= 36; - src <= 44; - when "01001001" => - dest <= 69; - src <= 51; - when "01001010" => - dest <= 69; - src <= 69; - when "01001011" => - dest <= 69; - src <= 11; - when "01001100" => - dest <= 43; - src <= 69; - when "01001101" => - dest <= 10; - src <= 69; - when "01001110" => - dest <= 69; - src <= 27; - when "01001111" => - dest <= 86; - src <= 74; - when "01010000" => - dest <= 69; - src <= 92; - when "01010001" => - dest <= 97; - src <= 69; - when "01010010" => - dest <= 92; - src <= 69; - when "01010011" => - dest <= 32; - src <= 69; - when "01010100" => - dest <= 69; - src <= 85; - when "01010101" => - dest <= 69; - src <= 18; - when "01010110" => - dest <= 21; - src <= 42; - when "01010111" => - dest <= 69; - src <= 69; - when "01011000" => - dest <= 8; - src <= 31; - when "01011001" => - dest <= 60; - src <= 69; - when "01011010" => - dest <= 18; - src <= 36; - when "01011011" => - dest <= 69; - src <= 96; - when "01011100" => - dest <= 83; - src <= 68; - when "01011101" => - dest <= 98; - src <= 69; - when "01011110" => - dest <= 69; - src <= 7; - when "01011111" => - dest <= 27; - src <= 20; - when "01100000" => - dest <= 74; - src <= 69; - when "01100001" => - dest <= 69; - src <= 69; - when "01100010" => - dest <= 69; - src <= 10; - when "01100011" => - dest <= 87; - src <= 30; - when "01100100" => - dest <= 53; - src <= 45; - when "01100101" => - dest <= 46; - src <= 69; - when "01100110" => - dest <= 69; - src <= 69; - when "01100111" => - dest <= 42; - src <= 1; - when "01101000" => - dest <= 88; - src <= 54; - when "01101001" => - dest <= 31; - src <= 41; - when "01101010" => - dest <= 69; - src <= 69; - when "01101011" => - dest <= 76; - src <= 75; - when "01101100" => - dest <= 20; - src <= 82; - when "01101101" => - dest <= 69; - src <= 40; - when "01101110" => - dest <= 91; - src <= 86; - when "01101111" => - dest <= 69; - src <= 69; - when "01110000" => - dest <= 28; - src <= 97; - when "01110001" => - dest <= 82; - src <= 52; - when "01110010" => - dest <= 69; - src <= 69; - when "01110011" => - dest <= 69; - src <= 8; - when "01110100" => - dest <= 37; - src <= 69; - when "01110101" => - dest <= 73; - src <= 37; - when "01110110" => - dest <= 69; - src <= 69; - when "01110111" => - dest <= 19; - src <= 0; - when "01111000" => - dest <= 69; - src <= 46; - when "01111001" => - dest <= 64; - src <= 69; - when "01111010" => - dest <= 69; - src <= 69; - when "01111011" => - dest <= 69; - src <= 91; - when "01111100" => - dest <= 56; - src <= 55; - when "01111101" => - dest <= 52; - src <= 69; - when "01111110" => - dest <= 69; - src <= 19; - when "01111111" => - dest <= 41; - src <= 69; - when "10000000" => - dest <= 69; - src <= 64; - when "10000001" => - dest <= 30; - src <= 69; - when "10000010" => - dest <= 69; - src <= 72; - when "10000011" => - dest <= 90; - src <= 76; - when "10000100" => - dest <= 38; - src <= 69; - when "10000101" => - dest <= 81; - src <= 87; - when "10000110" => - dest <= 69; - src <= 69; - when "10000111" => - dest <= 47; - src <= 98; - when "10001000" => - dest <= 72; - src <= 69; - when "10001001" => - dest <= 29; - src <= 38; - when "10001010" => - dest <= 69; - src <= 90; - when "10001011" => - dest <= 63; - src <= 47; - when "10001100" => - dest <= 69; - src <= 69; - when "10001101" => - dest <= 69; - src <= 81; - when "10001110" => - dest <= 51; - src <= 56; - when "10001111" => - dest <= 40; - src <= 9; - when "10010000" => - dest <= 69; - src <= 69; - when "10010001" => - dest <= 48; - src <= 65; - when "10010010" => - dest <= 80; - src <= 69; - when "10010011" => - dest <= 39; - src <= 77; - when "10010100" => - dest <= 62; - src <= 88; - when "10010101" => - dest <= 69; - src <= 69; - when "10010110" => - dest <= 50; - src <= 80; - when "10010111" => - dest <= 49; - src <= 48; - when "10011000" => - dest <= 61; - src <= 99; - when "10011001" => - dest <= 69; - src <= 66; - when "10011010" => - dest <= 69; - src <= 78; - when "10011011" => - dest <= 14; - src <= 89; - when "10011100" => - dest <= 3; - src <= 67; - when others => - dest <= 69; - src <= 69; - - end case; - end process; - - end generate NI_NUM69; - - NI_NUM70 : if NI_NUM = 70 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 86; - src <= 70; - when "00000001" => - dest <= 90; - src <= 70; - when "00000010" => - dest <= 70; - src <= 70; - when "00000011" => - dest <= 60; - src <= 70; - when "00000100" => - dest <= 35; - src <= 70; - when "00000101" => - dest <= 26; - src <= 50; - when "00000110" => - dest <= 70; - src <= 80; - when "00000111" => - dest <= 16; - src <= 64; - when "00001000" => - dest <= 68; - src <= 70; - when "00001001" => - dest <= 70; - src <= 36; - when "00001010" => - dest <= 70; - src <= 25; - when "00001011" => - dest <= 80; - src <= 70; - when "00001100" => - dest <= 6; - src <= 70; - when "00001101" => - dest <= 15; - src <= 82; - when "00001110" => - dest <= 24; - src <= 60; - when "00001111" => - dest <= 0; - src <= 15; - when "00010000" => - dest <= 70; - src <= 24; - when "00010001" => - dest <= 70; - src <= 34; - when "00010010" => - dest <= 70; - src <= 70; - when "00010011" => - dest <= 66; - src <= 70; - when "00010100" => - dest <= 45; - src <= 40; - when "00010101" => - dest <= 70; - src <= 44; - when "00010110" => - dest <= 10; - src <= 70; - when "00010111" => - dest <= 69; - src <= 70; - when "00011000" => - dest <= 34; - src <= 35; - when "00011001" => - dest <= 27; - src <= 26; - when "00011010" => - dest <= 70; - src <= 84; - when "00011011" => - dest <= 17; - src <= 81; - when "00011100" => - dest <= 77; - src <= 30; - when "00011101" => - dest <= 70; - src <= 70; - when "00011110" => - dest <= 70; - src <= 5; - when "00011111" => - dest <= 7; - src <= 70; - when "00100000" => - dest <= 82; - src <= 70; - when "00100001" => - dest <= 36; - src <= 73; - when "00100010" => - dest <= 23; - src <= 16; - when "00100011" => - dest <= 5; - src <= 23; - when "00100100" => - dest <= 70; - src <= 33; - when "00100101" => - dest <= 81; - src <= 68; - when "00100110" => - dest <= 70; - src <= 70; - when "00100111" => - dest <= 96; - src <= 43; - when "00101000" => - dest <= 70; - src <= 70; - when "00101001" => - dest <= 70; - src <= 69; - when "00101010" => - dest <= 55; - src <= 70; - when "00101011" => - dest <= 11; - src <= 14; - when "00101100" => - dest <= 56; - src <= 27; - when "00101101" => - dest <= 79; - src <= 45; - when "00101110" => - dest <= 44; - src <= 70; - when "00101111" => - dest <= 70; - src <= 54; - when "00110000" => - dest <= 70; - src <= 71; - when "00110001" => - dest <= 33; - src <= 70; - when "00110010" => - dest <= 37; - src <= 39; - when "00110011" => - dest <= 70; - src <= 95; - when "00110100" => - dest <= 18; - src <= 94; - when "00110101" => - dest <= 78; - src <= 70; - when "00110110" => - dest <= 70; - src <= 70; - when "00110111" => - dest <= 70; - src <= 6; - when "00111000" => - dest <= 13; - src <= 70; - when "00111001" => - dest <= 46; - src <= 72; - when "00111010" => - dest <= 89; - src <= 17; - when "00111011" => - dest <= 22; - src <= 13; - when "00111100" => - dest <= 70; - src <= 32; - when "00111101" => - dest <= 4; - src <= 70; - when "00111110" => - dest <= 70; - src <= 61; - when "00111111" => - dest <= 95; - src <= 70; - when "01000000" => - dest <= 70; - src <= 70; - when "01000001" => - dest <= 76; - src <= 37; - when "01000010" => - dest <= 28; - src <= 4; - when "01000011" => - dest <= 70; - src <= 70; - when "01000100" => - dest <= 88; - src <= 28; - when "01000101" => - dest <= 70; - src <= 70; - when "01000110" => - dest <= 12; - src <= 46; - when "01000111" => - dest <= 65; - src <= 74; - when "01001000" => - dest <= 47; - src <= 55; - when "01001001" => - dest <= 70; - src <= 62; - when "01001010" => - dest <= 70; - src <= 70; - when "01001011" => - dest <= 70; - src <= 22; - when "01001100" => - dest <= 54; - src <= 70; - when "01001101" => - dest <= 21; - src <= 70; - when "01001110" => - dest <= 70; - src <= 38; - when "01001111" => - dest <= 97; - src <= 85; - when "01010000" => - dest <= 70; - src <= 3; - when "01010001" => - dest <= 8; - src <= 70; - when "01010010" => - dest <= 3; - src <= 70; - when "01010011" => - dest <= 43; - src <= 70; - when "01010100" => - dest <= 70; - src <= 96; - when "01010101" => - dest <= 70; - src <= 29; - when "01010110" => - dest <= 32; - src <= 53; - when "01010111" => - dest <= 70; - src <= 70; - when "01011000" => - dest <= 19; - src <= 42; - when "01011001" => - dest <= 71; - src <= 70; - when "01011010" => - dest <= 29; - src <= 47; - when "01011011" => - dest <= 70; - src <= 7; - when "01011100" => - dest <= 94; - src <= 79; - when "01011101" => - dest <= 9; - src <= 70; - when "01011110" => - dest <= 70; - src <= 18; - when "01011111" => - dest <= 38; - src <= 31; - when "01100000" => - dest <= 85; - src <= 70; - when "01100001" => - dest <= 70; - src <= 70; - when "01100010" => - dest <= 70; - src <= 21; - when "01100011" => - dest <= 98; - src <= 41; - when "01100100" => - dest <= 64; - src <= 56; - when "01100101" => - dest <= 57; - src <= 70; - when "01100110" => - dest <= 70; - src <= 70; - when "01100111" => - dest <= 53; - src <= 12; - when "01101000" => - dest <= 99; - src <= 65; - when "01101001" => - dest <= 42; - src <= 52; - when "01101010" => - dest <= 70; - src <= 70; - when "01101011" => - dest <= 87; - src <= 86; - when "01101100" => - dest <= 31; - src <= 93; - when "01101101" => - dest <= 70; - src <= 51; - when "01101110" => - dest <= 2; - src <= 97; - when "01101111" => - dest <= 70; - src <= 70; - when "01110000" => - dest <= 39; - src <= 8; - when "01110001" => - dest <= 93; - src <= 63; - when "01110010" => - dest <= 70; - src <= 70; - when "01110011" => - dest <= 70; - src <= 19; - when "01110100" => - dest <= 48; - src <= 70; - when "01110101" => - dest <= 84; - src <= 48; - when "01110110" => - dest <= 70; - src <= 70; - when "01110111" => - dest <= 20; - src <= 11; - when "01111000" => - dest <= 70; - src <= 57; - when "01111001" => - dest <= 75; - src <= 70; - when "01111010" => - dest <= 70; - src <= 70; - when "01111011" => - dest <= 70; - src <= 2; - when "01111100" => - dest <= 67; - src <= 66; - when "01111101" => - dest <= 63; - src <= 70; - when "01111110" => - dest <= 70; - src <= 20; - when "01111111" => - dest <= 52; - src <= 70; - when "10000000" => - dest <= 70; - src <= 75; - when "10000001" => - dest <= 41; - src <= 70; - when "10000010" => - dest <= 70; - src <= 83; - when "10000011" => - dest <= 1; - src <= 87; - when "10000100" => - dest <= 49; - src <= 70; - when "10000101" => - dest <= 92; - src <= 98; - when "10000110" => - dest <= 70; - src <= 70; - when "10000111" => - dest <= 58; - src <= 9; - when "10001000" => - dest <= 83; - src <= 70; - when "10001001" => - dest <= 30; - src <= 49; - when "10001010" => - dest <= 70; - src <= 1; - when "10001011" => - dest <= 74; - src <= 58; - when "10001100" => - dest <= 70; - src <= 70; - when "10001101" => - dest <= 70; - src <= 92; - when "10001110" => - dest <= 62; - src <= 67; - when "10001111" => - dest <= 51; - src <= 10; - when "10010000" => - dest <= 70; - src <= 70; - when "10010001" => - dest <= 59; - src <= 76; - when "10010010" => - dest <= 91; - src <= 70; - when "10010011" => - dest <= 40; - src <= 88; - when "10010100" => - dest <= 73; - src <= 99; - when "10010101" => - dest <= 70; - src <= 70; - when "10010110" => - dest <= 61; - src <= 91; - when "10010111" => - dest <= 50; - src <= 59; - when "10011000" => - dest <= 72; - src <= 0; - when "10011001" => - dest <= 70; - src <= 77; - when "10011010" => - dest <= 70; - src <= 89; - when "10011011" => - dest <= 25; - src <= 90; - when "10011100" => - dest <= 14; - src <= 78; - when others => - dest <= 70; - src <= 70; - - end case; - end process; - - end generate NI_NUM70; - - NI_NUM71 : if NI_NUM = 71 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 87; - src <= 71; - when "00000001" => - dest <= 91; - src <= 71; - when "00000010" => - dest <= 71; - src <= 71; - when "00000011" => - dest <= 61; - src <= 71; - when "00000100" => - dest <= 36; - src <= 71; - when "00000101" => - dest <= 27; - src <= 51; - when "00000110" => - dest <= 71; - src <= 81; - when "00000111" => - dest <= 17; - src <= 65; - when "00001000" => - dest <= 69; - src <= 71; - when "00001001" => - dest <= 71; - src <= 37; - when "00001010" => - dest <= 71; - src <= 26; - when "00001011" => - dest <= 81; - src <= 71; - when "00001100" => - dest <= 7; - src <= 71; - when "00001101" => - dest <= 16; - src <= 83; - when "00001110" => - dest <= 25; - src <= 61; - when "00001111" => - dest <= 1; - src <= 16; - when "00010000" => - dest <= 71; - src <= 25; - when "00010001" => - dest <= 71; - src <= 35; - when "00010010" => - dest <= 71; - src <= 71; - when "00010011" => - dest <= 67; - src <= 71; - when "00010100" => - dest <= 46; - src <= 41; - when "00010101" => - dest <= 71; - src <= 45; - when "00010110" => - dest <= 11; - src <= 71; - when "00010111" => - dest <= 60; - src <= 71; - when "00011000" => - dest <= 35; - src <= 36; - when "00011001" => - dest <= 28; - src <= 27; - when "00011010" => - dest <= 71; - src <= 85; - when "00011011" => - dest <= 18; - src <= 82; - when "00011100" => - dest <= 78; - src <= 31; - when "00011101" => - dest <= 71; - src <= 71; - when "00011110" => - dest <= 71; - src <= 6; - when "00011111" => - dest <= 8; - src <= 71; - when "00100000" => - dest <= 83; - src <= 71; - when "00100001" => - dest <= 37; - src <= 74; - when "00100010" => - dest <= 24; - src <= 17; - when "00100011" => - dest <= 6; - src <= 24; - when "00100100" => - dest <= 71; - src <= 34; - when "00100101" => - dest <= 82; - src <= 69; - when "00100110" => - dest <= 71; - src <= 71; - when "00100111" => - dest <= 97; - src <= 44; - when "00101000" => - dest <= 71; - src <= 71; - when "00101001" => - dest <= 71; - src <= 60; - when "00101010" => - dest <= 56; - src <= 71; - when "00101011" => - dest <= 12; - src <= 15; - when "00101100" => - dest <= 57; - src <= 28; - when "00101101" => - dest <= 70; - src <= 46; - when "00101110" => - dest <= 45; - src <= 71; - when "00101111" => - dest <= 71; - src <= 55; - when "00110000" => - dest <= 71; - src <= 72; - when "00110001" => - dest <= 34; - src <= 71; - when "00110010" => - dest <= 38; - src <= 30; - when "00110011" => - dest <= 71; - src <= 96; - when "00110100" => - dest <= 19; - src <= 95; - when "00110101" => - dest <= 79; - src <= 71; - when "00110110" => - dest <= 71; - src <= 71; - when "00110111" => - dest <= 71; - src <= 7; - when "00111000" => - dest <= 14; - src <= 71; - when "00111001" => - dest <= 47; - src <= 73; - when "00111010" => - dest <= 80; - src <= 18; - when "00111011" => - dest <= 23; - src <= 14; - when "00111100" => - dest <= 71; - src <= 33; - when "00111101" => - dest <= 5; - src <= 71; - when "00111110" => - dest <= 71; - src <= 62; - when "00111111" => - dest <= 96; - src <= 71; - when "01000000" => - dest <= 71; - src <= 71; - when "01000001" => - dest <= 77; - src <= 38; - when "01000010" => - dest <= 29; - src <= 5; - when "01000011" => - dest <= 71; - src <= 71; - when "01000100" => - dest <= 89; - src <= 29; - when "01000101" => - dest <= 71; - src <= 71; - when "01000110" => - dest <= 13; - src <= 47; - when "01000111" => - dest <= 66; - src <= 75; - when "01001000" => - dest <= 48; - src <= 56; - when "01001001" => - dest <= 71; - src <= 63; - when "01001010" => - dest <= 71; - src <= 71; - when "01001011" => - dest <= 71; - src <= 23; - when "01001100" => - dest <= 55; - src <= 71; - when "01001101" => - dest <= 22; - src <= 71; - when "01001110" => - dest <= 71; - src <= 39; - when "01001111" => - dest <= 98; - src <= 86; - when "01010000" => - dest <= 71; - src <= 4; - when "01010001" => - dest <= 9; - src <= 71; - when "01010010" => - dest <= 4; - src <= 71; - when "01010011" => - dest <= 44; - src <= 71; - when "01010100" => - dest <= 71; - src <= 97; - when "01010101" => - dest <= 71; - src <= 20; - when "01010110" => - dest <= 33; - src <= 54; - when "01010111" => - dest <= 71; - src <= 71; - when "01011000" => - dest <= 10; - src <= 43; - when "01011001" => - dest <= 72; - src <= 71; - when "01011010" => - dest <= 20; - src <= 48; - when "01011011" => - dest <= 71; - src <= 8; - when "01011100" => - dest <= 95; - src <= 70; - when "01011101" => - dest <= 0; - src <= 71; - when "01011110" => - dest <= 71; - src <= 19; - when "01011111" => - dest <= 39; - src <= 32; - when "01100000" => - dest <= 86; - src <= 71; - when "01100001" => - dest <= 71; - src <= 71; - when "01100010" => - dest <= 71; - src <= 22; - when "01100011" => - dest <= 99; - src <= 42; - when "01100100" => - dest <= 65; - src <= 57; - when "01100101" => - dest <= 58; - src <= 71; - when "01100110" => - dest <= 71; - src <= 71; - when "01100111" => - dest <= 54; - src <= 13; - when "01101000" => - dest <= 90; - src <= 66; - when "01101001" => - dest <= 43; - src <= 53; - when "01101010" => - dest <= 71; - src <= 71; - when "01101011" => - dest <= 88; - src <= 87; - when "01101100" => - dest <= 32; - src <= 94; - when "01101101" => - dest <= 71; - src <= 52; - when "01101110" => - dest <= 3; - src <= 98; - when "01101111" => - dest <= 71; - src <= 71; - when "01110000" => - dest <= 30; - src <= 9; - when "01110001" => - dest <= 94; - src <= 64; - when "01110010" => - dest <= 71; - src <= 71; - when "01110011" => - dest <= 71; - src <= 10; - when "01110100" => - dest <= 49; - src <= 71; - when "01110101" => - dest <= 85; - src <= 49; - when "01110110" => - dest <= 71; - src <= 71; - when "01110111" => - dest <= 21; - src <= 12; - when "01111000" => - dest <= 71; - src <= 58; - when "01111001" => - dest <= 76; - src <= 71; - when "01111010" => - dest <= 71; - src <= 71; - when "01111011" => - dest <= 71; - src <= 3; - when "01111100" => - dest <= 68; - src <= 67; - when "01111101" => - dest <= 64; - src <= 71; - when "01111110" => - dest <= 71; - src <= 21; - when "01111111" => - dest <= 53; - src <= 71; - when "10000000" => - dest <= 71; - src <= 76; - when "10000001" => - dest <= 42; - src <= 71; - when "10000010" => - dest <= 71; - src <= 84; - when "10000011" => - dest <= 2; - src <= 88; - when "10000100" => - dest <= 40; - src <= 71; - when "10000101" => - dest <= 93; - src <= 99; - when "10000110" => - dest <= 71; - src <= 71; - when "10000111" => - dest <= 59; - src <= 0; - when "10001000" => - dest <= 84; - src <= 71; - when "10001001" => - dest <= 31; - src <= 40; - when "10001010" => - dest <= 71; - src <= 2; - when "10001011" => - dest <= 75; - src <= 59; - when "10001100" => - dest <= 71; - src <= 71; - when "10001101" => - dest <= 71; - src <= 93; - when "10001110" => - dest <= 63; - src <= 68; - when "10001111" => - dest <= 52; - src <= 11; - when "10010000" => - dest <= 71; - src <= 71; - when "10010001" => - dest <= 50; - src <= 77; - when "10010010" => - dest <= 92; - src <= 71; - when "10010011" => - dest <= 41; - src <= 89; - when "10010100" => - dest <= 74; - src <= 90; - when "10010101" => - dest <= 71; - src <= 71; - when "10010110" => - dest <= 62; - src <= 92; - when "10010111" => - dest <= 51; - src <= 50; - when "10011000" => - dest <= 73; - src <= 1; - when "10011001" => - dest <= 71; - src <= 78; - when "10011010" => - dest <= 71; - src <= 80; - when "10011011" => - dest <= 26; - src <= 91; - when "10011100" => - dest <= 15; - src <= 79; - when others => - dest <= 71; - src <= 71; - - end case; - end process; - - end generate NI_NUM71; - - NI_NUM72 : if NI_NUM = 72 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 88; - src <= 72; - when "00000001" => - dest <= 92; - src <= 72; - when "00000010" => - dest <= 72; - src <= 72; - when "00000011" => - dest <= 62; - src <= 72; - when "00000100" => - dest <= 37; - src <= 72; - when "00000101" => - dest <= 28; - src <= 52; - when "00000110" => - dest <= 72; - src <= 82; - when "00000111" => - dest <= 18; - src <= 66; - when "00001000" => - dest <= 60; - src <= 72; - when "00001001" => - dest <= 72; - src <= 38; - when "00001010" => - dest <= 72; - src <= 27; - when "00001011" => - dest <= 82; - src <= 72; - when "00001100" => - dest <= 8; - src <= 72; - when "00001101" => - dest <= 17; - src <= 84; - when "00001110" => - dest <= 26; - src <= 62; - when "00001111" => - dest <= 2; - src <= 17; - when "00010000" => - dest <= 72; - src <= 26; - when "00010001" => - dest <= 72; - src <= 36; - when "00010010" => - dest <= 72; - src <= 72; - when "00010011" => - dest <= 68; - src <= 72; - when "00010100" => - dest <= 47; - src <= 42; - when "00010101" => - dest <= 72; - src <= 46; - when "00010110" => - dest <= 12; - src <= 72; - when "00010111" => - dest <= 61; - src <= 72; - when "00011000" => - dest <= 36; - src <= 37; - when "00011001" => - dest <= 29; - src <= 28; - when "00011010" => - dest <= 72; - src <= 86; - when "00011011" => - dest <= 19; - src <= 83; - when "00011100" => - dest <= 79; - src <= 32; - when "00011101" => - dest <= 72; - src <= 72; - when "00011110" => - dest <= 72; - src <= 7; - when "00011111" => - dest <= 9; - src <= 72; - when "00100000" => - dest <= 84; - src <= 72; - when "00100001" => - dest <= 38; - src <= 75; - when "00100010" => - dest <= 25; - src <= 18; - when "00100011" => - dest <= 7; - src <= 25; - when "00100100" => - dest <= 72; - src <= 35; - when "00100101" => - dest <= 83; - src <= 60; - when "00100110" => - dest <= 72; - src <= 72; - when "00100111" => - dest <= 98; - src <= 45; - when "00101000" => - dest <= 72; - src <= 72; - when "00101001" => - dest <= 72; - src <= 61; - when "00101010" => - dest <= 57; - src <= 72; - when "00101011" => - dest <= 13; - src <= 16; - when "00101100" => - dest <= 58; - src <= 29; - when "00101101" => - dest <= 71; - src <= 47; - when "00101110" => - dest <= 46; - src <= 72; - when "00101111" => - dest <= 72; - src <= 56; - when "00110000" => - dest <= 72; - src <= 73; - when "00110001" => - dest <= 35; - src <= 72; - when "00110010" => - dest <= 39; - src <= 31; - when "00110011" => - dest <= 72; - src <= 97; - when "00110100" => - dest <= 10; - src <= 96; - when "00110101" => - dest <= 70; - src <= 72; - when "00110110" => - dest <= 72; - src <= 72; - when "00110111" => - dest <= 72; - src <= 8; - when "00111000" => - dest <= 15; - src <= 72; - when "00111001" => - dest <= 48; - src <= 74; - when "00111010" => - dest <= 81; - src <= 19; - when "00111011" => - dest <= 24; - src <= 15; - when "00111100" => - dest <= 72; - src <= 34; - when "00111101" => - dest <= 6; - src <= 72; - when "00111110" => - dest <= 72; - src <= 63; - when "00111111" => - dest <= 97; - src <= 72; - when "01000000" => - dest <= 72; - src <= 72; - when "01000001" => - dest <= 78; - src <= 39; - when "01000010" => - dest <= 20; - src <= 6; - when "01000011" => - dest <= 72; - src <= 72; - when "01000100" => - dest <= 80; - src <= 20; - when "01000101" => - dest <= 72; - src <= 72; - when "01000110" => - dest <= 14; - src <= 48; - when "01000111" => - dest <= 67; - src <= 76; - when "01001000" => - dest <= 49; - src <= 57; - when "01001001" => - dest <= 72; - src <= 64; - when "01001010" => - dest <= 72; - src <= 72; - when "01001011" => - dest <= 72; - src <= 24; - when "01001100" => - dest <= 56; - src <= 72; - when "01001101" => - dest <= 23; - src <= 72; - when "01001110" => - dest <= 72; - src <= 30; - when "01001111" => - dest <= 99; - src <= 87; - when "01010000" => - dest <= 72; - src <= 5; - when "01010001" => - dest <= 0; - src <= 72; - when "01010010" => - dest <= 5; - src <= 72; - when "01010011" => - dest <= 45; - src <= 72; - when "01010100" => - dest <= 72; - src <= 98; - when "01010101" => - dest <= 72; - src <= 21; - when "01010110" => - dest <= 34; - src <= 55; - when "01010111" => - dest <= 72; - src <= 72; - when "01011000" => - dest <= 11; - src <= 44; - when "01011001" => - dest <= 73; - src <= 72; - when "01011010" => - dest <= 21; - src <= 49; - when "01011011" => - dest <= 72; - src <= 9; - when "01011100" => - dest <= 96; - src <= 71; - when "01011101" => - dest <= 1; - src <= 72; - when "01011110" => - dest <= 72; - src <= 10; - when "01011111" => - dest <= 30; - src <= 33; - when "01100000" => - dest <= 87; - src <= 72; - when "01100001" => - dest <= 72; - src <= 72; - when "01100010" => - dest <= 72; - src <= 23; - when "01100011" => - dest <= 90; - src <= 43; - when "01100100" => - dest <= 66; - src <= 58; - when "01100101" => - dest <= 59; - src <= 72; - when "01100110" => - dest <= 72; - src <= 72; - when "01100111" => - dest <= 55; - src <= 14; - when "01101000" => - dest <= 91; - src <= 67; - when "01101001" => - dest <= 44; - src <= 54; - when "01101010" => - dest <= 72; - src <= 72; - when "01101011" => - dest <= 89; - src <= 88; - when "01101100" => - dest <= 33; - src <= 95; - when "01101101" => - dest <= 72; - src <= 53; - when "01101110" => - dest <= 4; - src <= 99; - when "01101111" => - dest <= 72; - src <= 72; - when "01110000" => - dest <= 31; - src <= 0; - when "01110001" => - dest <= 95; - src <= 65; - when "01110010" => - dest <= 72; - src <= 72; - when "01110011" => - dest <= 72; - src <= 11; - when "01110100" => - dest <= 40; - src <= 72; - when "01110101" => - dest <= 86; - src <= 40; - when "01110110" => - dest <= 72; - src <= 72; - when "01110111" => - dest <= 22; - src <= 13; - when "01111000" => - dest <= 72; - src <= 59; - when "01111001" => - dest <= 77; - src <= 72; - when "01111010" => - dest <= 72; - src <= 72; - when "01111011" => - dest <= 72; - src <= 4; - when "01111100" => - dest <= 69; - src <= 68; - when "01111101" => - dest <= 65; - src <= 72; - when "01111110" => - dest <= 72; - src <= 22; - when "01111111" => - dest <= 54; - src <= 72; - when "10000000" => - dest <= 72; - src <= 77; - when "10000001" => - dest <= 43; - src <= 72; - when "10000010" => - dest <= 72; - src <= 85; - when "10000011" => - dest <= 3; - src <= 89; - when "10000100" => - dest <= 41; - src <= 72; - when "10000101" => - dest <= 94; - src <= 90; - when "10000110" => - dest <= 72; - src <= 72; - when "10000111" => - dest <= 50; - src <= 1; - when "10001000" => - dest <= 85; - src <= 72; - when "10001001" => - dest <= 32; - src <= 41; - when "10001010" => - dest <= 72; - src <= 3; - when "10001011" => - dest <= 76; - src <= 50; - when "10001100" => - dest <= 72; - src <= 72; - when "10001101" => - dest <= 72; - src <= 94; - when "10001110" => - dest <= 64; - src <= 69; - when "10001111" => - dest <= 53; - src <= 12; - when "10010000" => - dest <= 72; - src <= 72; - when "10010001" => - dest <= 51; - src <= 78; - when "10010010" => - dest <= 93; - src <= 72; - when "10010011" => - dest <= 42; - src <= 80; - when "10010100" => - dest <= 75; - src <= 91; - when "10010101" => - dest <= 72; - src <= 72; - when "10010110" => - dest <= 63; - src <= 93; - when "10010111" => - dest <= 52; - src <= 51; - when "10011000" => - dest <= 74; - src <= 2; - when "10011001" => - dest <= 72; - src <= 79; - when "10011010" => - dest <= 72; - src <= 81; - when "10011011" => - dest <= 27; - src <= 92; - when "10011100" => - dest <= 16; - src <= 70; - when others => - dest <= 72; - src <= 72; - - end case; - end process; - - end generate NI_NUM72; - - NI_NUM73 : if NI_NUM = 73 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 89; - src <= 73; - when "00000001" => - dest <= 93; - src <= 73; - when "00000010" => - dest <= 73; - src <= 73; - when "00000011" => - dest <= 63; - src <= 73; - when "00000100" => - dest <= 38; - src <= 73; - when "00000101" => - dest <= 29; - src <= 53; - when "00000110" => - dest <= 73; - src <= 83; - when "00000111" => - dest <= 19; - src <= 67; - when "00001000" => - dest <= 61; - src <= 73; - when "00001001" => - dest <= 73; - src <= 39; - when "00001010" => - dest <= 73; - src <= 28; - when "00001011" => - dest <= 83; - src <= 73; - when "00001100" => - dest <= 9; - src <= 73; - when "00001101" => - dest <= 18; - src <= 85; - when "00001110" => - dest <= 27; - src <= 63; - when "00001111" => - dest <= 3; - src <= 18; - when "00010000" => - dest <= 73; - src <= 27; - when "00010001" => - dest <= 73; - src <= 37; - when "00010010" => - dest <= 73; - src <= 73; - when "00010011" => - dest <= 69; - src <= 73; - when "00010100" => - dest <= 48; - src <= 43; - when "00010101" => - dest <= 73; - src <= 47; - when "00010110" => - dest <= 13; - src <= 73; - when "00010111" => - dest <= 62; - src <= 73; - when "00011000" => - dest <= 37; - src <= 38; - when "00011001" => - dest <= 20; - src <= 29; - when "00011010" => - dest <= 73; - src <= 87; - when "00011011" => - dest <= 10; - src <= 84; - when "00011100" => - dest <= 70; - src <= 33; - when "00011101" => - dest <= 73; - src <= 73; - when "00011110" => - dest <= 73; - src <= 8; - when "00011111" => - dest <= 0; - src <= 73; - when "00100000" => - dest <= 85; - src <= 73; - when "00100001" => - dest <= 39; - src <= 76; - when "00100010" => - dest <= 26; - src <= 19; - when "00100011" => - dest <= 8; - src <= 26; - when "00100100" => - dest <= 73; - src <= 36; - when "00100101" => - dest <= 84; - src <= 61; - when "00100110" => - dest <= 73; - src <= 73; - when "00100111" => - dest <= 99; - src <= 46; - when "00101000" => - dest <= 73; - src <= 73; - when "00101001" => - dest <= 73; - src <= 62; - when "00101010" => - dest <= 58; - src <= 73; - when "00101011" => - dest <= 14; - src <= 17; - when "00101100" => - dest <= 59; - src <= 20; - when "00101101" => - dest <= 72; - src <= 48; - when "00101110" => - dest <= 47; - src <= 73; - when "00101111" => - dest <= 73; - src <= 57; - when "00110000" => - dest <= 73; - src <= 74; - when "00110001" => - dest <= 36; - src <= 73; - when "00110010" => - dest <= 30; - src <= 32; - when "00110011" => - dest <= 73; - src <= 98; - when "00110100" => - dest <= 11; - src <= 97; - when "00110101" => - dest <= 71; - src <= 73; - when "00110110" => - dest <= 73; - src <= 73; - when "00110111" => - dest <= 73; - src <= 9; - when "00111000" => - dest <= 16; - src <= 73; - when "00111001" => - dest <= 49; - src <= 75; - when "00111010" => - dest <= 82; - src <= 10; - when "00111011" => - dest <= 25; - src <= 16; - when "00111100" => - dest <= 73; - src <= 35; - when "00111101" => - dest <= 7; - src <= 73; - when "00111110" => - dest <= 73; - src <= 64; - when "00111111" => - dest <= 98; - src <= 73; - when "01000000" => - dest <= 73; - src <= 73; - when "01000001" => - dest <= 79; - src <= 30; - when "01000010" => - dest <= 21; - src <= 7; - when "01000011" => - dest <= 73; - src <= 73; - when "01000100" => - dest <= 81; - src <= 21; - when "01000101" => - dest <= 73; - src <= 73; - when "01000110" => - dest <= 15; - src <= 49; - when "01000111" => - dest <= 68; - src <= 77; - when "01001000" => - dest <= 40; - src <= 58; - when "01001001" => - dest <= 73; - src <= 65; - when "01001010" => - dest <= 73; - src <= 73; - when "01001011" => - dest <= 73; - src <= 25; - when "01001100" => - dest <= 57; - src <= 73; - when "01001101" => - dest <= 24; - src <= 73; - when "01001110" => - dest <= 73; - src <= 31; - when "01001111" => - dest <= 90; - src <= 88; - when "01010000" => - dest <= 73; - src <= 6; - when "01010001" => - dest <= 1; - src <= 73; - when "01010010" => - dest <= 6; - src <= 73; - when "01010011" => - dest <= 46; - src <= 73; - when "01010100" => - dest <= 73; - src <= 99; - when "01010101" => - dest <= 73; - src <= 22; - when "01010110" => - dest <= 35; - src <= 56; - when "01010111" => - dest <= 73; - src <= 73; - when "01011000" => - dest <= 12; - src <= 45; - when "01011001" => - dest <= 74; - src <= 73; - when "01011010" => - dest <= 22; - src <= 40; - when "01011011" => - dest <= 73; - src <= 0; - when "01011100" => - dest <= 97; - src <= 72; - when "01011101" => - dest <= 2; - src <= 73; - when "01011110" => - dest <= 73; - src <= 11; - when "01011111" => - dest <= 31; - src <= 34; - when "01100000" => - dest <= 88; - src <= 73; - when "01100001" => - dest <= 73; - src <= 73; - when "01100010" => - dest <= 73; - src <= 24; - when "01100011" => - dest <= 91; - src <= 44; - when "01100100" => - dest <= 67; - src <= 59; - when "01100101" => - dest <= 50; - src <= 73; - when "01100110" => - dest <= 73; - src <= 73; - when "01100111" => - dest <= 56; - src <= 15; - when "01101000" => - dest <= 92; - src <= 68; - when "01101001" => - dest <= 45; - src <= 55; - when "01101010" => - dest <= 73; - src <= 73; - when "01101011" => - dest <= 80; - src <= 89; - when "01101100" => - dest <= 34; - src <= 96; - when "01101101" => - dest <= 73; - src <= 54; - when "01101110" => - dest <= 5; - src <= 90; - when "01101111" => - dest <= 73; - src <= 73; - when "01110000" => - dest <= 32; - src <= 1; - when "01110001" => - dest <= 96; - src <= 66; - when "01110010" => - dest <= 73; - src <= 73; - when "01110011" => - dest <= 73; - src <= 12; - when "01110100" => - dest <= 41; - src <= 73; - when "01110101" => - dest <= 87; - src <= 41; - when "01110110" => - dest <= 73; - src <= 73; - when "01110111" => - dest <= 23; - src <= 14; - when "01111000" => - dest <= 73; - src <= 50; - when "01111001" => - dest <= 78; - src <= 73; - when "01111010" => - dest <= 73; - src <= 73; - when "01111011" => - dest <= 73; - src <= 5; - when "01111100" => - dest <= 60; - src <= 69; - when "01111101" => - dest <= 66; - src <= 73; - when "01111110" => - dest <= 73; - src <= 23; - when "01111111" => - dest <= 55; - src <= 73; - when "10000000" => - dest <= 73; - src <= 78; - when "10000001" => - dest <= 44; - src <= 73; - when "10000010" => - dest <= 73; - src <= 86; - when "10000011" => - dest <= 4; - src <= 80; - when "10000100" => - dest <= 42; - src <= 73; - when "10000101" => - dest <= 95; - src <= 91; - when "10000110" => - dest <= 73; - src <= 73; - when "10000111" => - dest <= 51; - src <= 2; - when "10001000" => - dest <= 86; - src <= 73; - when "10001001" => - dest <= 33; - src <= 42; - when "10001010" => - dest <= 73; - src <= 4; - when "10001011" => - dest <= 77; - src <= 51; - when "10001100" => - dest <= 73; - src <= 73; - when "10001101" => - dest <= 73; - src <= 95; - when "10001110" => - dest <= 65; - src <= 60; - when "10001111" => - dest <= 54; - src <= 13; - when "10010000" => - dest <= 73; - src <= 73; - when "10010001" => - dest <= 52; - src <= 79; - when "10010010" => - dest <= 94; - src <= 73; - when "10010011" => - dest <= 43; - src <= 81; - when "10010100" => - dest <= 76; - src <= 92; - when "10010101" => - dest <= 73; - src <= 73; - when "10010110" => - dest <= 64; - src <= 94; - when "10010111" => - dest <= 53; - src <= 52; - when "10011000" => - dest <= 75; - src <= 3; - when "10011001" => - dest <= 73; - src <= 70; - when "10011010" => - dest <= 73; - src <= 82; - when "10011011" => - dest <= 28; - src <= 93; - when "10011100" => - dest <= 17; - src <= 71; - when others => - dest <= 73; - src <= 73; - - end case; - end process; - - end generate NI_NUM73; - - NI_NUM74 : if NI_NUM = 74 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 80; - src <= 74; - when "00000001" => - dest <= 94; - src <= 74; - when "00000010" => - dest <= 74; - src <= 74; - when "00000011" => - dest <= 64; - src <= 74; - when "00000100" => - dest <= 39; - src <= 74; - when "00000101" => - dest <= 20; - src <= 54; - when "00000110" => - dest <= 74; - src <= 84; - when "00000111" => - dest <= 10; - src <= 68; - when "00001000" => - dest <= 62; - src <= 74; - when "00001001" => - dest <= 74; - src <= 30; - when "00001010" => - dest <= 74; - src <= 29; - when "00001011" => - dest <= 84; - src <= 74; - when "00001100" => - dest <= 0; - src <= 74; - when "00001101" => - dest <= 19; - src <= 86; - when "00001110" => - dest <= 28; - src <= 64; - when "00001111" => - dest <= 4; - src <= 19; - when "00010000" => - dest <= 74; - src <= 28; - when "00010001" => - dest <= 74; - src <= 38; - when "00010010" => - dest <= 74; - src <= 74; - when "00010011" => - dest <= 60; - src <= 74; - when "00010100" => - dest <= 49; - src <= 44; - when "00010101" => - dest <= 74; - src <= 48; - when "00010110" => - dest <= 14; - src <= 74; - when "00010111" => - dest <= 63; - src <= 74; - when "00011000" => - dest <= 38; - src <= 39; - when "00011001" => - dest <= 21; - src <= 20; - when "00011010" => - dest <= 74; - src <= 88; - when "00011011" => - dest <= 11; - src <= 85; - when "00011100" => - dest <= 71; - src <= 34; - when "00011101" => - dest <= 74; - src <= 74; - when "00011110" => - dest <= 74; - src <= 9; - when "00011111" => - dest <= 1; - src <= 74; - when "00100000" => - dest <= 86; - src <= 74; - when "00100001" => - dest <= 30; - src <= 77; - when "00100010" => - dest <= 27; - src <= 10; - when "00100011" => - dest <= 9; - src <= 27; - when "00100100" => - dest <= 74; - src <= 37; - when "00100101" => - dest <= 85; - src <= 62; - when "00100110" => - dest <= 74; - src <= 74; - when "00100111" => - dest <= 90; - src <= 47; - when "00101000" => - dest <= 74; - src <= 74; - when "00101001" => - dest <= 74; - src <= 63; - when "00101010" => - dest <= 59; - src <= 74; - when "00101011" => - dest <= 15; - src <= 18; - when "00101100" => - dest <= 50; - src <= 21; - when "00101101" => - dest <= 73; - src <= 49; - when "00101110" => - dest <= 48; - src <= 74; - when "00101111" => - dest <= 74; - src <= 58; - when "00110000" => - dest <= 74; - src <= 75; - when "00110001" => - dest <= 37; - src <= 74; - when "00110010" => - dest <= 31; - src <= 33; - when "00110011" => - dest <= 74; - src <= 99; - when "00110100" => - dest <= 12; - src <= 98; - when "00110101" => - dest <= 72; - src <= 74; - when "00110110" => - dest <= 74; - src <= 74; - when "00110111" => - dest <= 74; - src <= 0; - when "00111000" => - dest <= 17; - src <= 74; - when "00111001" => - dest <= 40; - src <= 76; - when "00111010" => - dest <= 83; - src <= 11; - when "00111011" => - dest <= 26; - src <= 17; - when "00111100" => - dest <= 74; - src <= 36; - when "00111101" => - dest <= 8; - src <= 74; - when "00111110" => - dest <= 74; - src <= 65; - when "00111111" => - dest <= 99; - src <= 74; - when "01000000" => - dest <= 74; - src <= 74; - when "01000001" => - dest <= 70; - src <= 31; - when "01000010" => - dest <= 22; - src <= 8; - when "01000011" => - dest <= 74; - src <= 74; - when "01000100" => - dest <= 82; - src <= 22; - when "01000101" => - dest <= 74; - src <= 74; - when "01000110" => - dest <= 16; - src <= 40; - when "01000111" => - dest <= 69; - src <= 78; - when "01001000" => - dest <= 41; - src <= 59; - when "01001001" => - dest <= 74; - src <= 66; - when "01001010" => - dest <= 74; - src <= 74; - when "01001011" => - dest <= 74; - src <= 26; - when "01001100" => - dest <= 58; - src <= 74; - when "01001101" => - dest <= 25; - src <= 74; - when "01001110" => - dest <= 74; - src <= 32; - when "01001111" => - dest <= 91; - src <= 89; - when "01010000" => - dest <= 74; - src <= 7; - when "01010001" => - dest <= 2; - src <= 74; - when "01010010" => - dest <= 7; - src <= 74; - when "01010011" => - dest <= 47; - src <= 74; - when "01010100" => - dest <= 74; - src <= 90; - when "01010101" => - dest <= 74; - src <= 23; - when "01010110" => - dest <= 36; - src <= 57; - when "01010111" => - dest <= 74; - src <= 74; - when "01011000" => - dest <= 13; - src <= 46; - when "01011001" => - dest <= 75; - src <= 74; - when "01011010" => - dest <= 23; - src <= 41; - when "01011011" => - dest <= 74; - src <= 1; - when "01011100" => - dest <= 98; - src <= 73; - when "01011101" => - dest <= 3; - src <= 74; - when "01011110" => - dest <= 74; - src <= 12; - when "01011111" => - dest <= 32; - src <= 35; - when "01100000" => - dest <= 89; - src <= 74; - when "01100001" => - dest <= 74; - src <= 74; - when "01100010" => - dest <= 74; - src <= 25; - when "01100011" => - dest <= 92; - src <= 45; - when "01100100" => - dest <= 68; - src <= 50; - when "01100101" => - dest <= 51; - src <= 74; - when "01100110" => - dest <= 74; - src <= 74; - when "01100111" => - dest <= 57; - src <= 16; - when "01101000" => - dest <= 93; - src <= 69; - when "01101001" => - dest <= 46; - src <= 56; - when "01101010" => - dest <= 74; - src <= 74; - when "01101011" => - dest <= 81; - src <= 80; - when "01101100" => - dest <= 35; - src <= 97; - when "01101101" => - dest <= 74; - src <= 55; - when "01101110" => - dest <= 6; - src <= 91; - when "01101111" => - dest <= 74; - src <= 74; - when "01110000" => - dest <= 33; - src <= 2; - when "01110001" => - dest <= 97; - src <= 67; - when "01110010" => - dest <= 74; - src <= 74; - when "01110011" => - dest <= 74; - src <= 13; - when "01110100" => - dest <= 42; - src <= 74; - when "01110101" => - dest <= 88; - src <= 42; - when "01110110" => - dest <= 74; - src <= 74; - when "01110111" => - dest <= 24; - src <= 15; - when "01111000" => - dest <= 74; - src <= 51; - when "01111001" => - dest <= 79; - src <= 74; - when "01111010" => - dest <= 74; - src <= 74; - when "01111011" => - dest <= 74; - src <= 6; - when "01111100" => - dest <= 61; - src <= 60; - when "01111101" => - dest <= 67; - src <= 74; - when "01111110" => - dest <= 74; - src <= 24; - when "01111111" => - dest <= 56; - src <= 74; - when "10000000" => - dest <= 74; - src <= 79; - when "10000001" => - dest <= 45; - src <= 74; - when "10000010" => - dest <= 74; - src <= 87; - when "10000011" => - dest <= 5; - src <= 81; - when "10000100" => - dest <= 43; - src <= 74; - when "10000101" => - dest <= 96; - src <= 92; - when "10000110" => - dest <= 74; - src <= 74; - when "10000111" => - dest <= 52; - src <= 3; - when "10001000" => - dest <= 87; - src <= 74; - when "10001001" => - dest <= 34; - src <= 43; - when "10001010" => - dest <= 74; - src <= 5; - when "10001011" => - dest <= 78; - src <= 52; - when "10001100" => - dest <= 74; - src <= 74; - when "10001101" => - dest <= 74; - src <= 96; - when "10001110" => - dest <= 66; - src <= 61; - when "10001111" => - dest <= 55; - src <= 14; - when "10010000" => - dest <= 74; - src <= 74; - when "10010001" => - dest <= 53; - src <= 70; - when "10010010" => - dest <= 95; - src <= 74; - when "10010011" => - dest <= 44; - src <= 82; - when "10010100" => - dest <= 77; - src <= 93; - when "10010101" => - dest <= 74; - src <= 74; - when "10010110" => - dest <= 65; - src <= 95; - when "10010111" => - dest <= 54; - src <= 53; - when "10011000" => - dest <= 76; - src <= 4; - when "10011001" => - dest <= 74; - src <= 71; - when "10011010" => - dest <= 74; - src <= 83; - when "10011011" => - dest <= 29; - src <= 94; - when "10011100" => - dest <= 18; - src <= 72; - when others => - dest <= 74; - src <= 74; - - end case; - end process; - - end generate NI_NUM74; - - NI_NUM75 : if NI_NUM = 75 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 81; - src <= 75; - when "00000001" => - dest <= 95; - src <= 75; - when "00000010" => - dest <= 75; - src <= 75; - when "00000011" => - dest <= 65; - src <= 75; - when "00000100" => - dest <= 30; - src <= 75; - when "00000101" => - dest <= 21; - src <= 55; - when "00000110" => - dest <= 75; - src <= 85; - when "00000111" => - dest <= 11; - src <= 69; - when "00001000" => - dest <= 63; - src <= 75; - when "00001001" => - dest <= 75; - src <= 31; - when "00001010" => - dest <= 75; - src <= 20; - when "00001011" => - dest <= 85; - src <= 75; - when "00001100" => - dest <= 1; - src <= 75; - when "00001101" => - dest <= 10; - src <= 87; - when "00001110" => - dest <= 29; - src <= 65; - when "00001111" => - dest <= 5; - src <= 10; - when "00010000" => - dest <= 75; - src <= 29; - when "00010001" => - dest <= 75; - src <= 39; - when "00010010" => - dest <= 75; - src <= 75; - when "00010011" => - dest <= 61; - src <= 75; - when "00010100" => - dest <= 40; - src <= 45; - when "00010101" => - dest <= 75; - src <= 49; - when "00010110" => - dest <= 15; - src <= 75; - when "00010111" => - dest <= 64; - src <= 75; - when "00011000" => - dest <= 39; - src <= 30; - when "00011001" => - dest <= 22; - src <= 21; - when "00011010" => - dest <= 75; - src <= 89; - when "00011011" => - dest <= 12; - src <= 86; - when "00011100" => - dest <= 72; - src <= 35; - when "00011101" => - dest <= 75; - src <= 75; - when "00011110" => - dest <= 75; - src <= 0; - when "00011111" => - dest <= 2; - src <= 75; - when "00100000" => - dest <= 87; - src <= 75; - when "00100001" => - dest <= 31; - src <= 78; - when "00100010" => - dest <= 28; - src <= 11; - when "00100011" => - dest <= 0; - src <= 28; - when "00100100" => - dest <= 75; - src <= 38; - when "00100101" => - dest <= 86; - src <= 63; - when "00100110" => - dest <= 75; - src <= 75; - when "00100111" => - dest <= 91; - src <= 48; - when "00101000" => - dest <= 75; - src <= 75; - when "00101001" => - dest <= 75; - src <= 64; - when "00101010" => - dest <= 50; - src <= 75; - when "00101011" => - dest <= 16; - src <= 19; - when "00101100" => - dest <= 51; - src <= 22; - when "00101101" => - dest <= 74; - src <= 40; - when "00101110" => - dest <= 49; - src <= 75; - when "00101111" => - dest <= 75; - src <= 59; - when "00110000" => - dest <= 75; - src <= 76; - when "00110001" => - dest <= 38; - src <= 75; - when "00110010" => - dest <= 32; - src <= 34; - when "00110011" => - dest <= 75; - src <= 90; - when "00110100" => - dest <= 13; - src <= 99; - when "00110101" => - dest <= 73; - src <= 75; - when "00110110" => - dest <= 75; - src <= 75; - when "00110111" => - dest <= 75; - src <= 1; - when "00111000" => - dest <= 18; - src <= 75; - when "00111001" => - dest <= 41; - src <= 77; - when "00111010" => - dest <= 84; - src <= 12; - when "00111011" => - dest <= 27; - src <= 18; - when "00111100" => - dest <= 75; - src <= 37; - when "00111101" => - dest <= 9; - src <= 75; - when "00111110" => - dest <= 75; - src <= 66; - when "00111111" => - dest <= 90; - src <= 75; - when "01000000" => - dest <= 75; - src <= 75; - when "01000001" => - dest <= 71; - src <= 32; - when "01000010" => - dest <= 23; - src <= 9; - when "01000011" => - dest <= 75; - src <= 75; - when "01000100" => - dest <= 83; - src <= 23; - when "01000101" => - dest <= 75; - src <= 75; - when "01000110" => - dest <= 17; - src <= 41; - when "01000111" => - dest <= 60; - src <= 79; - when "01001000" => - dest <= 42; - src <= 50; - when "01001001" => - dest <= 75; - src <= 67; - when "01001010" => - dest <= 75; - src <= 75; - when "01001011" => - dest <= 75; - src <= 27; - when "01001100" => - dest <= 59; - src <= 75; - when "01001101" => - dest <= 26; - src <= 75; - when "01001110" => - dest <= 75; - src <= 33; - when "01001111" => - dest <= 92; - src <= 80; - when "01010000" => - dest <= 75; - src <= 8; - when "01010001" => - dest <= 3; - src <= 75; - when "01010010" => - dest <= 8; - src <= 75; - when "01010011" => - dest <= 48; - src <= 75; - when "01010100" => - dest <= 75; - src <= 91; - when "01010101" => - dest <= 75; - src <= 24; - when "01010110" => - dest <= 37; - src <= 58; - when "01010111" => - dest <= 75; - src <= 75; - when "01011000" => - dest <= 14; - src <= 47; - when "01011001" => - dest <= 76; - src <= 75; - when "01011010" => - dest <= 24; - src <= 42; - when "01011011" => - dest <= 75; - src <= 2; - when "01011100" => - dest <= 99; - src <= 74; - when "01011101" => - dest <= 4; - src <= 75; - when "01011110" => - dest <= 75; - src <= 13; - when "01011111" => - dest <= 33; - src <= 36; - when "01100000" => - dest <= 80; - src <= 75; - when "01100001" => - dest <= 75; - src <= 75; - when "01100010" => - dest <= 75; - src <= 26; - when "01100011" => - dest <= 93; - src <= 46; - when "01100100" => - dest <= 69; - src <= 51; - when "01100101" => - dest <= 52; - src <= 75; - when "01100110" => - dest <= 75; - src <= 75; - when "01100111" => - dest <= 58; - src <= 17; - when "01101000" => - dest <= 94; - src <= 60; - when "01101001" => - dest <= 47; - src <= 57; - when "01101010" => - dest <= 75; - src <= 75; - when "01101011" => - dest <= 82; - src <= 81; - when "01101100" => - dest <= 36; - src <= 98; - when "01101101" => - dest <= 75; - src <= 56; - when "01101110" => - dest <= 7; - src <= 92; - when "01101111" => - dest <= 75; - src <= 75; - when "01110000" => - dest <= 34; - src <= 3; - when "01110001" => - dest <= 98; - src <= 68; - when "01110010" => - dest <= 75; - src <= 75; - when "01110011" => - dest <= 75; - src <= 14; - when "01110100" => - dest <= 43; - src <= 75; - when "01110101" => - dest <= 89; - src <= 43; - when "01110110" => - dest <= 75; - src <= 75; - when "01110111" => - dest <= 25; - src <= 16; - when "01111000" => - dest <= 75; - src <= 52; - when "01111001" => - dest <= 70; - src <= 75; - when "01111010" => - dest <= 75; - src <= 75; - when "01111011" => - dest <= 75; - src <= 7; - when "01111100" => - dest <= 62; - src <= 61; - when "01111101" => - dest <= 68; - src <= 75; - when "01111110" => - dest <= 75; - src <= 25; - when "01111111" => - dest <= 57; - src <= 75; - when "10000000" => - dest <= 75; - src <= 70; - when "10000001" => - dest <= 46; - src <= 75; - when "10000010" => - dest <= 75; - src <= 88; - when "10000011" => - dest <= 6; - src <= 82; - when "10000100" => - dest <= 44; - src <= 75; - when "10000101" => - dest <= 97; - src <= 93; - when "10000110" => - dest <= 75; - src <= 75; - when "10000111" => - dest <= 53; - src <= 4; - when "10001000" => - dest <= 88; - src <= 75; - when "10001001" => - dest <= 35; - src <= 44; - when "10001010" => - dest <= 75; - src <= 6; - when "10001011" => - dest <= 79; - src <= 53; - when "10001100" => - dest <= 75; - src <= 75; - when "10001101" => - dest <= 75; - src <= 97; - when "10001110" => - dest <= 67; - src <= 62; - when "10001111" => - dest <= 56; - src <= 15; - when "10010000" => - dest <= 75; - src <= 75; - when "10010001" => - dest <= 54; - src <= 71; - when "10010010" => - dest <= 96; - src <= 75; - when "10010011" => - dest <= 45; - src <= 83; - when "10010100" => - dest <= 78; - src <= 94; - when "10010101" => - dest <= 75; - src <= 75; - when "10010110" => - dest <= 66; - src <= 96; - when "10010111" => - dest <= 55; - src <= 54; - when "10011000" => - dest <= 77; - src <= 5; - when "10011001" => - dest <= 75; - src <= 72; - when "10011010" => - dest <= 75; - src <= 84; - when "10011011" => - dest <= 20; - src <= 95; - when "10011100" => - dest <= 19; - src <= 73; - when others => - dest <= 75; - src <= 75; - - end case; - end process; - - end generate NI_NUM75; - - NI_NUM76 : if NI_NUM = 76 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 82; - src <= 76; - when "00000001" => - dest <= 96; - src <= 76; - when "00000010" => - dest <= 76; - src <= 76; - when "00000011" => - dest <= 66; - src <= 76; - when "00000100" => - dest <= 31; - src <= 76; - when "00000101" => - dest <= 22; - src <= 56; - when "00000110" => - dest <= 76; - src <= 86; - when "00000111" => - dest <= 12; - src <= 60; - when "00001000" => - dest <= 64; - src <= 76; - when "00001001" => - dest <= 76; - src <= 32; - when "00001010" => - dest <= 76; - src <= 21; - when "00001011" => - dest <= 86; - src <= 76; - when "00001100" => - dest <= 2; - src <= 76; - when "00001101" => - dest <= 11; - src <= 88; - when "00001110" => - dest <= 20; - src <= 66; - when "00001111" => - dest <= 6; - src <= 11; - when "00010000" => - dest <= 76; - src <= 20; - when "00010001" => - dest <= 76; - src <= 30; - when "00010010" => - dest <= 76; - src <= 76; - when "00010011" => - dest <= 62; - src <= 76; - when "00010100" => - dest <= 41; - src <= 46; - when "00010101" => - dest <= 76; - src <= 40; - when "00010110" => - dest <= 16; - src <= 76; - when "00010111" => - dest <= 65; - src <= 76; - when "00011000" => - dest <= 30; - src <= 31; - when "00011001" => - dest <= 23; - src <= 22; - when "00011010" => - dest <= 76; - src <= 80; - when "00011011" => - dest <= 13; - src <= 87; - when "00011100" => - dest <= 73; - src <= 36; - when "00011101" => - dest <= 76; - src <= 76; - when "00011110" => - dest <= 76; - src <= 1; - when "00011111" => - dest <= 3; - src <= 76; - when "00100000" => - dest <= 88; - src <= 76; - when "00100001" => - dest <= 32; - src <= 79; - when "00100010" => - dest <= 29; - src <= 12; - when "00100011" => - dest <= 1; - src <= 29; - when "00100100" => - dest <= 76; - src <= 39; - when "00100101" => - dest <= 87; - src <= 64; - when "00100110" => - dest <= 76; - src <= 76; - when "00100111" => - dest <= 92; - src <= 49; - when "00101000" => - dest <= 76; - src <= 76; - when "00101001" => - dest <= 76; - src <= 65; - when "00101010" => - dest <= 51; - src <= 76; - when "00101011" => - dest <= 17; - src <= 10; - when "00101100" => - dest <= 52; - src <= 23; - when "00101101" => - dest <= 75; - src <= 41; - when "00101110" => - dest <= 40; - src <= 76; - when "00101111" => - dest <= 76; - src <= 50; - when "00110000" => - dest <= 76; - src <= 77; - when "00110001" => - dest <= 39; - src <= 76; - when "00110010" => - dest <= 33; - src <= 35; - when "00110011" => - dest <= 76; - src <= 91; - when "00110100" => - dest <= 14; - src <= 90; - when "00110101" => - dest <= 74; - src <= 76; - when "00110110" => - dest <= 76; - src <= 76; - when "00110111" => - dest <= 76; - src <= 2; - when "00111000" => - dest <= 19; - src <= 76; - when "00111001" => - dest <= 42; - src <= 78; - when "00111010" => - dest <= 85; - src <= 13; - when "00111011" => - dest <= 28; - src <= 19; - when "00111100" => - dest <= 76; - src <= 38; - when "00111101" => - dest <= 0; - src <= 76; - when "00111110" => - dest <= 76; - src <= 67; - when "00111111" => - dest <= 91; - src <= 76; - when "01000000" => - dest <= 76; - src <= 76; - when "01000001" => - dest <= 72; - src <= 33; - when "01000010" => - dest <= 24; - src <= 0; - when "01000011" => - dest <= 76; - src <= 76; - when "01000100" => - dest <= 84; - src <= 24; - when "01000101" => - dest <= 76; - src <= 76; - when "01000110" => - dest <= 18; - src <= 42; - when "01000111" => - dest <= 61; - src <= 70; - when "01001000" => - dest <= 43; - src <= 51; - when "01001001" => - dest <= 76; - src <= 68; - when "01001010" => - dest <= 76; - src <= 76; - when "01001011" => - dest <= 76; - src <= 28; - when "01001100" => - dest <= 50; - src <= 76; - when "01001101" => - dest <= 27; - src <= 76; - when "01001110" => - dest <= 76; - src <= 34; - when "01001111" => - dest <= 93; - src <= 81; - when "01010000" => - dest <= 76; - src <= 9; - when "01010001" => - dest <= 4; - src <= 76; - when "01010010" => - dest <= 9; - src <= 76; - when "01010011" => - dest <= 49; - src <= 76; - when "01010100" => - dest <= 76; - src <= 92; - when "01010101" => - dest <= 76; - src <= 25; - when "01010110" => - dest <= 38; - src <= 59; - when "01010111" => - dest <= 76; - src <= 76; - when "01011000" => - dest <= 15; - src <= 48; - when "01011001" => - dest <= 77; - src <= 76; - when "01011010" => - dest <= 25; - src <= 43; - when "01011011" => - dest <= 76; - src <= 3; - when "01011100" => - dest <= 90; - src <= 75; - when "01011101" => - dest <= 5; - src <= 76; - when "01011110" => - dest <= 76; - src <= 14; - when "01011111" => - dest <= 34; - src <= 37; - when "01100000" => - dest <= 81; - src <= 76; - when "01100001" => - dest <= 76; - src <= 76; - when "01100010" => - dest <= 76; - src <= 27; - when "01100011" => - dest <= 94; - src <= 47; - when "01100100" => - dest <= 60; - src <= 52; - when "01100101" => - dest <= 53; - src <= 76; - when "01100110" => - dest <= 76; - src <= 76; - when "01100111" => - dest <= 59; - src <= 18; - when "01101000" => - dest <= 95; - src <= 61; - when "01101001" => - dest <= 48; - src <= 58; - when "01101010" => - dest <= 76; - src <= 76; - when "01101011" => - dest <= 83; - src <= 82; - when "01101100" => - dest <= 37; - src <= 99; - when "01101101" => - dest <= 76; - src <= 57; - when "01101110" => - dest <= 8; - src <= 93; - when "01101111" => - dest <= 76; - src <= 76; - when "01110000" => - dest <= 35; - src <= 4; - when "01110001" => - dest <= 99; - src <= 69; - when "01110010" => - dest <= 76; - src <= 76; - when "01110011" => - dest <= 76; - src <= 15; - when "01110100" => - dest <= 44; - src <= 76; - when "01110101" => - dest <= 80; - src <= 44; - when "01110110" => - dest <= 76; - src <= 76; - when "01110111" => - dest <= 26; - src <= 17; - when "01111000" => - dest <= 76; - src <= 53; - when "01111001" => - dest <= 71; - src <= 76; - when "01111010" => - dest <= 76; - src <= 76; - when "01111011" => - dest <= 76; - src <= 8; - when "01111100" => - dest <= 63; - src <= 62; - when "01111101" => - dest <= 69; - src <= 76; - when "01111110" => - dest <= 76; - src <= 26; - when "01111111" => - dest <= 58; - src <= 76; - when "10000000" => - dest <= 76; - src <= 71; - when "10000001" => - dest <= 47; - src <= 76; - when "10000010" => - dest <= 76; - src <= 89; - when "10000011" => - dest <= 7; - src <= 83; - when "10000100" => - dest <= 45; - src <= 76; - when "10000101" => - dest <= 98; - src <= 94; - when "10000110" => - dest <= 76; - src <= 76; - when "10000111" => - dest <= 54; - src <= 5; - when "10001000" => - dest <= 89; - src <= 76; - when "10001001" => - dest <= 36; - src <= 45; - when "10001010" => - dest <= 76; - src <= 7; - when "10001011" => - dest <= 70; - src <= 54; - when "10001100" => - dest <= 76; - src <= 76; - when "10001101" => - dest <= 76; - src <= 98; - when "10001110" => - dest <= 68; - src <= 63; - when "10001111" => - dest <= 57; - src <= 16; - when "10010000" => - dest <= 76; - src <= 76; - when "10010001" => - dest <= 55; - src <= 72; - when "10010010" => - dest <= 97; - src <= 76; - when "10010011" => - dest <= 46; - src <= 84; - when "10010100" => - dest <= 79; - src <= 95; - when "10010101" => - dest <= 76; - src <= 76; - when "10010110" => - dest <= 67; - src <= 97; - when "10010111" => - dest <= 56; - src <= 55; - when "10011000" => - dest <= 78; - src <= 6; - when "10011001" => - dest <= 76; - src <= 73; - when "10011010" => - dest <= 76; - src <= 85; - when "10011011" => - dest <= 21; - src <= 96; - when "10011100" => - dest <= 10; - src <= 74; - when others => - dest <= 76; - src <= 76; - - end case; - end process; - - end generate NI_NUM76; - - NI_NUM77 : if NI_NUM = 77 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 83; - src <= 77; - when "00000001" => - dest <= 97; - src <= 77; - when "00000010" => - dest <= 77; - src <= 77; - when "00000011" => - dest <= 67; - src <= 77; - when "00000100" => - dest <= 32; - src <= 77; - when "00000101" => - dest <= 23; - src <= 57; - when "00000110" => - dest <= 77; - src <= 87; - when "00000111" => - dest <= 13; - src <= 61; - when "00001000" => - dest <= 65; - src <= 77; - when "00001001" => - dest <= 77; - src <= 33; - when "00001010" => - dest <= 77; - src <= 22; - when "00001011" => - dest <= 87; - src <= 77; - when "00001100" => - dest <= 3; - src <= 77; - when "00001101" => - dest <= 12; - src <= 89; - when "00001110" => - dest <= 21; - src <= 67; - when "00001111" => - dest <= 7; - src <= 12; - when "00010000" => - dest <= 77; - src <= 21; - when "00010001" => - dest <= 77; - src <= 31; - when "00010010" => - dest <= 77; - src <= 77; - when "00010011" => - dest <= 63; - src <= 77; - when "00010100" => - dest <= 42; - src <= 47; - when "00010101" => - dest <= 77; - src <= 41; - when "00010110" => - dest <= 17; - src <= 77; - when "00010111" => - dest <= 66; - src <= 77; - when "00011000" => - dest <= 31; - src <= 32; - when "00011001" => - dest <= 24; - src <= 23; - when "00011010" => - dest <= 77; - src <= 81; - when "00011011" => - dest <= 14; - src <= 88; - when "00011100" => - dest <= 74; - src <= 37; - when "00011101" => - dest <= 77; - src <= 77; - when "00011110" => - dest <= 77; - src <= 2; - when "00011111" => - dest <= 4; - src <= 77; - when "00100000" => - dest <= 89; - src <= 77; - when "00100001" => - dest <= 33; - src <= 70; - when "00100010" => - dest <= 20; - src <= 13; - when "00100011" => - dest <= 2; - src <= 20; - when "00100100" => - dest <= 77; - src <= 30; - when "00100101" => - dest <= 88; - src <= 65; - when "00100110" => - dest <= 77; - src <= 77; - when "00100111" => - dest <= 93; - src <= 40; - when "00101000" => - dest <= 77; - src <= 77; - when "00101001" => - dest <= 77; - src <= 66; - when "00101010" => - dest <= 52; - src <= 77; - when "00101011" => - dest <= 18; - src <= 11; - when "00101100" => - dest <= 53; - src <= 24; - when "00101101" => - dest <= 76; - src <= 42; - when "00101110" => - dest <= 41; - src <= 77; - when "00101111" => - dest <= 77; - src <= 51; - when "00110000" => - dest <= 77; - src <= 78; - when "00110001" => - dest <= 30; - src <= 77; - when "00110010" => - dest <= 34; - src <= 36; - when "00110011" => - dest <= 77; - src <= 92; - when "00110100" => - dest <= 15; - src <= 91; - when "00110101" => - dest <= 75; - src <= 77; - when "00110110" => - dest <= 77; - src <= 77; - when "00110111" => - dest <= 77; - src <= 3; - when "00111000" => - dest <= 10; - src <= 77; - when "00111001" => - dest <= 43; - src <= 79; - when "00111010" => - dest <= 86; - src <= 14; - when "00111011" => - dest <= 29; - src <= 10; - when "00111100" => - dest <= 77; - src <= 39; - when "00111101" => - dest <= 1; - src <= 77; - when "00111110" => - dest <= 77; - src <= 68; - when "00111111" => - dest <= 92; - src <= 77; - when "01000000" => - dest <= 77; - src <= 77; - when "01000001" => - dest <= 73; - src <= 34; - when "01000010" => - dest <= 25; - src <= 1; - when "01000011" => - dest <= 77; - src <= 77; - when "01000100" => - dest <= 85; - src <= 25; - when "01000101" => - dest <= 77; - src <= 77; - when "01000110" => - dest <= 19; - src <= 43; - when "01000111" => - dest <= 62; - src <= 71; - when "01001000" => - dest <= 44; - src <= 52; - when "01001001" => - dest <= 77; - src <= 69; - when "01001010" => - dest <= 77; - src <= 77; - when "01001011" => - dest <= 77; - src <= 29; - when "01001100" => - dest <= 51; - src <= 77; - when "01001101" => - dest <= 28; - src <= 77; - when "01001110" => - dest <= 77; - src <= 35; - when "01001111" => - dest <= 94; - src <= 82; - when "01010000" => - dest <= 77; - src <= 0; - when "01010001" => - dest <= 5; - src <= 77; - when "01010010" => - dest <= 0; - src <= 77; - when "01010011" => - dest <= 40; - src <= 77; - when "01010100" => - dest <= 77; - src <= 93; - when "01010101" => - dest <= 77; - src <= 26; - when "01010110" => - dest <= 39; - src <= 50; - when "01010111" => - dest <= 77; - src <= 77; - when "01011000" => - dest <= 16; - src <= 49; - when "01011001" => - dest <= 78; - src <= 77; - when "01011010" => - dest <= 26; - src <= 44; - when "01011011" => - dest <= 77; - src <= 4; - when "01011100" => - dest <= 91; - src <= 76; - when "01011101" => - dest <= 6; - src <= 77; - when "01011110" => - dest <= 77; - src <= 15; - when "01011111" => - dest <= 35; - src <= 38; - when "01100000" => - dest <= 82; - src <= 77; - when "01100001" => - dest <= 77; - src <= 77; - when "01100010" => - dest <= 77; - src <= 28; - when "01100011" => - dest <= 95; - src <= 48; - when "01100100" => - dest <= 61; - src <= 53; - when "01100101" => - dest <= 54; - src <= 77; - when "01100110" => - dest <= 77; - src <= 77; - when "01100111" => - dest <= 50; - src <= 19; - when "01101000" => - dest <= 96; - src <= 62; - when "01101001" => - dest <= 49; - src <= 59; - when "01101010" => - dest <= 77; - src <= 77; - when "01101011" => - dest <= 84; - src <= 83; - when "01101100" => - dest <= 38; - src <= 90; - when "01101101" => - dest <= 77; - src <= 58; - when "01101110" => - dest <= 9; - src <= 94; - when "01101111" => - dest <= 77; - src <= 77; - when "01110000" => - dest <= 36; - src <= 5; - when "01110001" => - dest <= 90; - src <= 60; - when "01110010" => - dest <= 77; - src <= 77; - when "01110011" => - dest <= 77; - src <= 16; - when "01110100" => - dest <= 45; - src <= 77; - when "01110101" => - dest <= 81; - src <= 45; - when "01110110" => - dest <= 77; - src <= 77; - when "01110111" => - dest <= 27; - src <= 18; - when "01111000" => - dest <= 77; - src <= 54; - when "01111001" => - dest <= 72; - src <= 77; - when "01111010" => - dest <= 77; - src <= 77; - when "01111011" => - dest <= 77; - src <= 9; - when "01111100" => - dest <= 64; - src <= 63; - when "01111101" => - dest <= 60; - src <= 77; - when "01111110" => - dest <= 77; - src <= 27; - when "01111111" => - dest <= 59; - src <= 77; - when "10000000" => - dest <= 77; - src <= 72; - when "10000001" => - dest <= 48; - src <= 77; - when "10000010" => - dest <= 77; - src <= 80; - when "10000011" => - dest <= 8; - src <= 84; - when "10000100" => - dest <= 46; - src <= 77; - when "10000101" => - dest <= 99; - src <= 95; - when "10000110" => - dest <= 77; - src <= 77; - when "10000111" => - dest <= 55; - src <= 6; - when "10001000" => - dest <= 80; - src <= 77; - when "10001001" => - dest <= 37; - src <= 46; - when "10001010" => - dest <= 77; - src <= 8; - when "10001011" => - dest <= 71; - src <= 55; - when "10001100" => - dest <= 77; - src <= 77; - when "10001101" => - dest <= 77; - src <= 99; - when "10001110" => - dest <= 69; - src <= 64; - when "10001111" => - dest <= 58; - src <= 17; - when "10010000" => - dest <= 77; - src <= 77; - when "10010001" => - dest <= 56; - src <= 73; - when "10010010" => - dest <= 98; - src <= 77; - when "10010011" => - dest <= 47; - src <= 85; - when "10010100" => - dest <= 70; - src <= 96; - when "10010101" => - dest <= 77; - src <= 77; - when "10010110" => - dest <= 68; - src <= 98; - when "10010111" => - dest <= 57; - src <= 56; - when "10011000" => - dest <= 79; - src <= 7; - when "10011001" => - dest <= 77; - src <= 74; - when "10011010" => - dest <= 77; - src <= 86; - when "10011011" => - dest <= 22; - src <= 97; - when "10011100" => - dest <= 11; - src <= 75; - when others => - dest <= 77; - src <= 77; - - end case; - end process; - - end generate NI_NUM77; - - NI_NUM78 : if NI_NUM = 78 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 84; - src <= 78; - when "00000001" => - dest <= 98; - src <= 78; - when "00000010" => - dest <= 78; - src <= 78; - when "00000011" => - dest <= 68; - src <= 78; - when "00000100" => - dest <= 33; - src <= 78; - when "00000101" => - dest <= 24; - src <= 58; - when "00000110" => - dest <= 78; - src <= 88; - when "00000111" => - dest <= 14; - src <= 62; - when "00001000" => - dest <= 66; - src <= 78; - when "00001001" => - dest <= 78; - src <= 34; - when "00001010" => - dest <= 78; - src <= 23; - when "00001011" => - dest <= 88; - src <= 78; - when "00001100" => - dest <= 4; - src <= 78; - when "00001101" => - dest <= 13; - src <= 80; - when "00001110" => - dest <= 22; - src <= 68; - when "00001111" => - dest <= 8; - src <= 13; - when "00010000" => - dest <= 78; - src <= 22; - when "00010001" => - dest <= 78; - src <= 32; - when "00010010" => - dest <= 78; - src <= 78; - when "00010011" => - dest <= 64; - src <= 78; - when "00010100" => - dest <= 43; - src <= 48; - when "00010101" => - dest <= 78; - src <= 42; - when "00010110" => - dest <= 18; - src <= 78; - when "00010111" => - dest <= 67; - src <= 78; - when "00011000" => - dest <= 32; - src <= 33; - when "00011001" => - dest <= 25; - src <= 24; - when "00011010" => - dest <= 78; - src <= 82; - when "00011011" => - dest <= 15; - src <= 89; - when "00011100" => - dest <= 75; - src <= 38; - when "00011101" => - dest <= 78; - src <= 78; - when "00011110" => - dest <= 78; - src <= 3; - when "00011111" => - dest <= 5; - src <= 78; - when "00100000" => - dest <= 80; - src <= 78; - when "00100001" => - dest <= 34; - src <= 71; - when "00100010" => - dest <= 21; - src <= 14; - when "00100011" => - dest <= 3; - src <= 21; - when "00100100" => - dest <= 78; - src <= 31; - when "00100101" => - dest <= 89; - src <= 66; - when "00100110" => - dest <= 78; - src <= 78; - when "00100111" => - dest <= 94; - src <= 41; - when "00101000" => - dest <= 78; - src <= 78; - when "00101001" => - dest <= 78; - src <= 67; - when "00101010" => - dest <= 53; - src <= 78; - when "00101011" => - dest <= 19; - src <= 12; - when "00101100" => - dest <= 54; - src <= 25; - when "00101101" => - dest <= 77; - src <= 43; - when "00101110" => - dest <= 42; - src <= 78; - when "00101111" => - dest <= 78; - src <= 52; - when "00110000" => - dest <= 78; - src <= 79; - when "00110001" => - dest <= 31; - src <= 78; - when "00110010" => - dest <= 35; - src <= 37; - when "00110011" => - dest <= 78; - src <= 93; - when "00110100" => - dest <= 16; - src <= 92; - when "00110101" => - dest <= 76; - src <= 78; - when "00110110" => - dest <= 78; - src <= 78; - when "00110111" => - dest <= 78; - src <= 4; - when "00111000" => - dest <= 11; - src <= 78; - when "00111001" => - dest <= 44; - src <= 70; - when "00111010" => - dest <= 87; - src <= 15; - when "00111011" => - dest <= 20; - src <= 11; - when "00111100" => - dest <= 78; - src <= 30; - when "00111101" => - dest <= 2; - src <= 78; - when "00111110" => - dest <= 78; - src <= 69; - when "00111111" => - dest <= 93; - src <= 78; - when "01000000" => - dest <= 78; - src <= 78; - when "01000001" => - dest <= 74; - src <= 35; - when "01000010" => - dest <= 26; - src <= 2; - when "01000011" => - dest <= 78; - src <= 78; - when "01000100" => - dest <= 86; - src <= 26; - when "01000101" => - dest <= 78; - src <= 78; - when "01000110" => - dest <= 10; - src <= 44; - when "01000111" => - dest <= 63; - src <= 72; - when "01001000" => - dest <= 45; - src <= 53; - when "01001001" => - dest <= 78; - src <= 60; - when "01001010" => - dest <= 78; - src <= 78; - when "01001011" => - dest <= 78; - src <= 20; - when "01001100" => - dest <= 52; - src <= 78; - when "01001101" => - dest <= 29; - src <= 78; - when "01001110" => - dest <= 78; - src <= 36; - when "01001111" => - dest <= 95; - src <= 83; - when "01010000" => - dest <= 78; - src <= 1; - when "01010001" => - dest <= 6; - src <= 78; - when "01010010" => - dest <= 1; - src <= 78; - when "01010011" => - dest <= 41; - src <= 78; - when "01010100" => - dest <= 78; - src <= 94; - when "01010101" => - dest <= 78; - src <= 27; - when "01010110" => - dest <= 30; - src <= 51; - when "01010111" => - dest <= 78; - src <= 78; - when "01011000" => - dest <= 17; - src <= 40; - when "01011001" => - dest <= 79; - src <= 78; - when "01011010" => - dest <= 27; - src <= 45; - when "01011011" => - dest <= 78; - src <= 5; - when "01011100" => - dest <= 92; - src <= 77; - when "01011101" => - dest <= 7; - src <= 78; - when "01011110" => - dest <= 78; - src <= 16; - when "01011111" => - dest <= 36; - src <= 39; - when "01100000" => - dest <= 83; - src <= 78; - when "01100001" => - dest <= 78; - src <= 78; - when "01100010" => - dest <= 78; - src <= 29; - when "01100011" => - dest <= 96; - src <= 49; - when "01100100" => - dest <= 62; - src <= 54; - when "01100101" => - dest <= 55; - src <= 78; - when "01100110" => - dest <= 78; - src <= 78; - when "01100111" => - dest <= 51; - src <= 10; - when "01101000" => - dest <= 97; - src <= 63; - when "01101001" => - dest <= 40; - src <= 50; - when "01101010" => - dest <= 78; - src <= 78; - when "01101011" => - dest <= 85; - src <= 84; - when "01101100" => - dest <= 39; - src <= 91; - when "01101101" => - dest <= 78; - src <= 59; - when "01101110" => - dest <= 0; - src <= 95; - when "01101111" => - dest <= 78; - src <= 78; - when "01110000" => - dest <= 37; - src <= 6; - when "01110001" => - dest <= 91; - src <= 61; - when "01110010" => - dest <= 78; - src <= 78; - when "01110011" => - dest <= 78; - src <= 17; - when "01110100" => - dest <= 46; - src <= 78; - when "01110101" => - dest <= 82; - src <= 46; - when "01110110" => - dest <= 78; - src <= 78; - when "01110111" => - dest <= 28; - src <= 19; - when "01111000" => - dest <= 78; - src <= 55; - when "01111001" => - dest <= 73; - src <= 78; - when "01111010" => - dest <= 78; - src <= 78; - when "01111011" => - dest <= 78; - src <= 0; - when "01111100" => - dest <= 65; - src <= 64; - when "01111101" => - dest <= 61; - src <= 78; - when "01111110" => - dest <= 78; - src <= 28; - when "01111111" => - dest <= 50; - src <= 78; - when "10000000" => - dest <= 78; - src <= 73; - when "10000001" => - dest <= 49; - src <= 78; - when "10000010" => - dest <= 78; - src <= 81; - when "10000011" => - dest <= 9; - src <= 85; - when "10000100" => - dest <= 47; - src <= 78; - when "10000101" => - dest <= 90; - src <= 96; - when "10000110" => - dest <= 78; - src <= 78; - when "10000111" => - dest <= 56; - src <= 7; - when "10001000" => - dest <= 81; - src <= 78; - when "10001001" => - dest <= 38; - src <= 47; - when "10001010" => - dest <= 78; - src <= 9; - when "10001011" => - dest <= 72; - src <= 56; - when "10001100" => - dest <= 78; - src <= 78; - when "10001101" => - dest <= 78; - src <= 90; - when "10001110" => - dest <= 60; - src <= 65; - when "10001111" => - dest <= 59; - src <= 18; - when "10010000" => - dest <= 78; - src <= 78; - when "10010001" => - dest <= 57; - src <= 74; - when "10010010" => - dest <= 99; - src <= 78; - when "10010011" => - dest <= 48; - src <= 86; - when "10010100" => - dest <= 71; - src <= 97; - when "10010101" => - dest <= 78; - src <= 78; - when "10010110" => - dest <= 69; - src <= 99; - when "10010111" => - dest <= 58; - src <= 57; - when "10011000" => - dest <= 70; - src <= 8; - when "10011001" => - dest <= 78; - src <= 75; - when "10011010" => - dest <= 78; - src <= 87; - when "10011011" => - dest <= 23; - src <= 98; - when "10011100" => - dest <= 12; - src <= 76; - when others => - dest <= 78; - src <= 78; - - end case; - end process; - - end generate NI_NUM78; - - NI_NUM79 : if NI_NUM = 79 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 85; - src <= 79; - when "00000001" => - dest <= 99; - src <= 79; - when "00000010" => - dest <= 79; - src <= 79; - when "00000011" => - dest <= 69; - src <= 79; - when "00000100" => - dest <= 34; - src <= 79; - when "00000101" => - dest <= 25; - src <= 59; - when "00000110" => - dest <= 79; - src <= 89; - when "00000111" => - dest <= 15; - src <= 63; - when "00001000" => - dest <= 67; - src <= 79; - when "00001001" => - dest <= 79; - src <= 35; - when "00001010" => - dest <= 79; - src <= 24; - when "00001011" => - dest <= 89; - src <= 79; - when "00001100" => - dest <= 5; - src <= 79; - when "00001101" => - dest <= 14; - src <= 81; - when "00001110" => - dest <= 23; - src <= 69; - when "00001111" => - dest <= 9; - src <= 14; - when "00010000" => - dest <= 79; - src <= 23; - when "00010001" => - dest <= 79; - src <= 33; - when "00010010" => - dest <= 79; - src <= 79; - when "00010011" => - dest <= 65; - src <= 79; - when "00010100" => - dest <= 44; - src <= 49; - when "00010101" => - dest <= 79; - src <= 43; - when "00010110" => - dest <= 19; - src <= 79; - when "00010111" => - dest <= 68; - src <= 79; - when "00011000" => - dest <= 33; - src <= 34; - when "00011001" => - dest <= 26; - src <= 25; - when "00011010" => - dest <= 79; - src <= 83; - when "00011011" => - dest <= 16; - src <= 80; - when "00011100" => - dest <= 76; - src <= 39; - when "00011101" => - dest <= 79; - src <= 79; - when "00011110" => - dest <= 79; - src <= 4; - when "00011111" => - dest <= 6; - src <= 79; - when "00100000" => - dest <= 81; - src <= 79; - when "00100001" => - dest <= 35; - src <= 72; - when "00100010" => - dest <= 22; - src <= 15; - when "00100011" => - dest <= 4; - src <= 22; - when "00100100" => - dest <= 79; - src <= 32; - when "00100101" => - dest <= 80; - src <= 67; - when "00100110" => - dest <= 79; - src <= 79; - when "00100111" => - dest <= 95; - src <= 42; - when "00101000" => - dest <= 79; - src <= 79; - when "00101001" => - dest <= 79; - src <= 68; - when "00101010" => - dest <= 54; - src <= 79; - when "00101011" => - dest <= 10; - src <= 13; - when "00101100" => - dest <= 55; - src <= 26; - when "00101101" => - dest <= 78; - src <= 44; - when "00101110" => - dest <= 43; - src <= 79; - when "00101111" => - dest <= 79; - src <= 53; - when "00110000" => - dest <= 79; - src <= 70; - when "00110001" => - dest <= 32; - src <= 79; - when "00110010" => - dest <= 36; - src <= 38; - when "00110011" => - dest <= 79; - src <= 94; - when "00110100" => - dest <= 17; - src <= 93; - when "00110101" => - dest <= 77; - src <= 79; - when "00110110" => - dest <= 79; - src <= 79; - when "00110111" => - dest <= 79; - src <= 5; - when "00111000" => - dest <= 12; - src <= 79; - when "00111001" => - dest <= 45; - src <= 71; - when "00111010" => - dest <= 88; - src <= 16; - when "00111011" => - dest <= 21; - src <= 12; - when "00111100" => - dest <= 79; - src <= 31; - when "00111101" => - dest <= 3; - src <= 79; - when "00111110" => - dest <= 79; - src <= 60; - when "00111111" => - dest <= 94; - src <= 79; - when "01000000" => - dest <= 79; - src <= 79; - when "01000001" => - dest <= 75; - src <= 36; - when "01000010" => - dest <= 27; - src <= 3; - when "01000011" => - dest <= 79; - src <= 79; - when "01000100" => - dest <= 87; - src <= 27; - when "01000101" => - dest <= 79; - src <= 79; - when "01000110" => - dest <= 11; - src <= 45; - when "01000111" => - dest <= 64; - src <= 73; - when "01001000" => - dest <= 46; - src <= 54; - when "01001001" => - dest <= 79; - src <= 61; - when "01001010" => - dest <= 79; - src <= 79; - when "01001011" => - dest <= 79; - src <= 21; - when "01001100" => - dest <= 53; - src <= 79; - when "01001101" => - dest <= 20; - src <= 79; - when "01001110" => - dest <= 79; - src <= 37; - when "01001111" => - dest <= 96; - src <= 84; - when "01010000" => - dest <= 79; - src <= 2; - when "01010001" => - dest <= 7; - src <= 79; - when "01010010" => - dest <= 2; - src <= 79; - when "01010011" => - dest <= 42; - src <= 79; - when "01010100" => - dest <= 79; - src <= 95; - when "01010101" => - dest <= 79; - src <= 28; - when "01010110" => - dest <= 31; - src <= 52; - when "01010111" => - dest <= 79; - src <= 79; - when "01011000" => - dest <= 18; - src <= 41; - when "01011001" => - dest <= 70; - src <= 79; - when "01011010" => - dest <= 28; - src <= 46; - when "01011011" => - dest <= 79; - src <= 6; - when "01011100" => - dest <= 93; - src <= 78; - when "01011101" => - dest <= 8; - src <= 79; - when "01011110" => - dest <= 79; - src <= 17; - when "01011111" => - dest <= 37; - src <= 30; - when "01100000" => - dest <= 84; - src <= 79; - when "01100001" => - dest <= 79; - src <= 79; - when "01100010" => - dest <= 79; - src <= 20; - when "01100011" => - dest <= 97; - src <= 40; - when "01100100" => - dest <= 63; - src <= 55; - when "01100101" => - dest <= 56; - src <= 79; - when "01100110" => - dest <= 79; - src <= 79; - when "01100111" => - dest <= 52; - src <= 11; - when "01101000" => - dest <= 98; - src <= 64; - when "01101001" => - dest <= 41; - src <= 51; - when "01101010" => - dest <= 79; - src <= 79; - when "01101011" => - dest <= 86; - src <= 85; - when "01101100" => - dest <= 30; - src <= 92; - when "01101101" => - dest <= 79; - src <= 50; - when "01101110" => - dest <= 1; - src <= 96; - when "01101111" => - dest <= 79; - src <= 79; - when "01110000" => - dest <= 38; - src <= 7; - when "01110001" => - dest <= 92; - src <= 62; - when "01110010" => - dest <= 79; - src <= 79; - when "01110011" => - dest <= 79; - src <= 18; - when "01110100" => - dest <= 47; - src <= 79; - when "01110101" => - dest <= 83; - src <= 47; - when "01110110" => - dest <= 79; - src <= 79; - when "01110111" => - dest <= 29; - src <= 10; - when "01111000" => - dest <= 79; - src <= 56; - when "01111001" => - dest <= 74; - src <= 79; - when "01111010" => - dest <= 79; - src <= 79; - when "01111011" => - dest <= 79; - src <= 1; - when "01111100" => - dest <= 66; - src <= 65; - when "01111101" => - dest <= 62; - src <= 79; - when "01111110" => - dest <= 79; - src <= 29; - when "01111111" => - dest <= 51; - src <= 79; - when "10000000" => - dest <= 79; - src <= 74; - when "10000001" => - dest <= 40; - src <= 79; - when "10000010" => - dest <= 79; - src <= 82; - when "10000011" => - dest <= 0; - src <= 86; - when "10000100" => - dest <= 48; - src <= 79; - when "10000101" => - dest <= 91; - src <= 97; - when "10000110" => - dest <= 79; - src <= 79; - when "10000111" => - dest <= 57; - src <= 8; - when "10001000" => - dest <= 82; - src <= 79; - when "10001001" => - dest <= 39; - src <= 48; - when "10001010" => - dest <= 79; - src <= 0; - when "10001011" => - dest <= 73; - src <= 57; - when "10001100" => - dest <= 79; - src <= 79; - when "10001101" => - dest <= 79; - src <= 91; - when "10001110" => - dest <= 61; - src <= 66; - when "10001111" => - dest <= 50; - src <= 19; - when "10010000" => - dest <= 79; - src <= 79; - when "10010001" => - dest <= 58; - src <= 75; - when "10010010" => - dest <= 90; - src <= 79; - when "10010011" => - dest <= 49; - src <= 87; - when "10010100" => - dest <= 72; - src <= 98; - when "10010101" => - dest <= 79; - src <= 79; - when "10010110" => - dest <= 60; - src <= 90; - when "10010111" => - dest <= 59; - src <= 58; - when "10011000" => - dest <= 71; - src <= 9; - when "10011001" => - dest <= 79; - src <= 76; - when "10011010" => - dest <= 79; - src <= 88; - when "10011011" => - dest <= 24; - src <= 99; - when "10011100" => - dest <= 13; - src <= 77; - when others => - dest <= 79; - src <= 79; - - end case; - end process; - - end generate NI_NUM79; - - NI_NUM80 : if NI_NUM = 80 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 96; - src <= 80; - when "00000001" => - dest <= 0; - src <= 80; - when "00000010" => - dest <= 80; - src <= 80; - when "00000011" => - dest <= 70; - src <= 80; - when "00000100" => - dest <= 45; - src <= 80; - when "00000101" => - dest <= 36; - src <= 60; - when "00000110" => - dest <= 80; - src <= 90; - when "00000111" => - dest <= 26; - src <= 74; - when "00001000" => - dest <= 78; - src <= 80; - when "00001001" => - dest <= 80; - src <= 46; - when "00001010" => - dest <= 80; - src <= 35; - when "00001011" => - dest <= 90; - src <= 80; - when "00001100" => - dest <= 16; - src <= 80; - when "00001101" => - dest <= 25; - src <= 92; - when "00001110" => - dest <= 34; - src <= 70; - when "00001111" => - dest <= 10; - src <= 25; - when "00010000" => - dest <= 80; - src <= 34; - when "00010001" => - dest <= 80; - src <= 44; - when "00010010" => - dest <= 80; - src <= 80; - when "00010011" => - dest <= 76; - src <= 80; - when "00010100" => - dest <= 55; - src <= 50; - when "00010101" => - dest <= 80; - src <= 54; - when "00010110" => - dest <= 20; - src <= 80; - when "00010111" => - dest <= 79; - src <= 80; - when "00011000" => - dest <= 44; - src <= 45; - when "00011001" => - dest <= 37; - src <= 36; - when "00011010" => - dest <= 80; - src <= 94; - when "00011011" => - dest <= 27; - src <= 91; - when "00011100" => - dest <= 87; - src <= 40; - when "00011101" => - dest <= 80; - src <= 80; - when "00011110" => - dest <= 80; - src <= 15; - when "00011111" => - dest <= 17; - src <= 80; - when "00100000" => - dest <= 92; - src <= 80; - when "00100001" => - dest <= 46; - src <= 83; - when "00100010" => - dest <= 33; - src <= 26; - when "00100011" => - dest <= 15; - src <= 33; - when "00100100" => - dest <= 80; - src <= 43; - when "00100101" => - dest <= 91; - src <= 78; - when "00100110" => - dest <= 80; - src <= 80; - when "00100111" => - dest <= 6; - src <= 53; - when "00101000" => - dest <= 80; - src <= 80; - when "00101001" => - dest <= 80; - src <= 79; - when "00101010" => - dest <= 65; - src <= 80; - when "00101011" => - dest <= 21; - src <= 24; - when "00101100" => - dest <= 66; - src <= 37; - when "00101101" => - dest <= 89; - src <= 55; - when "00101110" => - dest <= 54; - src <= 80; - when "00101111" => - dest <= 80; - src <= 64; - when "00110000" => - dest <= 80; - src <= 81; - when "00110001" => - dest <= 43; - src <= 80; - when "00110010" => - dest <= 47; - src <= 49; - when "00110011" => - dest <= 80; - src <= 5; - when "00110100" => - dest <= 28; - src <= 4; - when "00110101" => - dest <= 88; - src <= 80; - when "00110110" => - dest <= 80; - src <= 80; - when "00110111" => - dest <= 80; - src <= 16; - when "00111000" => - dest <= 23; - src <= 80; - when "00111001" => - dest <= 56; - src <= 82; - when "00111010" => - dest <= 99; - src <= 27; - when "00111011" => - dest <= 32; - src <= 23; - when "00111100" => - dest <= 80; - src <= 42; - when "00111101" => - dest <= 14; - src <= 80; - when "00111110" => - dest <= 80; - src <= 71; - when "00111111" => - dest <= 5; - src <= 80; - when "01000000" => - dest <= 80; - src <= 80; - when "01000001" => - dest <= 86; - src <= 47; - when "01000010" => - dest <= 38; - src <= 14; - when "01000011" => - dest <= 80; - src <= 80; - when "01000100" => - dest <= 98; - src <= 38; - when "01000101" => - dest <= 80; - src <= 80; - when "01000110" => - dest <= 22; - src <= 56; - when "01000111" => - dest <= 75; - src <= 84; - when "01001000" => - dest <= 57; - src <= 65; - when "01001001" => - dest <= 80; - src <= 72; - when "01001010" => - dest <= 80; - src <= 80; - when "01001011" => - dest <= 80; - src <= 32; - when "01001100" => - dest <= 64; - src <= 80; - when "01001101" => - dest <= 31; - src <= 80; - when "01001110" => - dest <= 80; - src <= 48; - when "01001111" => - dest <= 7; - src <= 95; - when "01010000" => - dest <= 80; - src <= 13; - when "01010001" => - dest <= 18; - src <= 80; - when "01010010" => - dest <= 13; - src <= 80; - when "01010011" => - dest <= 53; - src <= 80; - when "01010100" => - dest <= 80; - src <= 6; - when "01010101" => - dest <= 80; - src <= 39; - when "01010110" => - dest <= 42; - src <= 63; - when "01010111" => - dest <= 80; - src <= 80; - when "01011000" => - dest <= 29; - src <= 52; - when "01011001" => - dest <= 81; - src <= 80; - when "01011010" => - dest <= 39; - src <= 57; - when "01011011" => - dest <= 80; - src <= 17; - when "01011100" => - dest <= 4; - src <= 89; - when "01011101" => - dest <= 19; - src <= 80; - when "01011110" => - dest <= 80; - src <= 28; - when "01011111" => - dest <= 48; - src <= 41; - when "01100000" => - dest <= 95; - src <= 80; - when "01100001" => - dest <= 80; - src <= 80; - when "01100010" => - dest <= 80; - src <= 31; - when "01100011" => - dest <= 8; - src <= 51; - when "01100100" => - dest <= 74; - src <= 66; - when "01100101" => - dest <= 67; - src <= 80; - when "01100110" => - dest <= 80; - src <= 80; - when "01100111" => - dest <= 63; - src <= 22; - when "01101000" => - dest <= 9; - src <= 75; - when "01101001" => - dest <= 52; - src <= 62; - when "01101010" => - dest <= 80; - src <= 80; - when "01101011" => - dest <= 97; - src <= 96; - when "01101100" => - dest <= 41; - src <= 3; - when "01101101" => - dest <= 80; - src <= 61; - when "01101110" => - dest <= 12; - src <= 7; - when "01101111" => - dest <= 80; - src <= 80; - when "01110000" => - dest <= 49; - src <= 18; - when "01110001" => - dest <= 3; - src <= 73; - when "01110010" => - dest <= 80; - src <= 80; - when "01110011" => - dest <= 80; - src <= 29; - when "01110100" => - dest <= 58; - src <= 80; - when "01110101" => - dest <= 94; - src <= 58; - when "01110110" => - dest <= 80; - src <= 80; - when "01110111" => - dest <= 30; - src <= 21; - when "01111000" => - dest <= 80; - src <= 67; - when "01111001" => - dest <= 85; - src <= 80; - when "01111010" => - dest <= 80; - src <= 80; - when "01111011" => - dest <= 80; - src <= 12; - when "01111100" => - dest <= 77; - src <= 76; - when "01111101" => - dest <= 73; - src <= 80; - when "01111110" => - dest <= 80; - src <= 30; - when "01111111" => - dest <= 62; - src <= 80; - when "10000000" => - dest <= 80; - src <= 85; - when "10000001" => - dest <= 51; - src <= 80; - when "10000010" => - dest <= 80; - src <= 93; - when "10000011" => - dest <= 11; - src <= 97; - when "10000100" => - dest <= 59; - src <= 80; - when "10000101" => - dest <= 2; - src <= 8; - when "10000110" => - dest <= 80; - src <= 80; - when "10000111" => - dest <= 68; - src <= 19; - when "10001000" => - dest <= 93; - src <= 80; - when "10001001" => - dest <= 40; - src <= 59; - when "10001010" => - dest <= 80; - src <= 11; - when "10001011" => - dest <= 84; - src <= 68; - when "10001100" => - dest <= 80; - src <= 80; - when "10001101" => - dest <= 80; - src <= 2; - when "10001110" => - dest <= 72; - src <= 77; - when "10001111" => - dest <= 61; - src <= 20; - when "10010000" => - dest <= 80; - src <= 80; - when "10010001" => - dest <= 69; - src <= 86; - when "10010010" => - dest <= 1; - src <= 80; - when "10010011" => - dest <= 50; - src <= 98; - when "10010100" => - dest <= 83; - src <= 9; - when "10010101" => - dest <= 80; - src <= 80; - when "10010110" => - dest <= 71; - src <= 1; - when "10010111" => - dest <= 60; - src <= 69; - when "10011000" => - dest <= 82; - src <= 10; - when "10011001" => - dest <= 80; - src <= 87; - when "10011010" => - dest <= 80; - src <= 99; - when "10011011" => - dest <= 35; - src <= 0; - when "10011100" => - dest <= 24; - src <= 88; - when others => - dest <= 80; - src <= 80; - - end case; - end process; - - end generate NI_NUM80; - - NI_NUM81 : if NI_NUM = 81 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 97; - src <= 81; - when "00000001" => - dest <= 1; - src <= 81; - when "00000010" => - dest <= 81; - src <= 81; - when "00000011" => - dest <= 71; - src <= 81; - when "00000100" => - dest <= 46; - src <= 81; - when "00000101" => - dest <= 37; - src <= 61; - when "00000110" => - dest <= 81; - src <= 91; - when "00000111" => - dest <= 27; - src <= 75; - when "00001000" => - dest <= 79; - src <= 81; - when "00001001" => - dest <= 81; - src <= 47; - when "00001010" => - dest <= 81; - src <= 36; - when "00001011" => - dest <= 91; - src <= 81; - when "00001100" => - dest <= 17; - src <= 81; - when "00001101" => - dest <= 26; - src <= 93; - when "00001110" => - dest <= 35; - src <= 71; - when "00001111" => - dest <= 11; - src <= 26; - when "00010000" => - dest <= 81; - src <= 35; - when "00010001" => - dest <= 81; - src <= 45; - when "00010010" => - dest <= 81; - src <= 81; - when "00010011" => - dest <= 77; - src <= 81; - when "00010100" => - dest <= 56; - src <= 51; - when "00010101" => - dest <= 81; - src <= 55; - when "00010110" => - dest <= 21; - src <= 81; - when "00010111" => - dest <= 70; - src <= 81; - when "00011000" => - dest <= 45; - src <= 46; - when "00011001" => - dest <= 38; - src <= 37; - when "00011010" => - dest <= 81; - src <= 95; - when "00011011" => - dest <= 28; - src <= 92; - when "00011100" => - dest <= 88; - src <= 41; - when "00011101" => - dest <= 81; - src <= 81; - when "00011110" => - dest <= 81; - src <= 16; - when "00011111" => - dest <= 18; - src <= 81; - when "00100000" => - dest <= 93; - src <= 81; - when "00100001" => - dest <= 47; - src <= 84; - when "00100010" => - dest <= 34; - src <= 27; - when "00100011" => - dest <= 16; - src <= 34; - when "00100100" => - dest <= 81; - src <= 44; - when "00100101" => - dest <= 92; - src <= 79; - when "00100110" => - dest <= 81; - src <= 81; - when "00100111" => - dest <= 7; - src <= 54; - when "00101000" => - dest <= 81; - src <= 81; - when "00101001" => - dest <= 81; - src <= 70; - when "00101010" => - dest <= 66; - src <= 81; - when "00101011" => - dest <= 22; - src <= 25; - when "00101100" => - dest <= 67; - src <= 38; - when "00101101" => - dest <= 80; - src <= 56; - when "00101110" => - dest <= 55; - src <= 81; - when "00101111" => - dest <= 81; - src <= 65; - when "00110000" => - dest <= 81; - src <= 82; - when "00110001" => - dest <= 44; - src <= 81; - when "00110010" => - dest <= 48; - src <= 40; - when "00110011" => - dest <= 81; - src <= 6; - when "00110100" => - dest <= 29; - src <= 5; - when "00110101" => - dest <= 89; - src <= 81; - when "00110110" => - dest <= 81; - src <= 81; - when "00110111" => - dest <= 81; - src <= 17; - when "00111000" => - dest <= 24; - src <= 81; - when "00111001" => - dest <= 57; - src <= 83; - when "00111010" => - dest <= 90; - src <= 28; - when "00111011" => - dest <= 33; - src <= 24; - when "00111100" => - dest <= 81; - src <= 43; - when "00111101" => - dest <= 15; - src <= 81; - when "00111110" => - dest <= 81; - src <= 72; - when "00111111" => - dest <= 6; - src <= 81; - when "01000000" => - dest <= 81; - src <= 81; - when "01000001" => - dest <= 87; - src <= 48; - when "01000010" => - dest <= 39; - src <= 15; - when "01000011" => - dest <= 81; - src <= 81; - when "01000100" => - dest <= 99; - src <= 39; - when "01000101" => - dest <= 81; - src <= 81; - when "01000110" => - dest <= 23; - src <= 57; - when "01000111" => - dest <= 76; - src <= 85; - when "01001000" => - dest <= 58; - src <= 66; - when "01001001" => - dest <= 81; - src <= 73; - when "01001010" => - dest <= 81; - src <= 81; - when "01001011" => - dest <= 81; - src <= 33; - when "01001100" => - dest <= 65; - src <= 81; - when "01001101" => - dest <= 32; - src <= 81; - when "01001110" => - dest <= 81; - src <= 49; - when "01001111" => - dest <= 8; - src <= 96; - when "01010000" => - dest <= 81; - src <= 14; - when "01010001" => - dest <= 19; - src <= 81; - when "01010010" => - dest <= 14; - src <= 81; - when "01010011" => - dest <= 54; - src <= 81; - when "01010100" => - dest <= 81; - src <= 7; - when "01010101" => - dest <= 81; - src <= 30; - when "01010110" => - dest <= 43; - src <= 64; - when "01010111" => - dest <= 81; - src <= 81; - when "01011000" => - dest <= 20; - src <= 53; - when "01011001" => - dest <= 82; - src <= 81; - when "01011010" => - dest <= 30; - src <= 58; - when "01011011" => - dest <= 81; - src <= 18; - when "01011100" => - dest <= 5; - src <= 80; - when "01011101" => - dest <= 10; - src <= 81; - when "01011110" => - dest <= 81; - src <= 29; - when "01011111" => - dest <= 49; - src <= 42; - when "01100000" => - dest <= 96; - src <= 81; - when "01100001" => - dest <= 81; - src <= 81; - when "01100010" => - dest <= 81; - src <= 32; - when "01100011" => - dest <= 9; - src <= 52; - when "01100100" => - dest <= 75; - src <= 67; - when "01100101" => - dest <= 68; - src <= 81; - when "01100110" => - dest <= 81; - src <= 81; - when "01100111" => - dest <= 64; - src <= 23; - when "01101000" => - dest <= 0; - src <= 76; - when "01101001" => - dest <= 53; - src <= 63; - when "01101010" => - dest <= 81; - src <= 81; - when "01101011" => - dest <= 98; - src <= 97; - when "01101100" => - dest <= 42; - src <= 4; - when "01101101" => - dest <= 81; - src <= 62; - when "01101110" => - dest <= 13; - src <= 8; - when "01101111" => - dest <= 81; - src <= 81; - when "01110000" => - dest <= 40; - src <= 19; - when "01110001" => - dest <= 4; - src <= 74; - when "01110010" => - dest <= 81; - src <= 81; - when "01110011" => - dest <= 81; - src <= 20; - when "01110100" => - dest <= 59; - src <= 81; - when "01110101" => - dest <= 95; - src <= 59; - when "01110110" => - dest <= 81; - src <= 81; - when "01110111" => - dest <= 31; - src <= 22; - when "01111000" => - dest <= 81; - src <= 68; - when "01111001" => - dest <= 86; - src <= 81; - when "01111010" => - dest <= 81; - src <= 81; - when "01111011" => - dest <= 81; - src <= 13; - when "01111100" => - dest <= 78; - src <= 77; - when "01111101" => - dest <= 74; - src <= 81; - when "01111110" => - dest <= 81; - src <= 31; - when "01111111" => - dest <= 63; - src <= 81; - when "10000000" => - dest <= 81; - src <= 86; - when "10000001" => - dest <= 52; - src <= 81; - when "10000010" => - dest <= 81; - src <= 94; - when "10000011" => - dest <= 12; - src <= 98; - when "10000100" => - dest <= 50; - src <= 81; - when "10000101" => - dest <= 3; - src <= 9; - when "10000110" => - dest <= 81; - src <= 81; - when "10000111" => - dest <= 69; - src <= 10; - when "10001000" => - dest <= 94; - src <= 81; - when "10001001" => - dest <= 41; - src <= 50; - when "10001010" => - dest <= 81; - src <= 12; - when "10001011" => - dest <= 85; - src <= 69; - when "10001100" => - dest <= 81; - src <= 81; - when "10001101" => - dest <= 81; - src <= 3; - when "10001110" => - dest <= 73; - src <= 78; - when "10001111" => - dest <= 62; - src <= 21; - when "10010000" => - dest <= 81; - src <= 81; - when "10010001" => - dest <= 60; - src <= 87; - when "10010010" => - dest <= 2; - src <= 81; - when "10010011" => - dest <= 51; - src <= 99; - when "10010100" => - dest <= 84; - src <= 0; - when "10010101" => - dest <= 81; - src <= 81; - when "10010110" => - dest <= 72; - src <= 2; - when "10010111" => - dest <= 61; - src <= 60; - when "10011000" => - dest <= 83; - src <= 11; - when "10011001" => - dest <= 81; - src <= 88; - when "10011010" => - dest <= 81; - src <= 90; - when "10011011" => - dest <= 36; - src <= 1; - when "10011100" => - dest <= 25; - src <= 89; - when others => - dest <= 81; - src <= 81; - - end case; - end process; - - end generate NI_NUM81; - - NI_NUM82 : if NI_NUM = 82 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 98; - src <= 82; - when "00000001" => - dest <= 2; - src <= 82; - when "00000010" => - dest <= 82; - src <= 82; - when "00000011" => - dest <= 72; - src <= 82; - when "00000100" => - dest <= 47; - src <= 82; - when "00000101" => - dest <= 38; - src <= 62; - when "00000110" => - dest <= 82; - src <= 92; - when "00000111" => - dest <= 28; - src <= 76; - when "00001000" => - dest <= 70; - src <= 82; - when "00001001" => - dest <= 82; - src <= 48; - when "00001010" => - dest <= 82; - src <= 37; - when "00001011" => - dest <= 92; - src <= 82; - when "00001100" => - dest <= 18; - src <= 82; - when "00001101" => - dest <= 27; - src <= 94; - when "00001110" => - dest <= 36; - src <= 72; - when "00001111" => - dest <= 12; - src <= 27; - when "00010000" => - dest <= 82; - src <= 36; - when "00010001" => - dest <= 82; - src <= 46; - when "00010010" => - dest <= 82; - src <= 82; - when "00010011" => - dest <= 78; - src <= 82; - when "00010100" => - dest <= 57; - src <= 52; - when "00010101" => - dest <= 82; - src <= 56; - when "00010110" => - dest <= 22; - src <= 82; - when "00010111" => - dest <= 71; - src <= 82; - when "00011000" => - dest <= 46; - src <= 47; - when "00011001" => - dest <= 39; - src <= 38; - when "00011010" => - dest <= 82; - src <= 96; - when "00011011" => - dest <= 29; - src <= 93; - when "00011100" => - dest <= 89; - src <= 42; - when "00011101" => - dest <= 82; - src <= 82; - when "00011110" => - dest <= 82; - src <= 17; - when "00011111" => - dest <= 19; - src <= 82; - when "00100000" => - dest <= 94; - src <= 82; - when "00100001" => - dest <= 48; - src <= 85; - when "00100010" => - dest <= 35; - src <= 28; - when "00100011" => - dest <= 17; - src <= 35; - when "00100100" => - dest <= 82; - src <= 45; - when "00100101" => - dest <= 93; - src <= 70; - when "00100110" => - dest <= 82; - src <= 82; - when "00100111" => - dest <= 8; - src <= 55; - when "00101000" => - dest <= 82; - src <= 82; - when "00101001" => - dest <= 82; - src <= 71; - when "00101010" => - dest <= 67; - src <= 82; - when "00101011" => - dest <= 23; - src <= 26; - when "00101100" => - dest <= 68; - src <= 39; - when "00101101" => - dest <= 81; - src <= 57; - when "00101110" => - dest <= 56; - src <= 82; - when "00101111" => - dest <= 82; - src <= 66; - when "00110000" => - dest <= 82; - src <= 83; - when "00110001" => - dest <= 45; - src <= 82; - when "00110010" => - dest <= 49; - src <= 41; - when "00110011" => - dest <= 82; - src <= 7; - when "00110100" => - dest <= 20; - src <= 6; - when "00110101" => - dest <= 80; - src <= 82; - when "00110110" => - dest <= 82; - src <= 82; - when "00110111" => - dest <= 82; - src <= 18; - when "00111000" => - dest <= 25; - src <= 82; - when "00111001" => - dest <= 58; - src <= 84; - when "00111010" => - dest <= 91; - src <= 29; - when "00111011" => - dest <= 34; - src <= 25; - when "00111100" => - dest <= 82; - src <= 44; - when "00111101" => - dest <= 16; - src <= 82; - when "00111110" => - dest <= 82; - src <= 73; - when "00111111" => - dest <= 7; - src <= 82; - when "01000000" => - dest <= 82; - src <= 82; - when "01000001" => - dest <= 88; - src <= 49; - when "01000010" => - dest <= 30; - src <= 16; - when "01000011" => - dest <= 82; - src <= 82; - when "01000100" => - dest <= 90; - src <= 30; - when "01000101" => - dest <= 82; - src <= 82; - when "01000110" => - dest <= 24; - src <= 58; - when "01000111" => - dest <= 77; - src <= 86; - when "01001000" => - dest <= 59; - src <= 67; - when "01001001" => - dest <= 82; - src <= 74; - when "01001010" => - dest <= 82; - src <= 82; - when "01001011" => - dest <= 82; - src <= 34; - when "01001100" => - dest <= 66; - src <= 82; - when "01001101" => - dest <= 33; - src <= 82; - when "01001110" => - dest <= 82; - src <= 40; - when "01001111" => - dest <= 9; - src <= 97; - when "01010000" => - dest <= 82; - src <= 15; - when "01010001" => - dest <= 10; - src <= 82; - when "01010010" => - dest <= 15; - src <= 82; - when "01010011" => - dest <= 55; - src <= 82; - when "01010100" => - dest <= 82; - src <= 8; - when "01010101" => - dest <= 82; - src <= 31; - when "01010110" => - dest <= 44; - src <= 65; - when "01010111" => - dest <= 82; - src <= 82; - when "01011000" => - dest <= 21; - src <= 54; - when "01011001" => - dest <= 83; - src <= 82; - when "01011010" => - dest <= 31; - src <= 59; - when "01011011" => - dest <= 82; - src <= 19; - when "01011100" => - dest <= 6; - src <= 81; - when "01011101" => - dest <= 11; - src <= 82; - when "01011110" => - dest <= 82; - src <= 20; - when "01011111" => - dest <= 40; - src <= 43; - when "01100000" => - dest <= 97; - src <= 82; - when "01100001" => - dest <= 82; - src <= 82; - when "01100010" => - dest <= 82; - src <= 33; - when "01100011" => - dest <= 0; - src <= 53; - when "01100100" => - dest <= 76; - src <= 68; - when "01100101" => - dest <= 69; - src <= 82; - when "01100110" => - dest <= 82; - src <= 82; - when "01100111" => - dest <= 65; - src <= 24; - when "01101000" => - dest <= 1; - src <= 77; - when "01101001" => - dest <= 54; - src <= 64; - when "01101010" => - dest <= 82; - src <= 82; - when "01101011" => - dest <= 99; - src <= 98; - when "01101100" => - dest <= 43; - src <= 5; - when "01101101" => - dest <= 82; - src <= 63; - when "01101110" => - dest <= 14; - src <= 9; - when "01101111" => - dest <= 82; - src <= 82; - when "01110000" => - dest <= 41; - src <= 10; - when "01110001" => - dest <= 5; - src <= 75; - when "01110010" => - dest <= 82; - src <= 82; - when "01110011" => - dest <= 82; - src <= 21; - when "01110100" => - dest <= 50; - src <= 82; - when "01110101" => - dest <= 96; - src <= 50; - when "01110110" => - dest <= 82; - src <= 82; - when "01110111" => - dest <= 32; - src <= 23; - when "01111000" => - dest <= 82; - src <= 69; - when "01111001" => - dest <= 87; - src <= 82; - when "01111010" => - dest <= 82; - src <= 82; - when "01111011" => - dest <= 82; - src <= 14; - when "01111100" => - dest <= 79; - src <= 78; - when "01111101" => - dest <= 75; - src <= 82; - when "01111110" => - dest <= 82; - src <= 32; - when "01111111" => - dest <= 64; - src <= 82; - when "10000000" => - dest <= 82; - src <= 87; - when "10000001" => - dest <= 53; - src <= 82; - when "10000010" => - dest <= 82; - src <= 95; - when "10000011" => - dest <= 13; - src <= 99; - when "10000100" => - dest <= 51; - src <= 82; - when "10000101" => - dest <= 4; - src <= 0; - when "10000110" => - dest <= 82; - src <= 82; - when "10000111" => - dest <= 60; - src <= 11; - when "10001000" => - dest <= 95; - src <= 82; - when "10001001" => - dest <= 42; - src <= 51; - when "10001010" => - dest <= 82; - src <= 13; - when "10001011" => - dest <= 86; - src <= 60; - when "10001100" => - dest <= 82; - src <= 82; - when "10001101" => - dest <= 82; - src <= 4; - when "10001110" => - dest <= 74; - src <= 79; - when "10001111" => - dest <= 63; - src <= 22; - when "10010000" => - dest <= 82; - src <= 82; - when "10010001" => - dest <= 61; - src <= 88; - when "10010010" => - dest <= 3; - src <= 82; - when "10010011" => - dest <= 52; - src <= 90; - when "10010100" => - dest <= 85; - src <= 1; - when "10010101" => - dest <= 82; - src <= 82; - when "10010110" => - dest <= 73; - src <= 3; - when "10010111" => - dest <= 62; - src <= 61; - when "10011000" => - dest <= 84; - src <= 12; - when "10011001" => - dest <= 82; - src <= 89; - when "10011010" => - dest <= 82; - src <= 91; - when "10011011" => - dest <= 37; - src <= 2; - when "10011100" => - dest <= 26; - src <= 80; - when others => - dest <= 82; - src <= 82; - - end case; - end process; - - end generate NI_NUM82; - - NI_NUM83 : if NI_NUM = 83 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 99; - src <= 83; - when "00000001" => - dest <= 3; - src <= 83; - when "00000010" => - dest <= 83; - src <= 83; - when "00000011" => - dest <= 73; - src <= 83; - when "00000100" => - dest <= 48; - src <= 83; - when "00000101" => - dest <= 39; - src <= 63; - when "00000110" => - dest <= 83; - src <= 93; - when "00000111" => - dest <= 29; - src <= 77; - when "00001000" => - dest <= 71; - src <= 83; - when "00001001" => - dest <= 83; - src <= 49; - when "00001010" => - dest <= 83; - src <= 38; - when "00001011" => - dest <= 93; - src <= 83; - when "00001100" => - dest <= 19; - src <= 83; - when "00001101" => - dest <= 28; - src <= 95; - when "00001110" => - dest <= 37; - src <= 73; - when "00001111" => - dest <= 13; - src <= 28; - when "00010000" => - dest <= 83; - src <= 37; - when "00010001" => - dest <= 83; - src <= 47; - when "00010010" => - dest <= 83; - src <= 83; - when "00010011" => - dest <= 79; - src <= 83; - when "00010100" => - dest <= 58; - src <= 53; - when "00010101" => - dest <= 83; - src <= 57; - when "00010110" => - dest <= 23; - src <= 83; - when "00010111" => - dest <= 72; - src <= 83; - when "00011000" => - dest <= 47; - src <= 48; - when "00011001" => - dest <= 30; - src <= 39; - when "00011010" => - dest <= 83; - src <= 97; - when "00011011" => - dest <= 20; - src <= 94; - when "00011100" => - dest <= 80; - src <= 43; - when "00011101" => - dest <= 83; - src <= 83; - when "00011110" => - dest <= 83; - src <= 18; - when "00011111" => - dest <= 10; - src <= 83; - when "00100000" => - dest <= 95; - src <= 83; - when "00100001" => - dest <= 49; - src <= 86; - when "00100010" => - dest <= 36; - src <= 29; - when "00100011" => - dest <= 18; - src <= 36; - when "00100100" => - dest <= 83; - src <= 46; - when "00100101" => - dest <= 94; - src <= 71; - when "00100110" => - dest <= 83; - src <= 83; - when "00100111" => - dest <= 9; - src <= 56; - when "00101000" => - dest <= 83; - src <= 83; - when "00101001" => - dest <= 83; - src <= 72; - when "00101010" => - dest <= 68; - src <= 83; - when "00101011" => - dest <= 24; - src <= 27; - when "00101100" => - dest <= 69; - src <= 30; - when "00101101" => - dest <= 82; - src <= 58; - when "00101110" => - dest <= 57; - src <= 83; - when "00101111" => - dest <= 83; - src <= 67; - when "00110000" => - dest <= 83; - src <= 84; - when "00110001" => - dest <= 46; - src <= 83; - when "00110010" => - dest <= 40; - src <= 42; - when "00110011" => - dest <= 83; - src <= 8; - when "00110100" => - dest <= 21; - src <= 7; - when "00110101" => - dest <= 81; - src <= 83; - when "00110110" => - dest <= 83; - src <= 83; - when "00110111" => - dest <= 83; - src <= 19; - when "00111000" => - dest <= 26; - src <= 83; - when "00111001" => - dest <= 59; - src <= 85; - when "00111010" => - dest <= 92; - src <= 20; - when "00111011" => - dest <= 35; - src <= 26; - when "00111100" => - dest <= 83; - src <= 45; - when "00111101" => - dest <= 17; - src <= 83; - when "00111110" => - dest <= 83; - src <= 74; - when "00111111" => - dest <= 8; - src <= 83; - when "01000000" => - dest <= 83; - src <= 83; - when "01000001" => - dest <= 89; - src <= 40; - when "01000010" => - dest <= 31; - src <= 17; - when "01000011" => - dest <= 83; - src <= 83; - when "01000100" => - dest <= 91; - src <= 31; - when "01000101" => - dest <= 83; - src <= 83; - when "01000110" => - dest <= 25; - src <= 59; - when "01000111" => - dest <= 78; - src <= 87; - when "01001000" => - dest <= 50; - src <= 68; - when "01001001" => - dest <= 83; - src <= 75; - when "01001010" => - dest <= 83; - src <= 83; - when "01001011" => - dest <= 83; - src <= 35; - when "01001100" => - dest <= 67; - src <= 83; - when "01001101" => - dest <= 34; - src <= 83; - when "01001110" => - dest <= 83; - src <= 41; - when "01001111" => - dest <= 0; - src <= 98; - when "01010000" => - dest <= 83; - src <= 16; - when "01010001" => - dest <= 11; - src <= 83; - when "01010010" => - dest <= 16; - src <= 83; - when "01010011" => - dest <= 56; - src <= 83; - when "01010100" => - dest <= 83; - src <= 9; - when "01010101" => - dest <= 83; - src <= 32; - when "01010110" => - dest <= 45; - src <= 66; - when "01010111" => - dest <= 83; - src <= 83; - when "01011000" => - dest <= 22; - src <= 55; - when "01011001" => - dest <= 84; - src <= 83; - when "01011010" => - dest <= 32; - src <= 50; - when "01011011" => - dest <= 83; - src <= 10; - when "01011100" => - dest <= 7; - src <= 82; - when "01011101" => - dest <= 12; - src <= 83; - when "01011110" => - dest <= 83; - src <= 21; - when "01011111" => - dest <= 41; - src <= 44; - when "01100000" => - dest <= 98; - src <= 83; - when "01100001" => - dest <= 83; - src <= 83; - when "01100010" => - dest <= 83; - src <= 34; - when "01100011" => - dest <= 1; - src <= 54; - when "01100100" => - dest <= 77; - src <= 69; - when "01100101" => - dest <= 60; - src <= 83; - when "01100110" => - dest <= 83; - src <= 83; - when "01100111" => - dest <= 66; - src <= 25; - when "01101000" => - dest <= 2; - src <= 78; - when "01101001" => - dest <= 55; - src <= 65; - when "01101010" => - dest <= 83; - src <= 83; - when "01101011" => - dest <= 90; - src <= 99; - when "01101100" => - dest <= 44; - src <= 6; - when "01101101" => - dest <= 83; - src <= 64; - when "01101110" => - dest <= 15; - src <= 0; - when "01101111" => - dest <= 83; - src <= 83; - when "01110000" => - dest <= 42; - src <= 11; - when "01110001" => - dest <= 6; - src <= 76; - when "01110010" => - dest <= 83; - src <= 83; - when "01110011" => - dest <= 83; - src <= 22; - when "01110100" => - dest <= 51; - src <= 83; - when "01110101" => - dest <= 97; - src <= 51; - when "01110110" => - dest <= 83; - src <= 83; - when "01110111" => - dest <= 33; - src <= 24; - when "01111000" => - dest <= 83; - src <= 60; - when "01111001" => - dest <= 88; - src <= 83; - when "01111010" => - dest <= 83; - src <= 83; - when "01111011" => - dest <= 83; - src <= 15; - when "01111100" => - dest <= 70; - src <= 79; - when "01111101" => - dest <= 76; - src <= 83; - when "01111110" => - dest <= 83; - src <= 33; - when "01111111" => - dest <= 65; - src <= 83; - when "10000000" => - dest <= 83; - src <= 88; - when "10000001" => - dest <= 54; - src <= 83; - when "10000010" => - dest <= 83; - src <= 96; - when "10000011" => - dest <= 14; - src <= 90; - when "10000100" => - dest <= 52; - src <= 83; - when "10000101" => - dest <= 5; - src <= 1; - when "10000110" => - dest <= 83; - src <= 83; - when "10000111" => - dest <= 61; - src <= 12; - when "10001000" => - dest <= 96; - src <= 83; - when "10001001" => - dest <= 43; - src <= 52; - when "10001010" => - dest <= 83; - src <= 14; - when "10001011" => - dest <= 87; - src <= 61; - when "10001100" => - dest <= 83; - src <= 83; - when "10001101" => - dest <= 83; - src <= 5; - when "10001110" => - dest <= 75; - src <= 70; - when "10001111" => - dest <= 64; - src <= 23; - when "10010000" => - dest <= 83; - src <= 83; - when "10010001" => - dest <= 62; - src <= 89; - when "10010010" => - dest <= 4; - src <= 83; - when "10010011" => - dest <= 53; - src <= 91; - when "10010100" => - dest <= 86; - src <= 2; - when "10010101" => - dest <= 83; - src <= 83; - when "10010110" => - dest <= 74; - src <= 4; - when "10010111" => - dest <= 63; - src <= 62; - when "10011000" => - dest <= 85; - src <= 13; - when "10011001" => - dest <= 83; - src <= 80; - when "10011010" => - dest <= 83; - src <= 92; - when "10011011" => - dest <= 38; - src <= 3; - when "10011100" => - dest <= 27; - src <= 81; - when others => - dest <= 83; - src <= 83; - - end case; - end process; - - end generate NI_NUM83; - - NI_NUM84 : if NI_NUM = 84 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 90; - src <= 84; - when "00000001" => - dest <= 4; - src <= 84; - when "00000010" => - dest <= 84; - src <= 84; - when "00000011" => - dest <= 74; - src <= 84; - when "00000100" => - dest <= 49; - src <= 84; - when "00000101" => - dest <= 30; - src <= 64; - when "00000110" => - dest <= 84; - src <= 94; - when "00000111" => - dest <= 20; - src <= 78; - when "00001000" => - dest <= 72; - src <= 84; - when "00001001" => - dest <= 84; - src <= 40; - when "00001010" => - dest <= 84; - src <= 39; - when "00001011" => - dest <= 94; - src <= 84; - when "00001100" => - dest <= 10; - src <= 84; - when "00001101" => - dest <= 29; - src <= 96; - when "00001110" => - dest <= 38; - src <= 74; - when "00001111" => - dest <= 14; - src <= 29; - when "00010000" => - dest <= 84; - src <= 38; - when "00010001" => - dest <= 84; - src <= 48; - when "00010010" => - dest <= 84; - src <= 84; - when "00010011" => - dest <= 70; - src <= 84; - when "00010100" => - dest <= 59; - src <= 54; - when "00010101" => - dest <= 84; - src <= 58; - when "00010110" => - dest <= 24; - src <= 84; - when "00010111" => - dest <= 73; - src <= 84; - when "00011000" => - dest <= 48; - src <= 49; - when "00011001" => - dest <= 31; - src <= 30; - when "00011010" => - dest <= 84; - src <= 98; - when "00011011" => - dest <= 21; - src <= 95; - when "00011100" => - dest <= 81; - src <= 44; - when "00011101" => - dest <= 84; - src <= 84; - when "00011110" => - dest <= 84; - src <= 19; - when "00011111" => - dest <= 11; - src <= 84; - when "00100000" => - dest <= 96; - src <= 84; - when "00100001" => - dest <= 40; - src <= 87; - when "00100010" => - dest <= 37; - src <= 20; - when "00100011" => - dest <= 19; - src <= 37; - when "00100100" => - dest <= 84; - src <= 47; - when "00100101" => - dest <= 95; - src <= 72; - when "00100110" => - dest <= 84; - src <= 84; - when "00100111" => - dest <= 0; - src <= 57; - when "00101000" => - dest <= 84; - src <= 84; - when "00101001" => - dest <= 84; - src <= 73; - when "00101010" => - dest <= 69; - src <= 84; - when "00101011" => - dest <= 25; - src <= 28; - when "00101100" => - dest <= 60; - src <= 31; - when "00101101" => - dest <= 83; - src <= 59; - when "00101110" => - dest <= 58; - src <= 84; - when "00101111" => - dest <= 84; - src <= 68; - when "00110000" => - dest <= 84; - src <= 85; - when "00110001" => - dest <= 47; - src <= 84; - when "00110010" => - dest <= 41; - src <= 43; - when "00110011" => - dest <= 84; - src <= 9; - when "00110100" => - dest <= 22; - src <= 8; - when "00110101" => - dest <= 82; - src <= 84; - when "00110110" => - dest <= 84; - src <= 84; - when "00110111" => - dest <= 84; - src <= 10; - when "00111000" => - dest <= 27; - src <= 84; - when "00111001" => - dest <= 50; - src <= 86; - when "00111010" => - dest <= 93; - src <= 21; - when "00111011" => - dest <= 36; - src <= 27; - when "00111100" => - dest <= 84; - src <= 46; - when "00111101" => - dest <= 18; - src <= 84; - when "00111110" => - dest <= 84; - src <= 75; - when "00111111" => - dest <= 9; - src <= 84; - when "01000000" => - dest <= 84; - src <= 84; - when "01000001" => - dest <= 80; - src <= 41; - when "01000010" => - dest <= 32; - src <= 18; - when "01000011" => - dest <= 84; - src <= 84; - when "01000100" => - dest <= 92; - src <= 32; - when "01000101" => - dest <= 84; - src <= 84; - when "01000110" => - dest <= 26; - src <= 50; - when "01000111" => - dest <= 79; - src <= 88; - when "01001000" => - dest <= 51; - src <= 69; - when "01001001" => - dest <= 84; - src <= 76; - when "01001010" => - dest <= 84; - src <= 84; - when "01001011" => - dest <= 84; - src <= 36; - when "01001100" => - dest <= 68; - src <= 84; - when "01001101" => - dest <= 35; - src <= 84; - when "01001110" => - dest <= 84; - src <= 42; - when "01001111" => - dest <= 1; - src <= 99; - when "01010000" => - dest <= 84; - src <= 17; - when "01010001" => - dest <= 12; - src <= 84; - when "01010010" => - dest <= 17; - src <= 84; - when "01010011" => - dest <= 57; - src <= 84; - when "01010100" => - dest <= 84; - src <= 0; - when "01010101" => - dest <= 84; - src <= 33; - when "01010110" => - dest <= 46; - src <= 67; - when "01010111" => - dest <= 84; - src <= 84; - when "01011000" => - dest <= 23; - src <= 56; - when "01011001" => - dest <= 85; - src <= 84; - when "01011010" => - dest <= 33; - src <= 51; - when "01011011" => - dest <= 84; - src <= 11; - when "01011100" => - dest <= 8; - src <= 83; - when "01011101" => - dest <= 13; - src <= 84; - when "01011110" => - dest <= 84; - src <= 22; - when "01011111" => - dest <= 42; - src <= 45; - when "01100000" => - dest <= 99; - src <= 84; - when "01100001" => - dest <= 84; - src <= 84; - when "01100010" => - dest <= 84; - src <= 35; - when "01100011" => - dest <= 2; - src <= 55; - when "01100100" => - dest <= 78; - src <= 60; - when "01100101" => - dest <= 61; - src <= 84; - when "01100110" => - dest <= 84; - src <= 84; - when "01100111" => - dest <= 67; - src <= 26; - when "01101000" => - dest <= 3; - src <= 79; - when "01101001" => - dest <= 56; - src <= 66; - when "01101010" => - dest <= 84; - src <= 84; - when "01101011" => - dest <= 91; - src <= 90; - when "01101100" => - dest <= 45; - src <= 7; - when "01101101" => - dest <= 84; - src <= 65; - when "01101110" => - dest <= 16; - src <= 1; - when "01101111" => - dest <= 84; - src <= 84; - when "01110000" => - dest <= 43; - src <= 12; - when "01110001" => - dest <= 7; - src <= 77; - when "01110010" => - dest <= 84; - src <= 84; - when "01110011" => - dest <= 84; - src <= 23; - when "01110100" => - dest <= 52; - src <= 84; - when "01110101" => - dest <= 98; - src <= 52; - when "01110110" => - dest <= 84; - src <= 84; - when "01110111" => - dest <= 34; - src <= 25; - when "01111000" => - dest <= 84; - src <= 61; - when "01111001" => - dest <= 89; - src <= 84; - when "01111010" => - dest <= 84; - src <= 84; - when "01111011" => - dest <= 84; - src <= 16; - when "01111100" => - dest <= 71; - src <= 70; - when "01111101" => - dest <= 77; - src <= 84; - when "01111110" => - dest <= 84; - src <= 34; - when "01111111" => - dest <= 66; - src <= 84; - when "10000000" => - dest <= 84; - src <= 89; - when "10000001" => - dest <= 55; - src <= 84; - when "10000010" => - dest <= 84; - src <= 97; - when "10000011" => - dest <= 15; - src <= 91; - when "10000100" => - dest <= 53; - src <= 84; - when "10000101" => - dest <= 6; - src <= 2; - when "10000110" => - dest <= 84; - src <= 84; - when "10000111" => - dest <= 62; - src <= 13; - when "10001000" => - dest <= 97; - src <= 84; - when "10001001" => - dest <= 44; - src <= 53; - when "10001010" => - dest <= 84; - src <= 15; - when "10001011" => - dest <= 88; - src <= 62; - when "10001100" => - dest <= 84; - src <= 84; - when "10001101" => - dest <= 84; - src <= 6; - when "10001110" => - dest <= 76; - src <= 71; - when "10001111" => - dest <= 65; - src <= 24; - when "10010000" => - dest <= 84; - src <= 84; - when "10010001" => - dest <= 63; - src <= 80; - when "10010010" => - dest <= 5; - src <= 84; - when "10010011" => - dest <= 54; - src <= 92; - when "10010100" => - dest <= 87; - src <= 3; - when "10010101" => - dest <= 84; - src <= 84; - when "10010110" => - dest <= 75; - src <= 5; - when "10010111" => - dest <= 64; - src <= 63; - when "10011000" => - dest <= 86; - src <= 14; - when "10011001" => - dest <= 84; - src <= 81; - when "10011010" => - dest <= 84; - src <= 93; - when "10011011" => - dest <= 39; - src <= 4; - when "10011100" => - dest <= 28; - src <= 82; - when others => - dest <= 84; - src <= 84; - - end case; - end process; - - end generate NI_NUM84; - - NI_NUM85 : if NI_NUM = 85 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 91; - src <= 85; - when "00000001" => - dest <= 5; - src <= 85; - when "00000010" => - dest <= 85; - src <= 85; - when "00000011" => - dest <= 75; - src <= 85; - when "00000100" => - dest <= 40; - src <= 85; - when "00000101" => - dest <= 31; - src <= 65; - when "00000110" => - dest <= 85; - src <= 95; - when "00000111" => - dest <= 21; - src <= 79; - when "00001000" => - dest <= 73; - src <= 85; - when "00001001" => - dest <= 85; - src <= 41; - when "00001010" => - dest <= 85; - src <= 30; - when "00001011" => - dest <= 95; - src <= 85; - when "00001100" => - dest <= 11; - src <= 85; - when "00001101" => - dest <= 20; - src <= 97; - when "00001110" => - dest <= 39; - src <= 75; - when "00001111" => - dest <= 15; - src <= 20; - when "00010000" => - dest <= 85; - src <= 39; - when "00010001" => - dest <= 85; - src <= 49; - when "00010010" => - dest <= 85; - src <= 85; - when "00010011" => - dest <= 71; - src <= 85; - when "00010100" => - dest <= 50; - src <= 55; - when "00010101" => - dest <= 85; - src <= 59; - when "00010110" => - dest <= 25; - src <= 85; - when "00010111" => - dest <= 74; - src <= 85; - when "00011000" => - dest <= 49; - src <= 40; - when "00011001" => - dest <= 32; - src <= 31; - when "00011010" => - dest <= 85; - src <= 99; - when "00011011" => - dest <= 22; - src <= 96; - when "00011100" => - dest <= 82; - src <= 45; - when "00011101" => - dest <= 85; - src <= 85; - when "00011110" => - dest <= 85; - src <= 10; - when "00011111" => - dest <= 12; - src <= 85; - when "00100000" => - dest <= 97; - src <= 85; - when "00100001" => - dest <= 41; - src <= 88; - when "00100010" => - dest <= 38; - src <= 21; - when "00100011" => - dest <= 10; - src <= 38; - when "00100100" => - dest <= 85; - src <= 48; - when "00100101" => - dest <= 96; - src <= 73; - when "00100110" => - dest <= 85; - src <= 85; - when "00100111" => - dest <= 1; - src <= 58; - when "00101000" => - dest <= 85; - src <= 85; - when "00101001" => - dest <= 85; - src <= 74; - when "00101010" => - dest <= 60; - src <= 85; - when "00101011" => - dest <= 26; - src <= 29; - when "00101100" => - dest <= 61; - src <= 32; - when "00101101" => - dest <= 84; - src <= 50; - when "00101110" => - dest <= 59; - src <= 85; - when "00101111" => - dest <= 85; - src <= 69; - when "00110000" => - dest <= 85; - src <= 86; - when "00110001" => - dest <= 48; - src <= 85; - when "00110010" => - dest <= 42; - src <= 44; - when "00110011" => - dest <= 85; - src <= 0; - when "00110100" => - dest <= 23; - src <= 9; - when "00110101" => - dest <= 83; - src <= 85; - when "00110110" => - dest <= 85; - src <= 85; - when "00110111" => - dest <= 85; - src <= 11; - when "00111000" => - dest <= 28; - src <= 85; - when "00111001" => - dest <= 51; - src <= 87; - when "00111010" => - dest <= 94; - src <= 22; - when "00111011" => - dest <= 37; - src <= 28; - when "00111100" => - dest <= 85; - src <= 47; - when "00111101" => - dest <= 19; - src <= 85; - when "00111110" => - dest <= 85; - src <= 76; - when "00111111" => - dest <= 0; - src <= 85; - when "01000000" => - dest <= 85; - src <= 85; - when "01000001" => - dest <= 81; - src <= 42; - when "01000010" => - dest <= 33; - src <= 19; - when "01000011" => - dest <= 85; - src <= 85; - when "01000100" => - dest <= 93; - src <= 33; - when "01000101" => - dest <= 85; - src <= 85; - when "01000110" => - dest <= 27; - src <= 51; - when "01000111" => - dest <= 70; - src <= 89; - when "01001000" => - dest <= 52; - src <= 60; - when "01001001" => - dest <= 85; - src <= 77; - when "01001010" => - dest <= 85; - src <= 85; - when "01001011" => - dest <= 85; - src <= 37; - when "01001100" => - dest <= 69; - src <= 85; - when "01001101" => - dest <= 36; - src <= 85; - when "01001110" => - dest <= 85; - src <= 43; - when "01001111" => - dest <= 2; - src <= 90; - when "01010000" => - dest <= 85; - src <= 18; - when "01010001" => - dest <= 13; - src <= 85; - when "01010010" => - dest <= 18; - src <= 85; - when "01010011" => - dest <= 58; - src <= 85; - when "01010100" => - dest <= 85; - src <= 1; - when "01010101" => - dest <= 85; - src <= 34; - when "01010110" => - dest <= 47; - src <= 68; - when "01010111" => - dest <= 85; - src <= 85; - when "01011000" => - dest <= 24; - src <= 57; - when "01011001" => - dest <= 86; - src <= 85; - when "01011010" => - dest <= 34; - src <= 52; - when "01011011" => - dest <= 85; - src <= 12; - when "01011100" => - dest <= 9; - src <= 84; - when "01011101" => - dest <= 14; - src <= 85; - when "01011110" => - dest <= 85; - src <= 23; - when "01011111" => - dest <= 43; - src <= 46; - when "01100000" => - dest <= 90; - src <= 85; - when "01100001" => - dest <= 85; - src <= 85; - when "01100010" => - dest <= 85; - src <= 36; - when "01100011" => - dest <= 3; - src <= 56; - when "01100100" => - dest <= 79; - src <= 61; - when "01100101" => - dest <= 62; - src <= 85; - when "01100110" => - dest <= 85; - src <= 85; - when "01100111" => - dest <= 68; - src <= 27; - when "01101000" => - dest <= 4; - src <= 70; - when "01101001" => - dest <= 57; - src <= 67; - when "01101010" => - dest <= 85; - src <= 85; - when "01101011" => - dest <= 92; - src <= 91; - when "01101100" => - dest <= 46; - src <= 8; - when "01101101" => - dest <= 85; - src <= 66; - when "01101110" => - dest <= 17; - src <= 2; - when "01101111" => - dest <= 85; - src <= 85; - when "01110000" => - dest <= 44; - src <= 13; - when "01110001" => - dest <= 8; - src <= 78; - when "01110010" => - dest <= 85; - src <= 85; - when "01110011" => - dest <= 85; - src <= 24; - when "01110100" => - dest <= 53; - src <= 85; - when "01110101" => - dest <= 99; - src <= 53; - when "01110110" => - dest <= 85; - src <= 85; - when "01110111" => - dest <= 35; - src <= 26; - when "01111000" => - dest <= 85; - src <= 62; - when "01111001" => - dest <= 80; - src <= 85; - when "01111010" => - dest <= 85; - src <= 85; - when "01111011" => - dest <= 85; - src <= 17; - when "01111100" => - dest <= 72; - src <= 71; - when "01111101" => - dest <= 78; - src <= 85; - when "01111110" => - dest <= 85; - src <= 35; - when "01111111" => - dest <= 67; - src <= 85; - when "10000000" => - dest <= 85; - src <= 80; - when "10000001" => - dest <= 56; - src <= 85; - when "10000010" => - dest <= 85; - src <= 98; - when "10000011" => - dest <= 16; - src <= 92; - when "10000100" => - dest <= 54; - src <= 85; - when "10000101" => - dest <= 7; - src <= 3; - when "10000110" => - dest <= 85; - src <= 85; - when "10000111" => - dest <= 63; - src <= 14; - when "10001000" => - dest <= 98; - src <= 85; - when "10001001" => - dest <= 45; - src <= 54; - when "10001010" => - dest <= 85; - src <= 16; - when "10001011" => - dest <= 89; - src <= 63; - when "10001100" => - dest <= 85; - src <= 85; - when "10001101" => - dest <= 85; - src <= 7; - when "10001110" => - dest <= 77; - src <= 72; - when "10001111" => - dest <= 66; - src <= 25; - when "10010000" => - dest <= 85; - src <= 85; - when "10010001" => - dest <= 64; - src <= 81; - when "10010010" => - dest <= 6; - src <= 85; - when "10010011" => - dest <= 55; - src <= 93; - when "10010100" => - dest <= 88; - src <= 4; - when "10010101" => - dest <= 85; - src <= 85; - when "10010110" => - dest <= 76; - src <= 6; - when "10010111" => - dest <= 65; - src <= 64; - when "10011000" => - dest <= 87; - src <= 15; - when "10011001" => - dest <= 85; - src <= 82; - when "10011010" => - dest <= 85; - src <= 94; - when "10011011" => - dest <= 30; - src <= 5; - when "10011100" => - dest <= 29; - src <= 83; - when others => - dest <= 85; - src <= 85; - - end case; - end process; - - end generate NI_NUM85; - - NI_NUM86 : if NI_NUM = 86 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 92; - src <= 86; - when "00000001" => - dest <= 6; - src <= 86; - when "00000010" => - dest <= 86; - src <= 86; - when "00000011" => - dest <= 76; - src <= 86; - when "00000100" => - dest <= 41; - src <= 86; - when "00000101" => - dest <= 32; - src <= 66; - when "00000110" => - dest <= 86; - src <= 96; - when "00000111" => - dest <= 22; - src <= 70; - when "00001000" => - dest <= 74; - src <= 86; - when "00001001" => - dest <= 86; - src <= 42; - when "00001010" => - dest <= 86; - src <= 31; - when "00001011" => - dest <= 96; - src <= 86; - when "00001100" => - dest <= 12; - src <= 86; - when "00001101" => - dest <= 21; - src <= 98; - when "00001110" => - dest <= 30; - src <= 76; - when "00001111" => - dest <= 16; - src <= 21; - when "00010000" => - dest <= 86; - src <= 30; - when "00010001" => - dest <= 86; - src <= 40; - when "00010010" => - dest <= 86; - src <= 86; - when "00010011" => - dest <= 72; - src <= 86; - when "00010100" => - dest <= 51; - src <= 56; - when "00010101" => - dest <= 86; - src <= 50; - when "00010110" => - dest <= 26; - src <= 86; - when "00010111" => - dest <= 75; - src <= 86; - when "00011000" => - dest <= 40; - src <= 41; - when "00011001" => - dest <= 33; - src <= 32; - when "00011010" => - dest <= 86; - src <= 90; - when "00011011" => - dest <= 23; - src <= 97; - when "00011100" => - dest <= 83; - src <= 46; - when "00011101" => - dest <= 86; - src <= 86; - when "00011110" => - dest <= 86; - src <= 11; - when "00011111" => - dest <= 13; - src <= 86; - when "00100000" => - dest <= 98; - src <= 86; - when "00100001" => - dest <= 42; - src <= 89; - when "00100010" => - dest <= 39; - src <= 22; - when "00100011" => - dest <= 11; - src <= 39; - when "00100100" => - dest <= 86; - src <= 49; - when "00100101" => - dest <= 97; - src <= 74; - when "00100110" => - dest <= 86; - src <= 86; - when "00100111" => - dest <= 2; - src <= 59; - when "00101000" => - dest <= 86; - src <= 86; - when "00101001" => - dest <= 86; - src <= 75; - when "00101010" => - dest <= 61; - src <= 86; - when "00101011" => - dest <= 27; - src <= 20; - when "00101100" => - dest <= 62; - src <= 33; - when "00101101" => - dest <= 85; - src <= 51; - when "00101110" => - dest <= 50; - src <= 86; - when "00101111" => - dest <= 86; - src <= 60; - when "00110000" => - dest <= 86; - src <= 87; - when "00110001" => - dest <= 49; - src <= 86; - when "00110010" => - dest <= 43; - src <= 45; - when "00110011" => - dest <= 86; - src <= 1; - when "00110100" => - dest <= 24; - src <= 0; - when "00110101" => - dest <= 84; - src <= 86; - when "00110110" => - dest <= 86; - src <= 86; - when "00110111" => - dest <= 86; - src <= 12; - when "00111000" => - dest <= 29; - src <= 86; - when "00111001" => - dest <= 52; - src <= 88; - when "00111010" => - dest <= 95; - src <= 23; - when "00111011" => - dest <= 38; - src <= 29; - when "00111100" => - dest <= 86; - src <= 48; - when "00111101" => - dest <= 10; - src <= 86; - when "00111110" => - dest <= 86; - src <= 77; - when "00111111" => - dest <= 1; - src <= 86; - when "01000000" => - dest <= 86; - src <= 86; - when "01000001" => - dest <= 82; - src <= 43; - when "01000010" => - dest <= 34; - src <= 10; - when "01000011" => - dest <= 86; - src <= 86; - when "01000100" => - dest <= 94; - src <= 34; - when "01000101" => - dest <= 86; - src <= 86; - when "01000110" => - dest <= 28; - src <= 52; - when "01000111" => - dest <= 71; - src <= 80; - when "01001000" => - dest <= 53; - src <= 61; - when "01001001" => - dest <= 86; - src <= 78; - when "01001010" => - dest <= 86; - src <= 86; - when "01001011" => - dest <= 86; - src <= 38; - when "01001100" => - dest <= 60; - src <= 86; - when "01001101" => - dest <= 37; - src <= 86; - when "01001110" => - dest <= 86; - src <= 44; - when "01001111" => - dest <= 3; - src <= 91; - when "01010000" => - dest <= 86; - src <= 19; - when "01010001" => - dest <= 14; - src <= 86; - when "01010010" => - dest <= 19; - src <= 86; - when "01010011" => - dest <= 59; - src <= 86; - when "01010100" => - dest <= 86; - src <= 2; - when "01010101" => - dest <= 86; - src <= 35; - when "01010110" => - dest <= 48; - src <= 69; - when "01010111" => - dest <= 86; - src <= 86; - when "01011000" => - dest <= 25; - src <= 58; - when "01011001" => - dest <= 87; - src <= 86; - when "01011010" => - dest <= 35; - src <= 53; - when "01011011" => - dest <= 86; - src <= 13; - when "01011100" => - dest <= 0; - src <= 85; - when "01011101" => - dest <= 15; - src <= 86; - when "01011110" => - dest <= 86; - src <= 24; - when "01011111" => - dest <= 44; - src <= 47; - when "01100000" => - dest <= 91; - src <= 86; - when "01100001" => - dest <= 86; - src <= 86; - when "01100010" => - dest <= 86; - src <= 37; - when "01100011" => - dest <= 4; - src <= 57; - when "01100100" => - dest <= 70; - src <= 62; - when "01100101" => - dest <= 63; - src <= 86; - when "01100110" => - dest <= 86; - src <= 86; - when "01100111" => - dest <= 69; - src <= 28; - when "01101000" => - dest <= 5; - src <= 71; - when "01101001" => - dest <= 58; - src <= 68; - when "01101010" => - dest <= 86; - src <= 86; - when "01101011" => - dest <= 93; - src <= 92; - when "01101100" => - dest <= 47; - src <= 9; - when "01101101" => - dest <= 86; - src <= 67; - when "01101110" => - dest <= 18; - src <= 3; - when "01101111" => - dest <= 86; - src <= 86; - when "01110000" => - dest <= 45; - src <= 14; - when "01110001" => - dest <= 9; - src <= 79; - when "01110010" => - dest <= 86; - src <= 86; - when "01110011" => - dest <= 86; - src <= 25; - when "01110100" => - dest <= 54; - src <= 86; - when "01110101" => - dest <= 90; - src <= 54; - when "01110110" => - dest <= 86; - src <= 86; - when "01110111" => - dest <= 36; - src <= 27; - when "01111000" => - dest <= 86; - src <= 63; - when "01111001" => - dest <= 81; - src <= 86; - when "01111010" => - dest <= 86; - src <= 86; - when "01111011" => - dest <= 86; - src <= 18; - when "01111100" => - dest <= 73; - src <= 72; - when "01111101" => - dest <= 79; - src <= 86; - when "01111110" => - dest <= 86; - src <= 36; - when "01111111" => - dest <= 68; - src <= 86; - when "10000000" => - dest <= 86; - src <= 81; - when "10000001" => - dest <= 57; - src <= 86; - when "10000010" => - dest <= 86; - src <= 99; - when "10000011" => - dest <= 17; - src <= 93; - when "10000100" => - dest <= 55; - src <= 86; - when "10000101" => - dest <= 8; - src <= 4; - when "10000110" => - dest <= 86; - src <= 86; - when "10000111" => - dest <= 64; - src <= 15; - when "10001000" => - dest <= 99; - src <= 86; - when "10001001" => - dest <= 46; - src <= 55; - when "10001010" => - dest <= 86; - src <= 17; - when "10001011" => - dest <= 80; - src <= 64; - when "10001100" => - dest <= 86; - src <= 86; - when "10001101" => - dest <= 86; - src <= 8; - when "10001110" => - dest <= 78; - src <= 73; - when "10001111" => - dest <= 67; - src <= 26; - when "10010000" => - dest <= 86; - src <= 86; - when "10010001" => - dest <= 65; - src <= 82; - when "10010010" => - dest <= 7; - src <= 86; - when "10010011" => - dest <= 56; - src <= 94; - when "10010100" => - dest <= 89; - src <= 5; - when "10010101" => - dest <= 86; - src <= 86; - when "10010110" => - dest <= 77; - src <= 7; - when "10010111" => - dest <= 66; - src <= 65; - when "10011000" => - dest <= 88; - src <= 16; - when "10011001" => - dest <= 86; - src <= 83; - when "10011010" => - dest <= 86; - src <= 95; - when "10011011" => - dest <= 31; - src <= 6; - when "10011100" => - dest <= 20; - src <= 84; - when others => - dest <= 86; - src <= 86; - - end case; - end process; - - end generate NI_NUM86; - - NI_NUM87 : if NI_NUM = 87 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 93; - src <= 87; - when "00000001" => - dest <= 7; - src <= 87; - when "00000010" => - dest <= 87; - src <= 87; - when "00000011" => - dest <= 77; - src <= 87; - when "00000100" => - dest <= 42; - src <= 87; - when "00000101" => - dest <= 33; - src <= 67; - when "00000110" => - dest <= 87; - src <= 97; - when "00000111" => - dest <= 23; - src <= 71; - when "00001000" => - dest <= 75; - src <= 87; - when "00001001" => - dest <= 87; - src <= 43; - when "00001010" => - dest <= 87; - src <= 32; - when "00001011" => - dest <= 97; - src <= 87; - when "00001100" => - dest <= 13; - src <= 87; - when "00001101" => - dest <= 22; - src <= 99; - when "00001110" => - dest <= 31; - src <= 77; - when "00001111" => - dest <= 17; - src <= 22; - when "00010000" => - dest <= 87; - src <= 31; - when "00010001" => - dest <= 87; - src <= 41; - when "00010010" => - dest <= 87; - src <= 87; - when "00010011" => - dest <= 73; - src <= 87; - when "00010100" => - dest <= 52; - src <= 57; - when "00010101" => - dest <= 87; - src <= 51; - when "00010110" => - dest <= 27; - src <= 87; - when "00010111" => - dest <= 76; - src <= 87; - when "00011000" => - dest <= 41; - src <= 42; - when "00011001" => - dest <= 34; - src <= 33; - when "00011010" => - dest <= 87; - src <= 91; - when "00011011" => - dest <= 24; - src <= 98; - when "00011100" => - dest <= 84; - src <= 47; - when "00011101" => - dest <= 87; - src <= 87; - when "00011110" => - dest <= 87; - src <= 12; - when "00011111" => - dest <= 14; - src <= 87; - when "00100000" => - dest <= 99; - src <= 87; - when "00100001" => - dest <= 43; - src <= 80; - when "00100010" => - dest <= 30; - src <= 23; - when "00100011" => - dest <= 12; - src <= 30; - when "00100100" => - dest <= 87; - src <= 40; - when "00100101" => - dest <= 98; - src <= 75; - when "00100110" => - dest <= 87; - src <= 87; - when "00100111" => - dest <= 3; - src <= 50; - when "00101000" => - dest <= 87; - src <= 87; - when "00101001" => - dest <= 87; - src <= 76; - when "00101010" => - dest <= 62; - src <= 87; - when "00101011" => - dest <= 28; - src <= 21; - when "00101100" => - dest <= 63; - src <= 34; - when "00101101" => - dest <= 86; - src <= 52; - when "00101110" => - dest <= 51; - src <= 87; - when "00101111" => - dest <= 87; - src <= 61; - when "00110000" => - dest <= 87; - src <= 88; - when "00110001" => - dest <= 40; - src <= 87; - when "00110010" => - dest <= 44; - src <= 46; - when "00110011" => - dest <= 87; - src <= 2; - when "00110100" => - dest <= 25; - src <= 1; - when "00110101" => - dest <= 85; - src <= 87; - when "00110110" => - dest <= 87; - src <= 87; - when "00110111" => - dest <= 87; - src <= 13; - when "00111000" => - dest <= 20; - src <= 87; - when "00111001" => - dest <= 53; - src <= 89; - when "00111010" => - dest <= 96; - src <= 24; - when "00111011" => - dest <= 39; - src <= 20; - when "00111100" => - dest <= 87; - src <= 49; - when "00111101" => - dest <= 11; - src <= 87; - when "00111110" => - dest <= 87; - src <= 78; - when "00111111" => - dest <= 2; - src <= 87; - when "01000000" => - dest <= 87; - src <= 87; - when "01000001" => - dest <= 83; - src <= 44; - when "01000010" => - dest <= 35; - src <= 11; - when "01000011" => - dest <= 87; - src <= 87; - when "01000100" => - dest <= 95; - src <= 35; - when "01000101" => - dest <= 87; - src <= 87; - when "01000110" => - dest <= 29; - src <= 53; - when "01000111" => - dest <= 72; - src <= 81; - when "01001000" => - dest <= 54; - src <= 62; - when "01001001" => - dest <= 87; - src <= 79; - when "01001010" => - dest <= 87; - src <= 87; - when "01001011" => - dest <= 87; - src <= 39; - when "01001100" => - dest <= 61; - src <= 87; - when "01001101" => - dest <= 38; - src <= 87; - when "01001110" => - dest <= 87; - src <= 45; - when "01001111" => - dest <= 4; - src <= 92; - when "01010000" => - dest <= 87; - src <= 10; - when "01010001" => - dest <= 15; - src <= 87; - when "01010010" => - dest <= 10; - src <= 87; - when "01010011" => - dest <= 50; - src <= 87; - when "01010100" => - dest <= 87; - src <= 3; - when "01010101" => - dest <= 87; - src <= 36; - when "01010110" => - dest <= 49; - src <= 60; - when "01010111" => - dest <= 87; - src <= 87; - when "01011000" => - dest <= 26; - src <= 59; - when "01011001" => - dest <= 88; - src <= 87; - when "01011010" => - dest <= 36; - src <= 54; - when "01011011" => - dest <= 87; - src <= 14; - when "01011100" => - dest <= 1; - src <= 86; - when "01011101" => - dest <= 16; - src <= 87; - when "01011110" => - dest <= 87; - src <= 25; - when "01011111" => - dest <= 45; - src <= 48; - when "01100000" => - dest <= 92; - src <= 87; - when "01100001" => - dest <= 87; - src <= 87; - when "01100010" => - dest <= 87; - src <= 38; - when "01100011" => - dest <= 5; - src <= 58; - when "01100100" => - dest <= 71; - src <= 63; - when "01100101" => - dest <= 64; - src <= 87; - when "01100110" => - dest <= 87; - src <= 87; - when "01100111" => - dest <= 60; - src <= 29; - when "01101000" => - dest <= 6; - src <= 72; - when "01101001" => - dest <= 59; - src <= 69; - when "01101010" => - dest <= 87; - src <= 87; - when "01101011" => - dest <= 94; - src <= 93; - when "01101100" => - dest <= 48; - src <= 0; - when "01101101" => - dest <= 87; - src <= 68; - when "01101110" => - dest <= 19; - src <= 4; - when "01101111" => - dest <= 87; - src <= 87; - when "01110000" => - dest <= 46; - src <= 15; - when "01110001" => - dest <= 0; - src <= 70; - when "01110010" => - dest <= 87; - src <= 87; - when "01110011" => - dest <= 87; - src <= 26; - when "01110100" => - dest <= 55; - src <= 87; - when "01110101" => - dest <= 91; - src <= 55; - when "01110110" => - dest <= 87; - src <= 87; - when "01110111" => - dest <= 37; - src <= 28; - when "01111000" => - dest <= 87; - src <= 64; - when "01111001" => - dest <= 82; - src <= 87; - when "01111010" => - dest <= 87; - src <= 87; - when "01111011" => - dest <= 87; - src <= 19; - when "01111100" => - dest <= 74; - src <= 73; - when "01111101" => - dest <= 70; - src <= 87; - when "01111110" => - dest <= 87; - src <= 37; - when "01111111" => - dest <= 69; - src <= 87; - when "10000000" => - dest <= 87; - src <= 82; - when "10000001" => - dest <= 58; - src <= 87; - when "10000010" => - dest <= 87; - src <= 90; - when "10000011" => - dest <= 18; - src <= 94; - when "10000100" => - dest <= 56; - src <= 87; - when "10000101" => - dest <= 9; - src <= 5; - when "10000110" => - dest <= 87; - src <= 87; - when "10000111" => - dest <= 65; - src <= 16; - when "10001000" => - dest <= 90; - src <= 87; - when "10001001" => - dest <= 47; - src <= 56; - when "10001010" => - dest <= 87; - src <= 18; - when "10001011" => - dest <= 81; - src <= 65; - when "10001100" => - dest <= 87; - src <= 87; - when "10001101" => - dest <= 87; - src <= 9; - when "10001110" => - dest <= 79; - src <= 74; - when "10001111" => - dest <= 68; - src <= 27; - when "10010000" => - dest <= 87; - src <= 87; - when "10010001" => - dest <= 66; - src <= 83; - when "10010010" => - dest <= 8; - src <= 87; - when "10010011" => - dest <= 57; - src <= 95; - when "10010100" => - dest <= 80; - src <= 6; - when "10010101" => - dest <= 87; - src <= 87; - when "10010110" => - dest <= 78; - src <= 8; - when "10010111" => - dest <= 67; - src <= 66; - when "10011000" => - dest <= 89; - src <= 17; - when "10011001" => - dest <= 87; - src <= 84; - when "10011010" => - dest <= 87; - src <= 96; - when "10011011" => - dest <= 32; - src <= 7; - when "10011100" => - dest <= 21; - src <= 85; - when others => - dest <= 87; - src <= 87; - - end case; - end process; - - end generate NI_NUM87; - - NI_NUM88 : if NI_NUM = 88 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 94; - src <= 88; - when "00000001" => - dest <= 8; - src <= 88; - when "00000010" => - dest <= 88; - src <= 88; - when "00000011" => - dest <= 78; - src <= 88; - when "00000100" => - dest <= 43; - src <= 88; - when "00000101" => - dest <= 34; - src <= 68; - when "00000110" => - dest <= 88; - src <= 98; - when "00000111" => - dest <= 24; - src <= 72; - when "00001000" => - dest <= 76; - src <= 88; - when "00001001" => - dest <= 88; - src <= 44; - when "00001010" => - dest <= 88; - src <= 33; - when "00001011" => - dest <= 98; - src <= 88; - when "00001100" => - dest <= 14; - src <= 88; - when "00001101" => - dest <= 23; - src <= 90; - when "00001110" => - dest <= 32; - src <= 78; - when "00001111" => - dest <= 18; - src <= 23; - when "00010000" => - dest <= 88; - src <= 32; - when "00010001" => - dest <= 88; - src <= 42; - when "00010010" => - dest <= 88; - src <= 88; - when "00010011" => - dest <= 74; - src <= 88; - when "00010100" => - dest <= 53; - src <= 58; - when "00010101" => - dest <= 88; - src <= 52; - when "00010110" => - dest <= 28; - src <= 88; - when "00010111" => - dest <= 77; - src <= 88; - when "00011000" => - dest <= 42; - src <= 43; - when "00011001" => - dest <= 35; - src <= 34; - when "00011010" => - dest <= 88; - src <= 92; - when "00011011" => - dest <= 25; - src <= 99; - when "00011100" => - dest <= 85; - src <= 48; - when "00011101" => - dest <= 88; - src <= 88; - when "00011110" => - dest <= 88; - src <= 13; - when "00011111" => - dest <= 15; - src <= 88; - when "00100000" => - dest <= 90; - src <= 88; - when "00100001" => - dest <= 44; - src <= 81; - when "00100010" => - dest <= 31; - src <= 24; - when "00100011" => - dest <= 13; - src <= 31; - when "00100100" => - dest <= 88; - src <= 41; - when "00100101" => - dest <= 99; - src <= 76; - when "00100110" => - dest <= 88; - src <= 88; - when "00100111" => - dest <= 4; - src <= 51; - when "00101000" => - dest <= 88; - src <= 88; - when "00101001" => - dest <= 88; - src <= 77; - when "00101010" => - dest <= 63; - src <= 88; - when "00101011" => - dest <= 29; - src <= 22; - when "00101100" => - dest <= 64; - src <= 35; - when "00101101" => - dest <= 87; - src <= 53; - when "00101110" => - dest <= 52; - src <= 88; - when "00101111" => - dest <= 88; - src <= 62; - when "00110000" => - dest <= 88; - src <= 89; - when "00110001" => - dest <= 41; - src <= 88; - when "00110010" => - dest <= 45; - src <= 47; - when "00110011" => - dest <= 88; - src <= 3; - when "00110100" => - dest <= 26; - src <= 2; - when "00110101" => - dest <= 86; - src <= 88; - when "00110110" => - dest <= 88; - src <= 88; - when "00110111" => - dest <= 88; - src <= 14; - when "00111000" => - dest <= 21; - src <= 88; - when "00111001" => - dest <= 54; - src <= 80; - when "00111010" => - dest <= 97; - src <= 25; - when "00111011" => - dest <= 30; - src <= 21; - when "00111100" => - dest <= 88; - src <= 40; - when "00111101" => - dest <= 12; - src <= 88; - when "00111110" => - dest <= 88; - src <= 79; - when "00111111" => - dest <= 3; - src <= 88; - when "01000000" => - dest <= 88; - src <= 88; - when "01000001" => - dest <= 84; - src <= 45; - when "01000010" => - dest <= 36; - src <= 12; - when "01000011" => - dest <= 88; - src <= 88; - when "01000100" => - dest <= 96; - src <= 36; - when "01000101" => - dest <= 88; - src <= 88; - when "01000110" => - dest <= 20; - src <= 54; - when "01000111" => - dest <= 73; - src <= 82; - when "01001000" => - dest <= 55; - src <= 63; - when "01001001" => - dest <= 88; - src <= 70; - when "01001010" => - dest <= 88; - src <= 88; - when "01001011" => - dest <= 88; - src <= 30; - when "01001100" => - dest <= 62; - src <= 88; - when "01001101" => - dest <= 39; - src <= 88; - when "01001110" => - dest <= 88; - src <= 46; - when "01001111" => - dest <= 5; - src <= 93; - when "01010000" => - dest <= 88; - src <= 11; - when "01010001" => - dest <= 16; - src <= 88; - when "01010010" => - dest <= 11; - src <= 88; - when "01010011" => - dest <= 51; - src <= 88; - when "01010100" => - dest <= 88; - src <= 4; - when "01010101" => - dest <= 88; - src <= 37; - when "01010110" => - dest <= 40; - src <= 61; - when "01010111" => - dest <= 88; - src <= 88; - when "01011000" => - dest <= 27; - src <= 50; - when "01011001" => - dest <= 89; - src <= 88; - when "01011010" => - dest <= 37; - src <= 55; - when "01011011" => - dest <= 88; - src <= 15; - when "01011100" => - dest <= 2; - src <= 87; - when "01011101" => - dest <= 17; - src <= 88; - when "01011110" => - dest <= 88; - src <= 26; - when "01011111" => - dest <= 46; - src <= 49; - when "01100000" => - dest <= 93; - src <= 88; - when "01100001" => - dest <= 88; - src <= 88; - when "01100010" => - dest <= 88; - src <= 39; - when "01100011" => - dest <= 6; - src <= 59; - when "01100100" => - dest <= 72; - src <= 64; - when "01100101" => - dest <= 65; - src <= 88; - when "01100110" => - dest <= 88; - src <= 88; - when "01100111" => - dest <= 61; - src <= 20; - when "01101000" => - dest <= 7; - src <= 73; - when "01101001" => - dest <= 50; - src <= 60; - when "01101010" => - dest <= 88; - src <= 88; - when "01101011" => - dest <= 95; - src <= 94; - when "01101100" => - dest <= 49; - src <= 1; - when "01101101" => - dest <= 88; - src <= 69; - when "01101110" => - dest <= 10; - src <= 5; - when "01101111" => - dest <= 88; - src <= 88; - when "01110000" => - dest <= 47; - src <= 16; - when "01110001" => - dest <= 1; - src <= 71; - when "01110010" => - dest <= 88; - src <= 88; - when "01110011" => - dest <= 88; - src <= 27; - when "01110100" => - dest <= 56; - src <= 88; - when "01110101" => - dest <= 92; - src <= 56; - when "01110110" => - dest <= 88; - src <= 88; - when "01110111" => - dest <= 38; - src <= 29; - when "01111000" => - dest <= 88; - src <= 65; - when "01111001" => - dest <= 83; - src <= 88; - when "01111010" => - dest <= 88; - src <= 88; - when "01111011" => - dest <= 88; - src <= 10; - when "01111100" => - dest <= 75; - src <= 74; - when "01111101" => - dest <= 71; - src <= 88; - when "01111110" => - dest <= 88; - src <= 38; - when "01111111" => - dest <= 60; - src <= 88; - when "10000000" => - dest <= 88; - src <= 83; - when "10000001" => - dest <= 59; - src <= 88; - when "10000010" => - dest <= 88; - src <= 91; - when "10000011" => - dest <= 19; - src <= 95; - when "10000100" => - dest <= 57; - src <= 88; - when "10000101" => - dest <= 0; - src <= 6; - when "10000110" => - dest <= 88; - src <= 88; - when "10000111" => - dest <= 66; - src <= 17; - when "10001000" => - dest <= 91; - src <= 88; - when "10001001" => - dest <= 48; - src <= 57; - when "10001010" => - dest <= 88; - src <= 19; - when "10001011" => - dest <= 82; - src <= 66; - when "10001100" => - dest <= 88; - src <= 88; - when "10001101" => - dest <= 88; - src <= 0; - when "10001110" => - dest <= 70; - src <= 75; - when "10001111" => - dest <= 69; - src <= 28; - when "10010000" => - dest <= 88; - src <= 88; - when "10010001" => - dest <= 67; - src <= 84; - when "10010010" => - dest <= 9; - src <= 88; - when "10010011" => - dest <= 58; - src <= 96; - when "10010100" => - dest <= 81; - src <= 7; - when "10010101" => - dest <= 88; - src <= 88; - when "10010110" => - dest <= 79; - src <= 9; - when "10010111" => - dest <= 68; - src <= 67; - when "10011000" => - dest <= 80; - src <= 18; - when "10011001" => - dest <= 88; - src <= 85; - when "10011010" => - dest <= 88; - src <= 97; - when "10011011" => - dest <= 33; - src <= 8; - when "10011100" => - dest <= 22; - src <= 86; - when others => - dest <= 88; - src <= 88; - - end case; - end process; - - end generate NI_NUM88; - - NI_NUM89 : if NI_NUM = 89 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 95; - src <= 89; - when "00000001" => - dest <= 9; - src <= 89; - when "00000010" => - dest <= 89; - src <= 89; - when "00000011" => - dest <= 79; - src <= 89; - when "00000100" => - dest <= 44; - src <= 89; - when "00000101" => - dest <= 35; - src <= 69; - when "00000110" => - dest <= 89; - src <= 99; - when "00000111" => - dest <= 25; - src <= 73; - when "00001000" => - dest <= 77; - src <= 89; - when "00001001" => - dest <= 89; - src <= 45; - when "00001010" => - dest <= 89; - src <= 34; - when "00001011" => - dest <= 99; - src <= 89; - when "00001100" => - dest <= 15; - src <= 89; - when "00001101" => - dest <= 24; - src <= 91; - when "00001110" => - dest <= 33; - src <= 79; - when "00001111" => - dest <= 19; - src <= 24; - when "00010000" => - dest <= 89; - src <= 33; - when "00010001" => - dest <= 89; - src <= 43; - when "00010010" => - dest <= 89; - src <= 89; - when "00010011" => - dest <= 75; - src <= 89; - when "00010100" => - dest <= 54; - src <= 59; - when "00010101" => - dest <= 89; - src <= 53; - when "00010110" => - dest <= 29; - src <= 89; - when "00010111" => - dest <= 78; - src <= 89; - when "00011000" => - dest <= 43; - src <= 44; - when "00011001" => - dest <= 36; - src <= 35; - when "00011010" => - dest <= 89; - src <= 93; - when "00011011" => - dest <= 26; - src <= 90; - when "00011100" => - dest <= 86; - src <= 49; - when "00011101" => - dest <= 89; - src <= 89; - when "00011110" => - dest <= 89; - src <= 14; - when "00011111" => - dest <= 16; - src <= 89; - when "00100000" => - dest <= 91; - src <= 89; - when "00100001" => - dest <= 45; - src <= 82; - when "00100010" => - dest <= 32; - src <= 25; - when "00100011" => - dest <= 14; - src <= 32; - when "00100100" => - dest <= 89; - src <= 42; - when "00100101" => - dest <= 90; - src <= 77; - when "00100110" => - dest <= 89; - src <= 89; - when "00100111" => - dest <= 5; - src <= 52; - when "00101000" => - dest <= 89; - src <= 89; - when "00101001" => - dest <= 89; - src <= 78; - when "00101010" => - dest <= 64; - src <= 89; - when "00101011" => - dest <= 20; - src <= 23; - when "00101100" => - dest <= 65; - src <= 36; - when "00101101" => - dest <= 88; - src <= 54; - when "00101110" => - dest <= 53; - src <= 89; - when "00101111" => - dest <= 89; - src <= 63; - when "00110000" => - dest <= 89; - src <= 80; - when "00110001" => - dest <= 42; - src <= 89; - when "00110010" => - dest <= 46; - src <= 48; - when "00110011" => - dest <= 89; - src <= 4; - when "00110100" => - dest <= 27; - src <= 3; - when "00110101" => - dest <= 87; - src <= 89; - when "00110110" => - dest <= 89; - src <= 89; - when "00110111" => - dest <= 89; - src <= 15; - when "00111000" => - dest <= 22; - src <= 89; - when "00111001" => - dest <= 55; - src <= 81; - when "00111010" => - dest <= 98; - src <= 26; - when "00111011" => - dest <= 31; - src <= 22; - when "00111100" => - dest <= 89; - src <= 41; - when "00111101" => - dest <= 13; - src <= 89; - when "00111110" => - dest <= 89; - src <= 70; - when "00111111" => - dest <= 4; - src <= 89; - when "01000000" => - dest <= 89; - src <= 89; - when "01000001" => - dest <= 85; - src <= 46; - when "01000010" => - dest <= 37; - src <= 13; - when "01000011" => - dest <= 89; - src <= 89; - when "01000100" => - dest <= 97; - src <= 37; - when "01000101" => - dest <= 89; - src <= 89; - when "01000110" => - dest <= 21; - src <= 55; - when "01000111" => - dest <= 74; - src <= 83; - when "01001000" => - dest <= 56; - src <= 64; - when "01001001" => - dest <= 89; - src <= 71; - when "01001010" => - dest <= 89; - src <= 89; - when "01001011" => - dest <= 89; - src <= 31; - when "01001100" => - dest <= 63; - src <= 89; - when "01001101" => - dest <= 30; - src <= 89; - when "01001110" => - dest <= 89; - src <= 47; - when "01001111" => - dest <= 6; - src <= 94; - when "01010000" => - dest <= 89; - src <= 12; - when "01010001" => - dest <= 17; - src <= 89; - when "01010010" => - dest <= 12; - src <= 89; - when "01010011" => - dest <= 52; - src <= 89; - when "01010100" => - dest <= 89; - src <= 5; - when "01010101" => - dest <= 89; - src <= 38; - when "01010110" => - dest <= 41; - src <= 62; - when "01010111" => - dest <= 89; - src <= 89; - when "01011000" => - dest <= 28; - src <= 51; - when "01011001" => - dest <= 80; - src <= 89; - when "01011010" => - dest <= 38; - src <= 56; - when "01011011" => - dest <= 89; - src <= 16; - when "01011100" => - dest <= 3; - src <= 88; - when "01011101" => - dest <= 18; - src <= 89; - when "01011110" => - dest <= 89; - src <= 27; - when "01011111" => - dest <= 47; - src <= 40; - when "01100000" => - dest <= 94; - src <= 89; - when "01100001" => - dest <= 89; - src <= 89; - when "01100010" => - dest <= 89; - src <= 30; - when "01100011" => - dest <= 7; - src <= 50; - when "01100100" => - dest <= 73; - src <= 65; - when "01100101" => - dest <= 66; - src <= 89; - when "01100110" => - dest <= 89; - src <= 89; - when "01100111" => - dest <= 62; - src <= 21; - when "01101000" => - dest <= 8; - src <= 74; - when "01101001" => - dest <= 51; - src <= 61; - when "01101010" => - dest <= 89; - src <= 89; - when "01101011" => - dest <= 96; - src <= 95; - when "01101100" => - dest <= 40; - src <= 2; - when "01101101" => - dest <= 89; - src <= 60; - when "01101110" => - dest <= 11; - src <= 6; - when "01101111" => - dest <= 89; - src <= 89; - when "01110000" => - dest <= 48; - src <= 17; - when "01110001" => - dest <= 2; - src <= 72; - when "01110010" => - dest <= 89; - src <= 89; - when "01110011" => - dest <= 89; - src <= 28; - when "01110100" => - dest <= 57; - src <= 89; - when "01110101" => - dest <= 93; - src <= 57; - when "01110110" => - dest <= 89; - src <= 89; - when "01110111" => - dest <= 39; - src <= 20; - when "01111000" => - dest <= 89; - src <= 66; - when "01111001" => - dest <= 84; - src <= 89; - when "01111010" => - dest <= 89; - src <= 89; - when "01111011" => - dest <= 89; - src <= 11; - when "01111100" => - dest <= 76; - src <= 75; - when "01111101" => - dest <= 72; - src <= 89; - when "01111110" => - dest <= 89; - src <= 39; - when "01111111" => - dest <= 61; - src <= 89; - when "10000000" => - dest <= 89; - src <= 84; - when "10000001" => - dest <= 50; - src <= 89; - when "10000010" => - dest <= 89; - src <= 92; - when "10000011" => - dest <= 10; - src <= 96; - when "10000100" => - dest <= 58; - src <= 89; - when "10000101" => - dest <= 1; - src <= 7; - when "10000110" => - dest <= 89; - src <= 89; - when "10000111" => - dest <= 67; - src <= 18; - when "10001000" => - dest <= 92; - src <= 89; - when "10001001" => - dest <= 49; - src <= 58; - when "10001010" => - dest <= 89; - src <= 10; - when "10001011" => - dest <= 83; - src <= 67; - when "10001100" => - dest <= 89; - src <= 89; - when "10001101" => - dest <= 89; - src <= 1; - when "10001110" => - dest <= 71; - src <= 76; - when "10001111" => - dest <= 60; - src <= 29; - when "10010000" => - dest <= 89; - src <= 89; - when "10010001" => - dest <= 68; - src <= 85; - when "10010010" => - dest <= 0; - src <= 89; - when "10010011" => - dest <= 59; - src <= 97; - when "10010100" => - dest <= 82; - src <= 8; - when "10010101" => - dest <= 89; - src <= 89; - when "10010110" => - dest <= 70; - src <= 0; - when "10010111" => - dest <= 69; - src <= 68; - when "10011000" => - dest <= 81; - src <= 19; - when "10011001" => - dest <= 89; - src <= 86; - when "10011010" => - dest <= 89; - src <= 98; - when "10011011" => - dest <= 34; - src <= 9; - when "10011100" => - dest <= 23; - src <= 87; - when others => - dest <= 89; - src <= 89; - - end case; - end process; - - end generate NI_NUM89; - - NI_NUM90 : if NI_NUM = 90 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 6; - src <= 90; - when "00000001" => - dest <= 10; - src <= 90; - when "00000010" => - dest <= 90; - src <= 90; - when "00000011" => - dest <= 80; - src <= 90; - when "00000100" => - dest <= 55; - src <= 90; - when "00000101" => - dest <= 46; - src <= 70; - when "00000110" => - dest <= 90; - src <= 0; - when "00000111" => - dest <= 36; - src <= 84; - when "00001000" => - dest <= 88; - src <= 90; - when "00001001" => - dest <= 90; - src <= 56; - when "00001010" => - dest <= 90; - src <= 45; - when "00001011" => - dest <= 0; - src <= 90; - when "00001100" => - dest <= 26; - src <= 90; - when "00001101" => - dest <= 35; - src <= 2; - when "00001110" => - dest <= 44; - src <= 80; - when "00001111" => - dest <= 20; - src <= 35; - when "00010000" => - dest <= 90; - src <= 44; - when "00010001" => - dest <= 90; - src <= 54; - when "00010010" => - dest <= 90; - src <= 90; - when "00010011" => - dest <= 86; - src <= 90; - when "00010100" => - dest <= 65; - src <= 60; - when "00010101" => - dest <= 90; - src <= 64; - when "00010110" => - dest <= 30; - src <= 90; - when "00010111" => - dest <= 89; - src <= 90; - when "00011000" => - dest <= 54; - src <= 55; - when "00011001" => - dest <= 47; - src <= 46; - when "00011010" => - dest <= 90; - src <= 4; - when "00011011" => - dest <= 37; - src <= 1; - when "00011100" => - dest <= 97; - src <= 50; - when "00011101" => - dest <= 90; - src <= 90; - when "00011110" => - dest <= 90; - src <= 25; - when "00011111" => - dest <= 27; - src <= 90; - when "00100000" => - dest <= 2; - src <= 90; - when "00100001" => - dest <= 56; - src <= 93; - when "00100010" => - dest <= 43; - src <= 36; - when "00100011" => - dest <= 25; - src <= 43; - when "00100100" => - dest <= 90; - src <= 53; - when "00100101" => - dest <= 1; - src <= 88; - when "00100110" => - dest <= 90; - src <= 90; - when "00100111" => - dest <= 16; - src <= 63; - when "00101000" => - dest <= 90; - src <= 90; - when "00101001" => - dest <= 90; - src <= 89; - when "00101010" => - dest <= 75; - src <= 90; - when "00101011" => - dest <= 31; - src <= 34; - when "00101100" => - dest <= 76; - src <= 47; - when "00101101" => - dest <= 99; - src <= 65; - when "00101110" => - dest <= 64; - src <= 90; - when "00101111" => - dest <= 90; - src <= 74; - when "00110000" => - dest <= 90; - src <= 91; - when "00110001" => - dest <= 53; - src <= 90; - when "00110010" => - dest <= 57; - src <= 59; - when "00110011" => - dest <= 90; - src <= 15; - when "00110100" => - dest <= 38; - src <= 14; - when "00110101" => - dest <= 98; - src <= 90; - when "00110110" => - dest <= 90; - src <= 90; - when "00110111" => - dest <= 90; - src <= 26; - when "00111000" => - dest <= 33; - src <= 90; - when "00111001" => - dest <= 66; - src <= 92; - when "00111010" => - dest <= 9; - src <= 37; - when "00111011" => - dest <= 42; - src <= 33; - when "00111100" => - dest <= 90; - src <= 52; - when "00111101" => - dest <= 24; - src <= 90; - when "00111110" => - dest <= 90; - src <= 81; - when "00111111" => - dest <= 15; - src <= 90; - when "01000000" => - dest <= 90; - src <= 90; - when "01000001" => - dest <= 96; - src <= 57; - when "01000010" => - dest <= 48; - src <= 24; - when "01000011" => - dest <= 90; - src <= 90; - when "01000100" => - dest <= 8; - src <= 48; - when "01000101" => - dest <= 90; - src <= 90; - when "01000110" => - dest <= 32; - src <= 66; - when "01000111" => - dest <= 85; - src <= 94; - when "01001000" => - dest <= 67; - src <= 75; - when "01001001" => - dest <= 90; - src <= 82; - when "01001010" => - dest <= 90; - src <= 90; - when "01001011" => - dest <= 90; - src <= 42; - when "01001100" => - dest <= 74; - src <= 90; - when "01001101" => - dest <= 41; - src <= 90; - when "01001110" => - dest <= 90; - src <= 58; - when "01001111" => - dest <= 17; - src <= 5; - when "01010000" => - dest <= 90; - src <= 23; - when "01010001" => - dest <= 28; - src <= 90; - when "01010010" => - dest <= 23; - src <= 90; - when "01010011" => - dest <= 63; - src <= 90; - when "01010100" => - dest <= 90; - src <= 16; - when "01010101" => - dest <= 90; - src <= 49; - when "01010110" => - dest <= 52; - src <= 73; - when "01010111" => - dest <= 90; - src <= 90; - when "01011000" => - dest <= 39; - src <= 62; - when "01011001" => - dest <= 91; - src <= 90; - when "01011010" => - dest <= 49; - src <= 67; - when "01011011" => - dest <= 90; - src <= 27; - when "01011100" => - dest <= 14; - src <= 99; - when "01011101" => - dest <= 29; - src <= 90; - when "01011110" => - dest <= 90; - src <= 38; - when "01011111" => - dest <= 58; - src <= 51; - when "01100000" => - dest <= 5; - src <= 90; - when "01100001" => - dest <= 90; - src <= 90; - when "01100010" => - dest <= 90; - src <= 41; - when "01100011" => - dest <= 18; - src <= 61; - when "01100100" => - dest <= 84; - src <= 76; - when "01100101" => - dest <= 77; - src <= 90; - when "01100110" => - dest <= 90; - src <= 90; - when "01100111" => - dest <= 73; - src <= 32; - when "01101000" => - dest <= 19; - src <= 85; - when "01101001" => - dest <= 62; - src <= 72; - when "01101010" => - dest <= 90; - src <= 90; - when "01101011" => - dest <= 7; - src <= 6; - when "01101100" => - dest <= 51; - src <= 13; - when "01101101" => - dest <= 90; - src <= 71; - when "01101110" => - dest <= 22; - src <= 17; - when "01101111" => - dest <= 90; - src <= 90; - when "01110000" => - dest <= 59; - src <= 28; - when "01110001" => - dest <= 13; - src <= 83; - when "01110010" => - dest <= 90; - src <= 90; - when "01110011" => - dest <= 90; - src <= 39; - when "01110100" => - dest <= 68; - src <= 90; - when "01110101" => - dest <= 4; - src <= 68; - when "01110110" => - dest <= 90; - src <= 90; - when "01110111" => - dest <= 40; - src <= 31; - when "01111000" => - dest <= 90; - src <= 77; - when "01111001" => - dest <= 95; - src <= 90; - when "01111010" => - dest <= 90; - src <= 90; - when "01111011" => - dest <= 90; - src <= 22; - when "01111100" => - dest <= 87; - src <= 86; - when "01111101" => - dest <= 83; - src <= 90; - when "01111110" => - dest <= 90; - src <= 40; - when "01111111" => - dest <= 72; - src <= 90; - when "10000000" => - dest <= 90; - src <= 95; - when "10000001" => - dest <= 61; - src <= 90; - when "10000010" => - dest <= 90; - src <= 3; - when "10000011" => - dest <= 21; - src <= 7; - when "10000100" => - dest <= 69; - src <= 90; - when "10000101" => - dest <= 12; - src <= 18; - when "10000110" => - dest <= 90; - src <= 90; - when "10000111" => - dest <= 78; - src <= 29; - when "10001000" => - dest <= 3; - src <= 90; - when "10001001" => - dest <= 50; - src <= 69; - when "10001010" => - dest <= 90; - src <= 21; - when "10001011" => - dest <= 94; - src <= 78; - when "10001100" => - dest <= 90; - src <= 90; - when "10001101" => - dest <= 90; - src <= 12; - when "10001110" => - dest <= 82; - src <= 87; - when "10001111" => - dest <= 71; - src <= 30; - when "10010000" => - dest <= 90; - src <= 90; - when "10010001" => - dest <= 79; - src <= 96; - when "10010010" => - dest <= 11; - src <= 90; - when "10010011" => - dest <= 60; - src <= 8; - when "10010100" => - dest <= 93; - src <= 19; - when "10010101" => - dest <= 90; - src <= 90; - when "10010110" => - dest <= 81; - src <= 11; - when "10010111" => - dest <= 70; - src <= 79; - when "10011000" => - dest <= 92; - src <= 20; - when "10011001" => - dest <= 90; - src <= 97; - when "10011010" => - dest <= 90; - src <= 9; - when "10011011" => - dest <= 45; - src <= 10; - when "10011100" => - dest <= 34; - src <= 98; - when others => - dest <= 90; - src <= 90; - - end case; - end process; - - end generate NI_NUM90; - - NI_NUM91 : if NI_NUM = 91 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 7; - src <= 91; - when "00000001" => - dest <= 11; - src <= 91; - when "00000010" => - dest <= 91; - src <= 91; - when "00000011" => - dest <= 81; - src <= 91; - when "00000100" => - dest <= 56; - src <= 91; - when "00000101" => - dest <= 47; - src <= 71; - when "00000110" => - dest <= 91; - src <= 1; - when "00000111" => - dest <= 37; - src <= 85; - when "00001000" => - dest <= 89; - src <= 91; - when "00001001" => - dest <= 91; - src <= 57; - when "00001010" => - dest <= 91; - src <= 46; - when "00001011" => - dest <= 1; - src <= 91; - when "00001100" => - dest <= 27; - src <= 91; - when "00001101" => - dest <= 36; - src <= 3; - when "00001110" => - dest <= 45; - src <= 81; - when "00001111" => - dest <= 21; - src <= 36; - when "00010000" => - dest <= 91; - src <= 45; - when "00010001" => - dest <= 91; - src <= 55; - when "00010010" => - dest <= 91; - src <= 91; - when "00010011" => - dest <= 87; - src <= 91; - when "00010100" => - dest <= 66; - src <= 61; - when "00010101" => - dest <= 91; - src <= 65; - when "00010110" => - dest <= 31; - src <= 91; - when "00010111" => - dest <= 80; - src <= 91; - when "00011000" => - dest <= 55; - src <= 56; - when "00011001" => - dest <= 48; - src <= 47; - when "00011010" => - dest <= 91; - src <= 5; - when "00011011" => - dest <= 38; - src <= 2; - when "00011100" => - dest <= 98; - src <= 51; - when "00011101" => - dest <= 91; - src <= 91; - when "00011110" => - dest <= 91; - src <= 26; - when "00011111" => - dest <= 28; - src <= 91; - when "00100000" => - dest <= 3; - src <= 91; - when "00100001" => - dest <= 57; - src <= 94; - when "00100010" => - dest <= 44; - src <= 37; - when "00100011" => - dest <= 26; - src <= 44; - when "00100100" => - dest <= 91; - src <= 54; - when "00100101" => - dest <= 2; - src <= 89; - when "00100110" => - dest <= 91; - src <= 91; - when "00100111" => - dest <= 17; - src <= 64; - when "00101000" => - dest <= 91; - src <= 91; - when "00101001" => - dest <= 91; - src <= 80; - when "00101010" => - dest <= 76; - src <= 91; - when "00101011" => - dest <= 32; - src <= 35; - when "00101100" => - dest <= 77; - src <= 48; - when "00101101" => - dest <= 90; - src <= 66; - when "00101110" => - dest <= 65; - src <= 91; - when "00101111" => - dest <= 91; - src <= 75; - when "00110000" => - dest <= 91; - src <= 92; - when "00110001" => - dest <= 54; - src <= 91; - when "00110010" => - dest <= 58; - src <= 50; - when "00110011" => - dest <= 91; - src <= 16; - when "00110100" => - dest <= 39; - src <= 15; - when "00110101" => - dest <= 99; - src <= 91; - when "00110110" => - dest <= 91; - src <= 91; - when "00110111" => - dest <= 91; - src <= 27; - when "00111000" => - dest <= 34; - src <= 91; - when "00111001" => - dest <= 67; - src <= 93; - when "00111010" => - dest <= 0; - src <= 38; - when "00111011" => - dest <= 43; - src <= 34; - when "00111100" => - dest <= 91; - src <= 53; - when "00111101" => - dest <= 25; - src <= 91; - when "00111110" => - dest <= 91; - src <= 82; - when "00111111" => - dest <= 16; - src <= 91; - when "01000000" => - dest <= 91; - src <= 91; - when "01000001" => - dest <= 97; - src <= 58; - when "01000010" => - dest <= 49; - src <= 25; - when "01000011" => - dest <= 91; - src <= 91; - when "01000100" => - dest <= 9; - src <= 49; - when "01000101" => - dest <= 91; - src <= 91; - when "01000110" => - dest <= 33; - src <= 67; - when "01000111" => - dest <= 86; - src <= 95; - when "01001000" => - dest <= 68; - src <= 76; - when "01001001" => - dest <= 91; - src <= 83; - when "01001010" => - dest <= 91; - src <= 91; - when "01001011" => - dest <= 91; - src <= 43; - when "01001100" => - dest <= 75; - src <= 91; - when "01001101" => - dest <= 42; - src <= 91; - when "01001110" => - dest <= 91; - src <= 59; - when "01001111" => - dest <= 18; - src <= 6; - when "01010000" => - dest <= 91; - src <= 24; - when "01010001" => - dest <= 29; - src <= 91; - when "01010010" => - dest <= 24; - src <= 91; - when "01010011" => - dest <= 64; - src <= 91; - when "01010100" => - dest <= 91; - src <= 17; - when "01010101" => - dest <= 91; - src <= 40; - when "01010110" => - dest <= 53; - src <= 74; - when "01010111" => - dest <= 91; - src <= 91; - when "01011000" => - dest <= 30; - src <= 63; - when "01011001" => - dest <= 92; - src <= 91; - when "01011010" => - dest <= 40; - src <= 68; - when "01011011" => - dest <= 91; - src <= 28; - when "01011100" => - dest <= 15; - src <= 90; - when "01011101" => - dest <= 20; - src <= 91; - when "01011110" => - dest <= 91; - src <= 39; - when "01011111" => - dest <= 59; - src <= 52; - when "01100000" => - dest <= 6; - src <= 91; - when "01100001" => - dest <= 91; - src <= 91; - when "01100010" => - dest <= 91; - src <= 42; - when "01100011" => - dest <= 19; - src <= 62; - when "01100100" => - dest <= 85; - src <= 77; - when "01100101" => - dest <= 78; - src <= 91; - when "01100110" => - dest <= 91; - src <= 91; - when "01100111" => - dest <= 74; - src <= 33; - when "01101000" => - dest <= 10; - src <= 86; - when "01101001" => - dest <= 63; - src <= 73; - when "01101010" => - dest <= 91; - src <= 91; - when "01101011" => - dest <= 8; - src <= 7; - when "01101100" => - dest <= 52; - src <= 14; - when "01101101" => - dest <= 91; - src <= 72; - when "01101110" => - dest <= 23; - src <= 18; - when "01101111" => - dest <= 91; - src <= 91; - when "01110000" => - dest <= 50; - src <= 29; - when "01110001" => - dest <= 14; - src <= 84; - when "01110010" => - dest <= 91; - src <= 91; - when "01110011" => - dest <= 91; - src <= 30; - when "01110100" => - dest <= 69; - src <= 91; - when "01110101" => - dest <= 5; - src <= 69; - when "01110110" => - dest <= 91; - src <= 91; - when "01110111" => - dest <= 41; - src <= 32; - when "01111000" => - dest <= 91; - src <= 78; - when "01111001" => - dest <= 96; - src <= 91; - when "01111010" => - dest <= 91; - src <= 91; - when "01111011" => - dest <= 91; - src <= 23; - when "01111100" => - dest <= 88; - src <= 87; - when "01111101" => - dest <= 84; - src <= 91; - when "01111110" => - dest <= 91; - src <= 41; - when "01111111" => - dest <= 73; - src <= 91; - when "10000000" => - dest <= 91; - src <= 96; - when "10000001" => - dest <= 62; - src <= 91; - when "10000010" => - dest <= 91; - src <= 4; - when "10000011" => - dest <= 22; - src <= 8; - when "10000100" => - dest <= 60; - src <= 91; - when "10000101" => - dest <= 13; - src <= 19; - when "10000110" => - dest <= 91; - src <= 91; - when "10000111" => - dest <= 79; - src <= 20; - when "10001000" => - dest <= 4; - src <= 91; - when "10001001" => - dest <= 51; - src <= 60; - when "10001010" => - dest <= 91; - src <= 22; - when "10001011" => - dest <= 95; - src <= 79; - when "10001100" => - dest <= 91; - src <= 91; - when "10001101" => - dest <= 91; - src <= 13; - when "10001110" => - dest <= 83; - src <= 88; - when "10001111" => - dest <= 72; - src <= 31; - when "10010000" => - dest <= 91; - src <= 91; - when "10010001" => - dest <= 70; - src <= 97; - when "10010010" => - dest <= 12; - src <= 91; - when "10010011" => - dest <= 61; - src <= 9; - when "10010100" => - dest <= 94; - src <= 10; - when "10010101" => - dest <= 91; - src <= 91; - when "10010110" => - dest <= 82; - src <= 12; - when "10010111" => - dest <= 71; - src <= 70; - when "10011000" => - dest <= 93; - src <= 21; - when "10011001" => - dest <= 91; - src <= 98; - when "10011010" => - dest <= 91; - src <= 0; - when "10011011" => - dest <= 46; - src <= 11; - when "10011100" => - dest <= 35; - src <= 99; - when others => - dest <= 91; - src <= 91; - - end case; - end process; - - end generate NI_NUM91; - - NI_NUM92 : if NI_NUM = 92 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 8; - src <= 92; - when "00000001" => - dest <= 12; - src <= 92; - when "00000010" => - dest <= 92; - src <= 92; - when "00000011" => - dest <= 82; - src <= 92; - when "00000100" => - dest <= 57; - src <= 92; - when "00000101" => - dest <= 48; - src <= 72; - when "00000110" => - dest <= 92; - src <= 2; - when "00000111" => - dest <= 38; - src <= 86; - when "00001000" => - dest <= 80; - src <= 92; - when "00001001" => - dest <= 92; - src <= 58; - when "00001010" => - dest <= 92; - src <= 47; - when "00001011" => - dest <= 2; - src <= 92; - when "00001100" => - dest <= 28; - src <= 92; - when "00001101" => - dest <= 37; - src <= 4; - when "00001110" => - dest <= 46; - src <= 82; - when "00001111" => - dest <= 22; - src <= 37; - when "00010000" => - dest <= 92; - src <= 46; - when "00010001" => - dest <= 92; - src <= 56; - when "00010010" => - dest <= 92; - src <= 92; - when "00010011" => - dest <= 88; - src <= 92; - when "00010100" => - dest <= 67; - src <= 62; - when "00010101" => - dest <= 92; - src <= 66; - when "00010110" => - dest <= 32; - src <= 92; - when "00010111" => - dest <= 81; - src <= 92; - when "00011000" => - dest <= 56; - src <= 57; - when "00011001" => - dest <= 49; - src <= 48; - when "00011010" => - dest <= 92; - src <= 6; - when "00011011" => - dest <= 39; - src <= 3; - when "00011100" => - dest <= 99; - src <= 52; - when "00011101" => - dest <= 92; - src <= 92; - when "00011110" => - dest <= 92; - src <= 27; - when "00011111" => - dest <= 29; - src <= 92; - when "00100000" => - dest <= 4; - src <= 92; - when "00100001" => - dest <= 58; - src <= 95; - when "00100010" => - dest <= 45; - src <= 38; - when "00100011" => - dest <= 27; - src <= 45; - when "00100100" => - dest <= 92; - src <= 55; - when "00100101" => - dest <= 3; - src <= 80; - when "00100110" => - dest <= 92; - src <= 92; - when "00100111" => - dest <= 18; - src <= 65; - when "00101000" => - dest <= 92; - src <= 92; - when "00101001" => - dest <= 92; - src <= 81; - when "00101010" => - dest <= 77; - src <= 92; - when "00101011" => - dest <= 33; - src <= 36; - when "00101100" => - dest <= 78; - src <= 49; - when "00101101" => - dest <= 91; - src <= 67; - when "00101110" => - dest <= 66; - src <= 92; - when "00101111" => - dest <= 92; - src <= 76; - when "00110000" => - dest <= 92; - src <= 93; - when "00110001" => - dest <= 55; - src <= 92; - when "00110010" => - dest <= 59; - src <= 51; - when "00110011" => - dest <= 92; - src <= 17; - when "00110100" => - dest <= 30; - src <= 16; - when "00110101" => - dest <= 90; - src <= 92; - when "00110110" => - dest <= 92; - src <= 92; - when "00110111" => - dest <= 92; - src <= 28; - when "00111000" => - dest <= 35; - src <= 92; - when "00111001" => - dest <= 68; - src <= 94; - when "00111010" => - dest <= 1; - src <= 39; - when "00111011" => - dest <= 44; - src <= 35; - when "00111100" => - dest <= 92; - src <= 54; - when "00111101" => - dest <= 26; - src <= 92; - when "00111110" => - dest <= 92; - src <= 83; - when "00111111" => - dest <= 17; - src <= 92; - when "01000000" => - dest <= 92; - src <= 92; - when "01000001" => - dest <= 98; - src <= 59; - when "01000010" => - dest <= 40; - src <= 26; - when "01000011" => - dest <= 92; - src <= 92; - when "01000100" => - dest <= 0; - src <= 40; - when "01000101" => - dest <= 92; - src <= 92; - when "01000110" => - dest <= 34; - src <= 68; - when "01000111" => - dest <= 87; - src <= 96; - when "01001000" => - dest <= 69; - src <= 77; - when "01001001" => - dest <= 92; - src <= 84; - when "01001010" => - dest <= 92; - src <= 92; - when "01001011" => - dest <= 92; - src <= 44; - when "01001100" => - dest <= 76; - src <= 92; - when "01001101" => - dest <= 43; - src <= 92; - when "01001110" => - dest <= 92; - src <= 50; - when "01001111" => - dest <= 19; - src <= 7; - when "01010000" => - dest <= 92; - src <= 25; - when "01010001" => - dest <= 20; - src <= 92; - when "01010010" => - dest <= 25; - src <= 92; - when "01010011" => - dest <= 65; - src <= 92; - when "01010100" => - dest <= 92; - src <= 18; - when "01010101" => - dest <= 92; - src <= 41; - when "01010110" => - dest <= 54; - src <= 75; - when "01010111" => - dest <= 92; - src <= 92; - when "01011000" => - dest <= 31; - src <= 64; - when "01011001" => - dest <= 93; - src <= 92; - when "01011010" => - dest <= 41; - src <= 69; - when "01011011" => - dest <= 92; - src <= 29; - when "01011100" => - dest <= 16; - src <= 91; - when "01011101" => - dest <= 21; - src <= 92; - when "01011110" => - dest <= 92; - src <= 30; - when "01011111" => - dest <= 50; - src <= 53; - when "01100000" => - dest <= 7; - src <= 92; - when "01100001" => - dest <= 92; - src <= 92; - when "01100010" => - dest <= 92; - src <= 43; - when "01100011" => - dest <= 10; - src <= 63; - when "01100100" => - dest <= 86; - src <= 78; - when "01100101" => - dest <= 79; - src <= 92; - when "01100110" => - dest <= 92; - src <= 92; - when "01100111" => - dest <= 75; - src <= 34; - when "01101000" => - dest <= 11; - src <= 87; - when "01101001" => - dest <= 64; - src <= 74; - when "01101010" => - dest <= 92; - src <= 92; - when "01101011" => - dest <= 9; - src <= 8; - when "01101100" => - dest <= 53; - src <= 15; - when "01101101" => - dest <= 92; - src <= 73; - when "01101110" => - dest <= 24; - src <= 19; - when "01101111" => - dest <= 92; - src <= 92; - when "01110000" => - dest <= 51; - src <= 20; - when "01110001" => - dest <= 15; - src <= 85; - when "01110010" => - dest <= 92; - src <= 92; - when "01110011" => - dest <= 92; - src <= 31; - when "01110100" => - dest <= 60; - src <= 92; - when "01110101" => - dest <= 6; - src <= 60; - when "01110110" => - dest <= 92; - src <= 92; - when "01110111" => - dest <= 42; - src <= 33; - when "01111000" => - dest <= 92; - src <= 79; - when "01111001" => - dest <= 97; - src <= 92; - when "01111010" => - dest <= 92; - src <= 92; - when "01111011" => - dest <= 92; - src <= 24; - when "01111100" => - dest <= 89; - src <= 88; - when "01111101" => - dest <= 85; - src <= 92; - when "01111110" => - dest <= 92; - src <= 42; - when "01111111" => - dest <= 74; - src <= 92; - when "10000000" => - dest <= 92; - src <= 97; - when "10000001" => - dest <= 63; - src <= 92; - when "10000010" => - dest <= 92; - src <= 5; - when "10000011" => - dest <= 23; - src <= 9; - when "10000100" => - dest <= 61; - src <= 92; - when "10000101" => - dest <= 14; - src <= 10; - when "10000110" => - dest <= 92; - src <= 92; - when "10000111" => - dest <= 70; - src <= 21; - when "10001000" => - dest <= 5; - src <= 92; - when "10001001" => - dest <= 52; - src <= 61; - when "10001010" => - dest <= 92; - src <= 23; - when "10001011" => - dest <= 96; - src <= 70; - when "10001100" => - dest <= 92; - src <= 92; - when "10001101" => - dest <= 92; - src <= 14; - when "10001110" => - dest <= 84; - src <= 89; - when "10001111" => - dest <= 73; - src <= 32; - when "10010000" => - dest <= 92; - src <= 92; - when "10010001" => - dest <= 71; - src <= 98; - when "10010010" => - dest <= 13; - src <= 92; - when "10010011" => - dest <= 62; - src <= 0; - when "10010100" => - dest <= 95; - src <= 11; - when "10010101" => - dest <= 92; - src <= 92; - when "10010110" => - dest <= 83; - src <= 13; - when "10010111" => - dest <= 72; - src <= 71; - when "10011000" => - dest <= 94; - src <= 22; - when "10011001" => - dest <= 92; - src <= 99; - when "10011010" => - dest <= 92; - src <= 1; - when "10011011" => - dest <= 47; - src <= 12; - when "10011100" => - dest <= 36; - src <= 90; - when others => - dest <= 92; - src <= 92; - - end case; - end process; - - end generate NI_NUM92; - - NI_NUM93 : if NI_NUM = 93 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 9; - src <= 93; - when "00000001" => - dest <= 13; - src <= 93; - when "00000010" => - dest <= 93; - src <= 93; - when "00000011" => - dest <= 83; - src <= 93; - when "00000100" => - dest <= 58; - src <= 93; - when "00000101" => - dest <= 49; - src <= 73; - when "00000110" => - dest <= 93; - src <= 3; - when "00000111" => - dest <= 39; - src <= 87; - when "00001000" => - dest <= 81; - src <= 93; - when "00001001" => - dest <= 93; - src <= 59; - when "00001010" => - dest <= 93; - src <= 48; - when "00001011" => - dest <= 3; - src <= 93; - when "00001100" => - dest <= 29; - src <= 93; - when "00001101" => - dest <= 38; - src <= 5; - when "00001110" => - dest <= 47; - src <= 83; - when "00001111" => - dest <= 23; - src <= 38; - when "00010000" => - dest <= 93; - src <= 47; - when "00010001" => - dest <= 93; - src <= 57; - when "00010010" => - dest <= 93; - src <= 93; - when "00010011" => - dest <= 89; - src <= 93; - when "00010100" => - dest <= 68; - src <= 63; - when "00010101" => - dest <= 93; - src <= 67; - when "00010110" => - dest <= 33; - src <= 93; - when "00010111" => - dest <= 82; - src <= 93; - when "00011000" => - dest <= 57; - src <= 58; - when "00011001" => - dest <= 40; - src <= 49; - when "00011010" => - dest <= 93; - src <= 7; - when "00011011" => - dest <= 30; - src <= 4; - when "00011100" => - dest <= 90; - src <= 53; - when "00011101" => - dest <= 93; - src <= 93; - when "00011110" => - dest <= 93; - src <= 28; - when "00011111" => - dest <= 20; - src <= 93; - when "00100000" => - dest <= 5; - src <= 93; - when "00100001" => - dest <= 59; - src <= 96; - when "00100010" => - dest <= 46; - src <= 39; - when "00100011" => - dest <= 28; - src <= 46; - when "00100100" => - dest <= 93; - src <= 56; - when "00100101" => - dest <= 4; - src <= 81; - when "00100110" => - dest <= 93; - src <= 93; - when "00100111" => - dest <= 19; - src <= 66; - when "00101000" => - dest <= 93; - src <= 93; - when "00101001" => - dest <= 93; - src <= 82; - when "00101010" => - dest <= 78; - src <= 93; - when "00101011" => - dest <= 34; - src <= 37; - when "00101100" => - dest <= 79; - src <= 40; - when "00101101" => - dest <= 92; - src <= 68; - when "00101110" => - dest <= 67; - src <= 93; - when "00101111" => - dest <= 93; - src <= 77; - when "00110000" => - dest <= 93; - src <= 94; - when "00110001" => - dest <= 56; - src <= 93; - when "00110010" => - dest <= 50; - src <= 52; - when "00110011" => - dest <= 93; - src <= 18; - when "00110100" => - dest <= 31; - src <= 17; - when "00110101" => - dest <= 91; - src <= 93; - when "00110110" => - dest <= 93; - src <= 93; - when "00110111" => - dest <= 93; - src <= 29; - when "00111000" => - dest <= 36; - src <= 93; - when "00111001" => - dest <= 69; - src <= 95; - when "00111010" => - dest <= 2; - src <= 30; - when "00111011" => - dest <= 45; - src <= 36; - when "00111100" => - dest <= 93; - src <= 55; - when "00111101" => - dest <= 27; - src <= 93; - when "00111110" => - dest <= 93; - src <= 84; - when "00111111" => - dest <= 18; - src <= 93; - when "01000000" => - dest <= 93; - src <= 93; - when "01000001" => - dest <= 99; - src <= 50; - when "01000010" => - dest <= 41; - src <= 27; - when "01000011" => - dest <= 93; - src <= 93; - when "01000100" => - dest <= 1; - src <= 41; - when "01000101" => - dest <= 93; - src <= 93; - when "01000110" => - dest <= 35; - src <= 69; - when "01000111" => - dest <= 88; - src <= 97; - when "01001000" => - dest <= 60; - src <= 78; - when "01001001" => - dest <= 93; - src <= 85; - when "01001010" => - dest <= 93; - src <= 93; - when "01001011" => - dest <= 93; - src <= 45; - when "01001100" => - dest <= 77; - src <= 93; - when "01001101" => - dest <= 44; - src <= 93; - when "01001110" => - dest <= 93; - src <= 51; - when "01001111" => - dest <= 10; - src <= 8; - when "01010000" => - dest <= 93; - src <= 26; - when "01010001" => - dest <= 21; - src <= 93; - when "01010010" => - dest <= 26; - src <= 93; - when "01010011" => - dest <= 66; - src <= 93; - when "01010100" => - dest <= 93; - src <= 19; - when "01010101" => - dest <= 93; - src <= 42; - when "01010110" => - dest <= 55; - src <= 76; - when "01010111" => - dest <= 93; - src <= 93; - when "01011000" => - dest <= 32; - src <= 65; - when "01011001" => - dest <= 94; - src <= 93; - when "01011010" => - dest <= 42; - src <= 60; - when "01011011" => - dest <= 93; - src <= 20; - when "01011100" => - dest <= 17; - src <= 92; - when "01011101" => - dest <= 22; - src <= 93; - when "01011110" => - dest <= 93; - src <= 31; - when "01011111" => - dest <= 51; - src <= 54; - when "01100000" => - dest <= 8; - src <= 93; - when "01100001" => - dest <= 93; - src <= 93; - when "01100010" => - dest <= 93; - src <= 44; - when "01100011" => - dest <= 11; - src <= 64; - when "01100100" => - dest <= 87; - src <= 79; - when "01100101" => - dest <= 70; - src <= 93; - when "01100110" => - dest <= 93; - src <= 93; - when "01100111" => - dest <= 76; - src <= 35; - when "01101000" => - dest <= 12; - src <= 88; - when "01101001" => - dest <= 65; - src <= 75; - when "01101010" => - dest <= 93; - src <= 93; - when "01101011" => - dest <= 0; - src <= 9; - when "01101100" => - dest <= 54; - src <= 16; - when "01101101" => - dest <= 93; - src <= 74; - when "01101110" => - dest <= 25; - src <= 10; - when "01101111" => - dest <= 93; - src <= 93; - when "01110000" => - dest <= 52; - src <= 21; - when "01110001" => - dest <= 16; - src <= 86; - when "01110010" => - dest <= 93; - src <= 93; - when "01110011" => - dest <= 93; - src <= 32; - when "01110100" => - dest <= 61; - src <= 93; - when "01110101" => - dest <= 7; - src <= 61; - when "01110110" => - dest <= 93; - src <= 93; - when "01110111" => - dest <= 43; - src <= 34; - when "01111000" => - dest <= 93; - src <= 70; - when "01111001" => - dest <= 98; - src <= 93; - when "01111010" => - dest <= 93; - src <= 93; - when "01111011" => - dest <= 93; - src <= 25; - when "01111100" => - dest <= 80; - src <= 89; - when "01111101" => - dest <= 86; - src <= 93; - when "01111110" => - dest <= 93; - src <= 43; - when "01111111" => - dest <= 75; - src <= 93; - when "10000000" => - dest <= 93; - src <= 98; - when "10000001" => - dest <= 64; - src <= 93; - when "10000010" => - dest <= 93; - src <= 6; - when "10000011" => - dest <= 24; - src <= 0; - when "10000100" => - dest <= 62; - src <= 93; - when "10000101" => - dest <= 15; - src <= 11; - when "10000110" => - dest <= 93; - src <= 93; - when "10000111" => - dest <= 71; - src <= 22; - when "10001000" => - dest <= 6; - src <= 93; - when "10001001" => - dest <= 53; - src <= 62; - when "10001010" => - dest <= 93; - src <= 24; - when "10001011" => - dest <= 97; - src <= 71; - when "10001100" => - dest <= 93; - src <= 93; - when "10001101" => - dest <= 93; - src <= 15; - when "10001110" => - dest <= 85; - src <= 80; - when "10001111" => - dest <= 74; - src <= 33; - when "10010000" => - dest <= 93; - src <= 93; - when "10010001" => - dest <= 72; - src <= 99; - when "10010010" => - dest <= 14; - src <= 93; - when "10010011" => - dest <= 63; - src <= 1; - when "10010100" => - dest <= 96; - src <= 12; - when "10010101" => - dest <= 93; - src <= 93; - when "10010110" => - dest <= 84; - src <= 14; - when "10010111" => - dest <= 73; - src <= 72; - when "10011000" => - dest <= 95; - src <= 23; - when "10011001" => - dest <= 93; - src <= 90; - when "10011010" => - dest <= 93; - src <= 2; - when "10011011" => - dest <= 48; - src <= 13; - when "10011100" => - dest <= 37; - src <= 91; - when others => - dest <= 93; - src <= 93; - - end case; - end process; - - end generate NI_NUM93; - - NI_NUM94 : if NI_NUM = 94 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 0; - src <= 94; - when "00000001" => - dest <= 14; - src <= 94; - when "00000010" => - dest <= 94; - src <= 94; - when "00000011" => - dest <= 84; - src <= 94; - when "00000100" => - dest <= 59; - src <= 94; - when "00000101" => - dest <= 40; - src <= 74; - when "00000110" => - dest <= 94; - src <= 4; - when "00000111" => - dest <= 30; - src <= 88; - when "00001000" => - dest <= 82; - src <= 94; - when "00001001" => - dest <= 94; - src <= 50; - when "00001010" => - dest <= 94; - src <= 49; - when "00001011" => - dest <= 4; - src <= 94; - when "00001100" => - dest <= 20; - src <= 94; - when "00001101" => - dest <= 39; - src <= 6; - when "00001110" => - dest <= 48; - src <= 84; - when "00001111" => - dest <= 24; - src <= 39; - when "00010000" => - dest <= 94; - src <= 48; - when "00010001" => - dest <= 94; - src <= 58; - when "00010010" => - dest <= 94; - src <= 94; - when "00010011" => - dest <= 80; - src <= 94; - when "00010100" => - dest <= 69; - src <= 64; - when "00010101" => - dest <= 94; - src <= 68; - when "00010110" => - dest <= 34; - src <= 94; - when "00010111" => - dest <= 83; - src <= 94; - when "00011000" => - dest <= 58; - src <= 59; - when "00011001" => - dest <= 41; - src <= 40; - when "00011010" => - dest <= 94; - src <= 8; - when "00011011" => - dest <= 31; - src <= 5; - when "00011100" => - dest <= 91; - src <= 54; - when "00011101" => - dest <= 94; - src <= 94; - when "00011110" => - dest <= 94; - src <= 29; - when "00011111" => - dest <= 21; - src <= 94; - when "00100000" => - dest <= 6; - src <= 94; - when "00100001" => - dest <= 50; - src <= 97; - when "00100010" => - dest <= 47; - src <= 30; - when "00100011" => - dest <= 29; - src <= 47; - when "00100100" => - dest <= 94; - src <= 57; - when "00100101" => - dest <= 5; - src <= 82; - when "00100110" => - dest <= 94; - src <= 94; - when "00100111" => - dest <= 10; - src <= 67; - when "00101000" => - dest <= 94; - src <= 94; - when "00101001" => - dest <= 94; - src <= 83; - when "00101010" => - dest <= 79; - src <= 94; - when "00101011" => - dest <= 35; - src <= 38; - when "00101100" => - dest <= 70; - src <= 41; - when "00101101" => - dest <= 93; - src <= 69; - when "00101110" => - dest <= 68; - src <= 94; - when "00101111" => - dest <= 94; - src <= 78; - when "00110000" => - dest <= 94; - src <= 95; - when "00110001" => - dest <= 57; - src <= 94; - when "00110010" => - dest <= 51; - src <= 53; - when "00110011" => - dest <= 94; - src <= 19; - when "00110100" => - dest <= 32; - src <= 18; - when "00110101" => - dest <= 92; - src <= 94; - when "00110110" => - dest <= 94; - src <= 94; - when "00110111" => - dest <= 94; - src <= 20; - when "00111000" => - dest <= 37; - src <= 94; - when "00111001" => - dest <= 60; - src <= 96; - when "00111010" => - dest <= 3; - src <= 31; - when "00111011" => - dest <= 46; - src <= 37; - when "00111100" => - dest <= 94; - src <= 56; - when "00111101" => - dest <= 28; - src <= 94; - when "00111110" => - dest <= 94; - src <= 85; - when "00111111" => - dest <= 19; - src <= 94; - when "01000000" => - dest <= 94; - src <= 94; - when "01000001" => - dest <= 90; - src <= 51; - when "01000010" => - dest <= 42; - src <= 28; - when "01000011" => - dest <= 94; - src <= 94; - when "01000100" => - dest <= 2; - src <= 42; - when "01000101" => - dest <= 94; - src <= 94; - when "01000110" => - dest <= 36; - src <= 60; - when "01000111" => - dest <= 89; - src <= 98; - when "01001000" => - dest <= 61; - src <= 79; - when "01001001" => - dest <= 94; - src <= 86; - when "01001010" => - dest <= 94; - src <= 94; - when "01001011" => - dest <= 94; - src <= 46; - when "01001100" => - dest <= 78; - src <= 94; - when "01001101" => - dest <= 45; - src <= 94; - when "01001110" => - dest <= 94; - src <= 52; - when "01001111" => - dest <= 11; - src <= 9; - when "01010000" => - dest <= 94; - src <= 27; - when "01010001" => - dest <= 22; - src <= 94; - when "01010010" => - dest <= 27; - src <= 94; - when "01010011" => - dest <= 67; - src <= 94; - when "01010100" => - dest <= 94; - src <= 10; - when "01010101" => - dest <= 94; - src <= 43; - when "01010110" => - dest <= 56; - src <= 77; - when "01010111" => - dest <= 94; - src <= 94; - when "01011000" => - dest <= 33; - src <= 66; - when "01011001" => - dest <= 95; - src <= 94; - when "01011010" => - dest <= 43; - src <= 61; - when "01011011" => - dest <= 94; - src <= 21; - when "01011100" => - dest <= 18; - src <= 93; - when "01011101" => - dest <= 23; - src <= 94; - when "01011110" => - dest <= 94; - src <= 32; - when "01011111" => - dest <= 52; - src <= 55; - when "01100000" => - dest <= 9; - src <= 94; - when "01100001" => - dest <= 94; - src <= 94; - when "01100010" => - dest <= 94; - src <= 45; - when "01100011" => - dest <= 12; - src <= 65; - when "01100100" => - dest <= 88; - src <= 70; - when "01100101" => - dest <= 71; - src <= 94; - when "01100110" => - dest <= 94; - src <= 94; - when "01100111" => - dest <= 77; - src <= 36; - when "01101000" => - dest <= 13; - src <= 89; - when "01101001" => - dest <= 66; - src <= 76; - when "01101010" => - dest <= 94; - src <= 94; - when "01101011" => - dest <= 1; - src <= 0; - when "01101100" => - dest <= 55; - src <= 17; - when "01101101" => - dest <= 94; - src <= 75; - when "01101110" => - dest <= 26; - src <= 11; - when "01101111" => - dest <= 94; - src <= 94; - when "01110000" => - dest <= 53; - src <= 22; - when "01110001" => - dest <= 17; - src <= 87; - when "01110010" => - dest <= 94; - src <= 94; - when "01110011" => - dest <= 94; - src <= 33; - when "01110100" => - dest <= 62; - src <= 94; - when "01110101" => - dest <= 8; - src <= 62; - when "01110110" => - dest <= 94; - src <= 94; - when "01110111" => - dest <= 44; - src <= 35; - when "01111000" => - dest <= 94; - src <= 71; - when "01111001" => - dest <= 99; - src <= 94; - when "01111010" => - dest <= 94; - src <= 94; - when "01111011" => - dest <= 94; - src <= 26; - when "01111100" => - dest <= 81; - src <= 80; - when "01111101" => - dest <= 87; - src <= 94; - when "01111110" => - dest <= 94; - src <= 44; - when "01111111" => - dest <= 76; - src <= 94; - when "10000000" => - dest <= 94; - src <= 99; - when "10000001" => - dest <= 65; - src <= 94; - when "10000010" => - dest <= 94; - src <= 7; - when "10000011" => - dest <= 25; - src <= 1; - when "10000100" => - dest <= 63; - src <= 94; - when "10000101" => - dest <= 16; - src <= 12; - when "10000110" => - dest <= 94; - src <= 94; - when "10000111" => - dest <= 72; - src <= 23; - when "10001000" => - dest <= 7; - src <= 94; - when "10001001" => - dest <= 54; - src <= 63; - when "10001010" => - dest <= 94; - src <= 25; - when "10001011" => - dest <= 98; - src <= 72; - when "10001100" => - dest <= 94; - src <= 94; - when "10001101" => - dest <= 94; - src <= 16; - when "10001110" => - dest <= 86; - src <= 81; - when "10001111" => - dest <= 75; - src <= 34; - when "10010000" => - dest <= 94; - src <= 94; - when "10010001" => - dest <= 73; - src <= 90; - when "10010010" => - dest <= 15; - src <= 94; - when "10010011" => - dest <= 64; - src <= 2; - when "10010100" => - dest <= 97; - src <= 13; - when "10010101" => - dest <= 94; - src <= 94; - when "10010110" => - dest <= 85; - src <= 15; - when "10010111" => - dest <= 74; - src <= 73; - when "10011000" => - dest <= 96; - src <= 24; - when "10011001" => - dest <= 94; - src <= 91; - when "10011010" => - dest <= 94; - src <= 3; - when "10011011" => - dest <= 49; - src <= 14; - when "10011100" => - dest <= 38; - src <= 92; - when others => - dest <= 94; - src <= 94; - - end case; - end process; - - end generate NI_NUM94; - - NI_NUM95 : if NI_NUM = 95 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 1; - src <= 95; - when "00000001" => - dest <= 15; - src <= 95; - when "00000010" => - dest <= 95; - src <= 95; - when "00000011" => - dest <= 85; - src <= 95; - when "00000100" => - dest <= 50; - src <= 95; - when "00000101" => - dest <= 41; - src <= 75; - when "00000110" => - dest <= 95; - src <= 5; - when "00000111" => - dest <= 31; - src <= 89; - when "00001000" => - dest <= 83; - src <= 95; - when "00001001" => - dest <= 95; - src <= 51; - when "00001010" => - dest <= 95; - src <= 40; - when "00001011" => - dest <= 5; - src <= 95; - when "00001100" => - dest <= 21; - src <= 95; - when "00001101" => - dest <= 30; - src <= 7; - when "00001110" => - dest <= 49; - src <= 85; - when "00001111" => - dest <= 25; - src <= 30; - when "00010000" => - dest <= 95; - src <= 49; - when "00010001" => - dest <= 95; - src <= 59; - when "00010010" => - dest <= 95; - src <= 95; - when "00010011" => - dest <= 81; - src <= 95; - when "00010100" => - dest <= 60; - src <= 65; - when "00010101" => - dest <= 95; - src <= 69; - when "00010110" => - dest <= 35; - src <= 95; - when "00010111" => - dest <= 84; - src <= 95; - when "00011000" => - dest <= 59; - src <= 50; - when "00011001" => - dest <= 42; - src <= 41; - when "00011010" => - dest <= 95; - src <= 9; - when "00011011" => - dest <= 32; - src <= 6; - when "00011100" => - dest <= 92; - src <= 55; - when "00011101" => - dest <= 95; - src <= 95; - when "00011110" => - dest <= 95; - src <= 20; - when "00011111" => - dest <= 22; - src <= 95; - when "00100000" => - dest <= 7; - src <= 95; - when "00100001" => - dest <= 51; - src <= 98; - when "00100010" => - dest <= 48; - src <= 31; - when "00100011" => - dest <= 20; - src <= 48; - when "00100100" => - dest <= 95; - src <= 58; - when "00100101" => - dest <= 6; - src <= 83; - when "00100110" => - dest <= 95; - src <= 95; - when "00100111" => - dest <= 11; - src <= 68; - when "00101000" => - dest <= 95; - src <= 95; - when "00101001" => - dest <= 95; - src <= 84; - when "00101010" => - dest <= 70; - src <= 95; - when "00101011" => - dest <= 36; - src <= 39; - when "00101100" => - dest <= 71; - src <= 42; - when "00101101" => - dest <= 94; - src <= 60; - when "00101110" => - dest <= 69; - src <= 95; - when "00101111" => - dest <= 95; - src <= 79; - when "00110000" => - dest <= 95; - src <= 96; - when "00110001" => - dest <= 58; - src <= 95; - when "00110010" => - dest <= 52; - src <= 54; - when "00110011" => - dest <= 95; - src <= 10; - when "00110100" => - dest <= 33; - src <= 19; - when "00110101" => - dest <= 93; - src <= 95; - when "00110110" => - dest <= 95; - src <= 95; - when "00110111" => - dest <= 95; - src <= 21; - when "00111000" => - dest <= 38; - src <= 95; - when "00111001" => - dest <= 61; - src <= 97; - when "00111010" => - dest <= 4; - src <= 32; - when "00111011" => - dest <= 47; - src <= 38; - when "00111100" => - dest <= 95; - src <= 57; - when "00111101" => - dest <= 29; - src <= 95; - when "00111110" => - dest <= 95; - src <= 86; - when "00111111" => - dest <= 10; - src <= 95; - when "01000000" => - dest <= 95; - src <= 95; - when "01000001" => - dest <= 91; - src <= 52; - when "01000010" => - dest <= 43; - src <= 29; - when "01000011" => - dest <= 95; - src <= 95; - when "01000100" => - dest <= 3; - src <= 43; - when "01000101" => - dest <= 95; - src <= 95; - when "01000110" => - dest <= 37; - src <= 61; - when "01000111" => - dest <= 80; - src <= 99; - when "01001000" => - dest <= 62; - src <= 70; - when "01001001" => - dest <= 95; - src <= 87; - when "01001010" => - dest <= 95; - src <= 95; - when "01001011" => - dest <= 95; - src <= 47; - when "01001100" => - dest <= 79; - src <= 95; - when "01001101" => - dest <= 46; - src <= 95; - when "01001110" => - dest <= 95; - src <= 53; - when "01001111" => - dest <= 12; - src <= 0; - when "01010000" => - dest <= 95; - src <= 28; - when "01010001" => - dest <= 23; - src <= 95; - when "01010010" => - dest <= 28; - src <= 95; - when "01010011" => - dest <= 68; - src <= 95; - when "01010100" => - dest <= 95; - src <= 11; - when "01010101" => - dest <= 95; - src <= 44; - when "01010110" => - dest <= 57; - src <= 78; - when "01010111" => - dest <= 95; - src <= 95; - when "01011000" => - dest <= 34; - src <= 67; - when "01011001" => - dest <= 96; - src <= 95; - when "01011010" => - dest <= 44; - src <= 62; - when "01011011" => - dest <= 95; - src <= 22; - when "01011100" => - dest <= 19; - src <= 94; - when "01011101" => - dest <= 24; - src <= 95; - when "01011110" => - dest <= 95; - src <= 33; - when "01011111" => - dest <= 53; - src <= 56; - when "01100000" => - dest <= 0; - src <= 95; - when "01100001" => - dest <= 95; - src <= 95; - when "01100010" => - dest <= 95; - src <= 46; - when "01100011" => - dest <= 13; - src <= 66; - when "01100100" => - dest <= 89; - src <= 71; - when "01100101" => - dest <= 72; - src <= 95; - when "01100110" => - dest <= 95; - src <= 95; - when "01100111" => - dest <= 78; - src <= 37; - when "01101000" => - dest <= 14; - src <= 80; - when "01101001" => - dest <= 67; - src <= 77; - when "01101010" => - dest <= 95; - src <= 95; - when "01101011" => - dest <= 2; - src <= 1; - when "01101100" => - dest <= 56; - src <= 18; - when "01101101" => - dest <= 95; - src <= 76; - when "01101110" => - dest <= 27; - src <= 12; - when "01101111" => - dest <= 95; - src <= 95; - when "01110000" => - dest <= 54; - src <= 23; - when "01110001" => - dest <= 18; - src <= 88; - when "01110010" => - dest <= 95; - src <= 95; - when "01110011" => - dest <= 95; - src <= 34; - when "01110100" => - dest <= 63; - src <= 95; - when "01110101" => - dest <= 9; - src <= 63; - when "01110110" => - dest <= 95; - src <= 95; - when "01110111" => - dest <= 45; - src <= 36; - when "01111000" => - dest <= 95; - src <= 72; - when "01111001" => - dest <= 90; - src <= 95; - when "01111010" => - dest <= 95; - src <= 95; - when "01111011" => - dest <= 95; - src <= 27; - when "01111100" => - dest <= 82; - src <= 81; - when "01111101" => - dest <= 88; - src <= 95; - when "01111110" => - dest <= 95; - src <= 45; - when "01111111" => - dest <= 77; - src <= 95; - when "10000000" => - dest <= 95; - src <= 90; - when "10000001" => - dest <= 66; - src <= 95; - when "10000010" => - dest <= 95; - src <= 8; - when "10000011" => - dest <= 26; - src <= 2; - when "10000100" => - dest <= 64; - src <= 95; - when "10000101" => - dest <= 17; - src <= 13; - when "10000110" => - dest <= 95; - src <= 95; - when "10000111" => - dest <= 73; - src <= 24; - when "10001000" => - dest <= 8; - src <= 95; - when "10001001" => - dest <= 55; - src <= 64; - when "10001010" => - dest <= 95; - src <= 26; - when "10001011" => - dest <= 99; - src <= 73; - when "10001100" => - dest <= 95; - src <= 95; - when "10001101" => - dest <= 95; - src <= 17; - when "10001110" => - dest <= 87; - src <= 82; - when "10001111" => - dest <= 76; - src <= 35; - when "10010000" => - dest <= 95; - src <= 95; - when "10010001" => - dest <= 74; - src <= 91; - when "10010010" => - dest <= 16; - src <= 95; - when "10010011" => - dest <= 65; - src <= 3; - when "10010100" => - dest <= 98; - src <= 14; - when "10010101" => - dest <= 95; - src <= 95; - when "10010110" => - dest <= 86; - src <= 16; - when "10010111" => - dest <= 75; - src <= 74; - when "10011000" => - dest <= 97; - src <= 25; - when "10011001" => - dest <= 95; - src <= 92; - when "10011010" => - dest <= 95; - src <= 4; - when "10011011" => - dest <= 40; - src <= 15; - when "10011100" => - dest <= 39; - src <= 93; - when others => - dest <= 95; - src <= 95; - - end case; - end process; - - end generate NI_NUM95; - - NI_NUM96 : if NI_NUM = 96 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 2; - src <= 96; - when "00000001" => - dest <= 16; - src <= 96; - when "00000010" => - dest <= 96; - src <= 96; - when "00000011" => - dest <= 86; - src <= 96; - when "00000100" => - dest <= 51; - src <= 96; - when "00000101" => - dest <= 42; - src <= 76; - when "00000110" => - dest <= 96; - src <= 6; - when "00000111" => - dest <= 32; - src <= 80; - when "00001000" => - dest <= 84; - src <= 96; - when "00001001" => - dest <= 96; - src <= 52; - when "00001010" => - dest <= 96; - src <= 41; - when "00001011" => - dest <= 6; - src <= 96; - when "00001100" => - dest <= 22; - src <= 96; - when "00001101" => - dest <= 31; - src <= 8; - when "00001110" => - dest <= 40; - src <= 86; - when "00001111" => - dest <= 26; - src <= 31; - when "00010000" => - dest <= 96; - src <= 40; - when "00010001" => - dest <= 96; - src <= 50; - when "00010010" => - dest <= 96; - src <= 96; - when "00010011" => - dest <= 82; - src <= 96; - when "00010100" => - dest <= 61; - src <= 66; - when "00010101" => - dest <= 96; - src <= 60; - when "00010110" => - dest <= 36; - src <= 96; - when "00010111" => - dest <= 85; - src <= 96; - when "00011000" => - dest <= 50; - src <= 51; - when "00011001" => - dest <= 43; - src <= 42; - when "00011010" => - dest <= 96; - src <= 0; - when "00011011" => - dest <= 33; - src <= 7; - when "00011100" => - dest <= 93; - src <= 56; - when "00011101" => - dest <= 96; - src <= 96; - when "00011110" => - dest <= 96; - src <= 21; - when "00011111" => - dest <= 23; - src <= 96; - when "00100000" => - dest <= 8; - src <= 96; - when "00100001" => - dest <= 52; - src <= 99; - when "00100010" => - dest <= 49; - src <= 32; - when "00100011" => - dest <= 21; - src <= 49; - when "00100100" => - dest <= 96; - src <= 59; - when "00100101" => - dest <= 7; - src <= 84; - when "00100110" => - dest <= 96; - src <= 96; - when "00100111" => - dest <= 12; - src <= 69; - when "00101000" => - dest <= 96; - src <= 96; - when "00101001" => - dest <= 96; - src <= 85; - when "00101010" => - dest <= 71; - src <= 96; - when "00101011" => - dest <= 37; - src <= 30; - when "00101100" => - dest <= 72; - src <= 43; - when "00101101" => - dest <= 95; - src <= 61; - when "00101110" => - dest <= 60; - src <= 96; - when "00101111" => - dest <= 96; - src <= 70; - when "00110000" => - dest <= 96; - src <= 97; - when "00110001" => - dest <= 59; - src <= 96; - when "00110010" => - dest <= 53; - src <= 55; - when "00110011" => - dest <= 96; - src <= 11; - when "00110100" => - dest <= 34; - src <= 10; - when "00110101" => - dest <= 94; - src <= 96; - when "00110110" => - dest <= 96; - src <= 96; - when "00110111" => - dest <= 96; - src <= 22; - when "00111000" => - dest <= 39; - src <= 96; - when "00111001" => - dest <= 62; - src <= 98; - when "00111010" => - dest <= 5; - src <= 33; - when "00111011" => - dest <= 48; - src <= 39; - when "00111100" => - dest <= 96; - src <= 58; - when "00111101" => - dest <= 20; - src <= 96; - when "00111110" => - dest <= 96; - src <= 87; - when "00111111" => - dest <= 11; - src <= 96; - when "01000000" => - dest <= 96; - src <= 96; - when "01000001" => - dest <= 92; - src <= 53; - when "01000010" => - dest <= 44; - src <= 20; - when "01000011" => - dest <= 96; - src <= 96; - when "01000100" => - dest <= 4; - src <= 44; - when "01000101" => - dest <= 96; - src <= 96; - when "01000110" => - dest <= 38; - src <= 62; - when "01000111" => - dest <= 81; - src <= 90; - when "01001000" => - dest <= 63; - src <= 71; - when "01001001" => - dest <= 96; - src <= 88; - when "01001010" => - dest <= 96; - src <= 96; - when "01001011" => - dest <= 96; - src <= 48; - when "01001100" => - dest <= 70; - src <= 96; - when "01001101" => - dest <= 47; - src <= 96; - when "01001110" => - dest <= 96; - src <= 54; - when "01001111" => - dest <= 13; - src <= 1; - when "01010000" => - dest <= 96; - src <= 29; - when "01010001" => - dest <= 24; - src <= 96; - when "01010010" => - dest <= 29; - src <= 96; - when "01010011" => - dest <= 69; - src <= 96; - when "01010100" => - dest <= 96; - src <= 12; - when "01010101" => - dest <= 96; - src <= 45; - when "01010110" => - dest <= 58; - src <= 79; - when "01010111" => - dest <= 96; - src <= 96; - when "01011000" => - dest <= 35; - src <= 68; - when "01011001" => - dest <= 97; - src <= 96; - when "01011010" => - dest <= 45; - src <= 63; - when "01011011" => - dest <= 96; - src <= 23; - when "01011100" => - dest <= 10; - src <= 95; - when "01011101" => - dest <= 25; - src <= 96; - when "01011110" => - dest <= 96; - src <= 34; - when "01011111" => - dest <= 54; - src <= 57; - when "01100000" => - dest <= 1; - src <= 96; - when "01100001" => - dest <= 96; - src <= 96; - when "01100010" => - dest <= 96; - src <= 47; - when "01100011" => - dest <= 14; - src <= 67; - when "01100100" => - dest <= 80; - src <= 72; - when "01100101" => - dest <= 73; - src <= 96; - when "01100110" => - dest <= 96; - src <= 96; - when "01100111" => - dest <= 79; - src <= 38; - when "01101000" => - dest <= 15; - src <= 81; - when "01101001" => - dest <= 68; - src <= 78; - when "01101010" => - dest <= 96; - src <= 96; - when "01101011" => - dest <= 3; - src <= 2; - when "01101100" => - dest <= 57; - src <= 19; - when "01101101" => - dest <= 96; - src <= 77; - when "01101110" => - dest <= 28; - src <= 13; - when "01101111" => - dest <= 96; - src <= 96; - when "01110000" => - dest <= 55; - src <= 24; - when "01110001" => - dest <= 19; - src <= 89; - when "01110010" => - dest <= 96; - src <= 96; - when "01110011" => - dest <= 96; - src <= 35; - when "01110100" => - dest <= 64; - src <= 96; - when "01110101" => - dest <= 0; - src <= 64; - when "01110110" => - dest <= 96; - src <= 96; - when "01110111" => - dest <= 46; - src <= 37; - when "01111000" => - dest <= 96; - src <= 73; - when "01111001" => - dest <= 91; - src <= 96; - when "01111010" => - dest <= 96; - src <= 96; - when "01111011" => - dest <= 96; - src <= 28; - when "01111100" => - dest <= 83; - src <= 82; - when "01111101" => - dest <= 89; - src <= 96; - when "01111110" => - dest <= 96; - src <= 46; - when "01111111" => - dest <= 78; - src <= 96; - when "10000000" => - dest <= 96; - src <= 91; - when "10000001" => - dest <= 67; - src <= 96; - when "10000010" => - dest <= 96; - src <= 9; - when "10000011" => - dest <= 27; - src <= 3; - when "10000100" => - dest <= 65; - src <= 96; - when "10000101" => - dest <= 18; - src <= 14; - when "10000110" => - dest <= 96; - src <= 96; - when "10000111" => - dest <= 74; - src <= 25; - when "10001000" => - dest <= 9; - src <= 96; - when "10001001" => - dest <= 56; - src <= 65; - when "10001010" => - dest <= 96; - src <= 27; - when "10001011" => - dest <= 90; - src <= 74; - when "10001100" => - dest <= 96; - src <= 96; - when "10001101" => - dest <= 96; - src <= 18; - when "10001110" => - dest <= 88; - src <= 83; - when "10001111" => - dest <= 77; - src <= 36; - when "10010000" => - dest <= 96; - src <= 96; - when "10010001" => - dest <= 75; - src <= 92; - when "10010010" => - dest <= 17; - src <= 96; - when "10010011" => - dest <= 66; - src <= 4; - when "10010100" => - dest <= 99; - src <= 15; - when "10010101" => - dest <= 96; - src <= 96; - when "10010110" => - dest <= 87; - src <= 17; - when "10010111" => - dest <= 76; - src <= 75; - when "10011000" => - dest <= 98; - src <= 26; - when "10011001" => - dest <= 96; - src <= 93; - when "10011010" => - dest <= 96; - src <= 5; - when "10011011" => - dest <= 41; - src <= 16; - when "10011100" => - dest <= 30; - src <= 94; - when others => - dest <= 96; - src <= 96; - - end case; - end process; - - end generate NI_NUM96; - - NI_NUM97 : if NI_NUM = 97 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 3; - src <= 97; - when "00000001" => - dest <= 17; - src <= 97; - when "00000010" => - dest <= 97; - src <= 97; - when "00000011" => - dest <= 87; - src <= 97; - when "00000100" => - dest <= 52; - src <= 97; - when "00000101" => - dest <= 43; - src <= 77; - when "00000110" => - dest <= 97; - src <= 7; - when "00000111" => - dest <= 33; - src <= 81; - when "00001000" => - dest <= 85; - src <= 97; - when "00001001" => - dest <= 97; - src <= 53; - when "00001010" => - dest <= 97; - src <= 42; - when "00001011" => - dest <= 7; - src <= 97; - when "00001100" => - dest <= 23; - src <= 97; - when "00001101" => - dest <= 32; - src <= 9; - when "00001110" => - dest <= 41; - src <= 87; - when "00001111" => - dest <= 27; - src <= 32; - when "00010000" => - dest <= 97; - src <= 41; - when "00010001" => - dest <= 97; - src <= 51; - when "00010010" => - dest <= 97; - src <= 97; - when "00010011" => - dest <= 83; - src <= 97; - when "00010100" => - dest <= 62; - src <= 67; - when "00010101" => - dest <= 97; - src <= 61; - when "00010110" => - dest <= 37; - src <= 97; - when "00010111" => - dest <= 86; - src <= 97; - when "00011000" => - dest <= 51; - src <= 52; - when "00011001" => - dest <= 44; - src <= 43; - when "00011010" => - dest <= 97; - src <= 1; - when "00011011" => - dest <= 34; - src <= 8; - when "00011100" => - dest <= 94; - src <= 57; - when "00011101" => - dest <= 97; - src <= 97; - when "00011110" => - dest <= 97; - src <= 22; - when "00011111" => - dest <= 24; - src <= 97; - when "00100000" => - dest <= 9; - src <= 97; - when "00100001" => - dest <= 53; - src <= 90; - when "00100010" => - dest <= 40; - src <= 33; - when "00100011" => - dest <= 22; - src <= 40; - when "00100100" => - dest <= 97; - src <= 50; - when "00100101" => - dest <= 8; - src <= 85; - when "00100110" => - dest <= 97; - src <= 97; - when "00100111" => - dest <= 13; - src <= 60; - when "00101000" => - dest <= 97; - src <= 97; - when "00101001" => - dest <= 97; - src <= 86; - when "00101010" => - dest <= 72; - src <= 97; - when "00101011" => - dest <= 38; - src <= 31; - when "00101100" => - dest <= 73; - src <= 44; - when "00101101" => - dest <= 96; - src <= 62; - when "00101110" => - dest <= 61; - src <= 97; - when "00101111" => - dest <= 97; - src <= 71; - when "00110000" => - dest <= 97; - src <= 98; - when "00110001" => - dest <= 50; - src <= 97; - when "00110010" => - dest <= 54; - src <= 56; - when "00110011" => - dest <= 97; - src <= 12; - when "00110100" => - dest <= 35; - src <= 11; - when "00110101" => - dest <= 95; - src <= 97; - when "00110110" => - dest <= 97; - src <= 97; - when "00110111" => - dest <= 97; - src <= 23; - when "00111000" => - dest <= 30; - src <= 97; - when "00111001" => - dest <= 63; - src <= 99; - when "00111010" => - dest <= 6; - src <= 34; - when "00111011" => - dest <= 49; - src <= 30; - when "00111100" => - dest <= 97; - src <= 59; - when "00111101" => - dest <= 21; - src <= 97; - when "00111110" => - dest <= 97; - src <= 88; - when "00111111" => - dest <= 12; - src <= 97; - when "01000000" => - dest <= 97; - src <= 97; - when "01000001" => - dest <= 93; - src <= 54; - when "01000010" => - dest <= 45; - src <= 21; - when "01000011" => - dest <= 97; - src <= 97; - when "01000100" => - dest <= 5; - src <= 45; - when "01000101" => - dest <= 97; - src <= 97; - when "01000110" => - dest <= 39; - src <= 63; - when "01000111" => - dest <= 82; - src <= 91; - when "01001000" => - dest <= 64; - src <= 72; - when "01001001" => - dest <= 97; - src <= 89; - when "01001010" => - dest <= 97; - src <= 97; - when "01001011" => - dest <= 97; - src <= 49; - when "01001100" => - dest <= 71; - src <= 97; - when "01001101" => - dest <= 48; - src <= 97; - when "01001110" => - dest <= 97; - src <= 55; - when "01001111" => - dest <= 14; - src <= 2; - when "01010000" => - dest <= 97; - src <= 20; - when "01010001" => - dest <= 25; - src <= 97; - when "01010010" => - dest <= 20; - src <= 97; - when "01010011" => - dest <= 60; - src <= 97; - when "01010100" => - dest <= 97; - src <= 13; - when "01010101" => - dest <= 97; - src <= 46; - when "01010110" => - dest <= 59; - src <= 70; - when "01010111" => - dest <= 97; - src <= 97; - when "01011000" => - dest <= 36; - src <= 69; - when "01011001" => - dest <= 98; - src <= 97; - when "01011010" => - dest <= 46; - src <= 64; - when "01011011" => - dest <= 97; - src <= 24; - when "01011100" => - dest <= 11; - src <= 96; - when "01011101" => - dest <= 26; - src <= 97; - when "01011110" => - dest <= 97; - src <= 35; - when "01011111" => - dest <= 55; - src <= 58; - when "01100000" => - dest <= 2; - src <= 97; - when "01100001" => - dest <= 97; - src <= 97; - when "01100010" => - dest <= 97; - src <= 48; - when "01100011" => - dest <= 15; - src <= 68; - when "01100100" => - dest <= 81; - src <= 73; - when "01100101" => - dest <= 74; - src <= 97; - when "01100110" => - dest <= 97; - src <= 97; - when "01100111" => - dest <= 70; - src <= 39; - when "01101000" => - dest <= 16; - src <= 82; - when "01101001" => - dest <= 69; - src <= 79; - when "01101010" => - dest <= 97; - src <= 97; - when "01101011" => - dest <= 4; - src <= 3; - when "01101100" => - dest <= 58; - src <= 10; - when "01101101" => - dest <= 97; - src <= 78; - when "01101110" => - dest <= 29; - src <= 14; - when "01101111" => - dest <= 97; - src <= 97; - when "01110000" => - dest <= 56; - src <= 25; - when "01110001" => - dest <= 10; - src <= 80; - when "01110010" => - dest <= 97; - src <= 97; - when "01110011" => - dest <= 97; - src <= 36; - when "01110100" => - dest <= 65; - src <= 97; - when "01110101" => - dest <= 1; - src <= 65; - when "01110110" => - dest <= 97; - src <= 97; - when "01110111" => - dest <= 47; - src <= 38; - when "01111000" => - dest <= 97; - src <= 74; - when "01111001" => - dest <= 92; - src <= 97; - when "01111010" => - dest <= 97; - src <= 97; - when "01111011" => - dest <= 97; - src <= 29; - when "01111100" => - dest <= 84; - src <= 83; - when "01111101" => - dest <= 80; - src <= 97; - when "01111110" => - dest <= 97; - src <= 47; - when "01111111" => - dest <= 79; - src <= 97; - when "10000000" => - dest <= 97; - src <= 92; - when "10000001" => - dest <= 68; - src <= 97; - when "10000010" => - dest <= 97; - src <= 0; - when "10000011" => - dest <= 28; - src <= 4; - when "10000100" => - dest <= 66; - src <= 97; - when "10000101" => - dest <= 19; - src <= 15; - when "10000110" => - dest <= 97; - src <= 97; - when "10000111" => - dest <= 75; - src <= 26; - when "10001000" => - dest <= 0; - src <= 97; - when "10001001" => - dest <= 57; - src <= 66; - when "10001010" => - dest <= 97; - src <= 28; - when "10001011" => - dest <= 91; - src <= 75; - when "10001100" => - dest <= 97; - src <= 97; - when "10001101" => - dest <= 97; - src <= 19; - when "10001110" => - dest <= 89; - src <= 84; - when "10001111" => - dest <= 78; - src <= 37; - when "10010000" => - dest <= 97; - src <= 97; - when "10010001" => - dest <= 76; - src <= 93; - when "10010010" => - dest <= 18; - src <= 97; - when "10010011" => - dest <= 67; - src <= 5; - when "10010100" => - dest <= 90; - src <= 16; - when "10010101" => - dest <= 97; - src <= 97; - when "10010110" => - dest <= 88; - src <= 18; - when "10010111" => - dest <= 77; - src <= 76; - when "10011000" => - dest <= 99; - src <= 27; - when "10011001" => - dest <= 97; - src <= 94; - when "10011010" => - dest <= 97; - src <= 6; - when "10011011" => - dest <= 42; - src <= 17; - when "10011100" => - dest <= 31; - src <= 95; - when others => - dest <= 97; - src <= 97; - - end case; - end process; - - end generate NI_NUM97; - - NI_NUM98 : if NI_NUM = 98 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 4; - src <= 98; - when "00000001" => - dest <= 18; - src <= 98; - when "00000010" => - dest <= 98; - src <= 98; - when "00000011" => - dest <= 88; - src <= 98; - when "00000100" => - dest <= 53; - src <= 98; - when "00000101" => - dest <= 44; - src <= 78; - when "00000110" => - dest <= 98; - src <= 8; - when "00000111" => - dest <= 34; - src <= 82; - when "00001000" => - dest <= 86; - src <= 98; - when "00001001" => - dest <= 98; - src <= 54; - when "00001010" => - dest <= 98; - src <= 43; - when "00001011" => - dest <= 8; - src <= 98; - when "00001100" => - dest <= 24; - src <= 98; - when "00001101" => - dest <= 33; - src <= 0; - when "00001110" => - dest <= 42; - src <= 88; - when "00001111" => - dest <= 28; - src <= 33; - when "00010000" => - dest <= 98; - src <= 42; - when "00010001" => - dest <= 98; - src <= 52; - when "00010010" => - dest <= 98; - src <= 98; - when "00010011" => - dest <= 84; - src <= 98; - when "00010100" => - dest <= 63; - src <= 68; - when "00010101" => - dest <= 98; - src <= 62; - when "00010110" => - dest <= 38; - src <= 98; - when "00010111" => - dest <= 87; - src <= 98; - when "00011000" => - dest <= 52; - src <= 53; - when "00011001" => - dest <= 45; - src <= 44; - when "00011010" => - dest <= 98; - src <= 2; - when "00011011" => - dest <= 35; - src <= 9; - when "00011100" => - dest <= 95; - src <= 58; - when "00011101" => - dest <= 98; - src <= 98; - when "00011110" => - dest <= 98; - src <= 23; - when "00011111" => - dest <= 25; - src <= 98; - when "00100000" => - dest <= 0; - src <= 98; - when "00100001" => - dest <= 54; - src <= 91; - when "00100010" => - dest <= 41; - src <= 34; - when "00100011" => - dest <= 23; - src <= 41; - when "00100100" => - dest <= 98; - src <= 51; - when "00100101" => - dest <= 9; - src <= 86; - when "00100110" => - dest <= 98; - src <= 98; - when "00100111" => - dest <= 14; - src <= 61; - when "00101000" => - dest <= 98; - src <= 98; - when "00101001" => - dest <= 98; - src <= 87; - when "00101010" => - dest <= 73; - src <= 98; - when "00101011" => - dest <= 39; - src <= 32; - when "00101100" => - dest <= 74; - src <= 45; - when "00101101" => - dest <= 97; - src <= 63; - when "00101110" => - dest <= 62; - src <= 98; - when "00101111" => - dest <= 98; - src <= 72; - when "00110000" => - dest <= 98; - src <= 99; - when "00110001" => - dest <= 51; - src <= 98; - when "00110010" => - dest <= 55; - src <= 57; - when "00110011" => - dest <= 98; - src <= 13; - when "00110100" => - dest <= 36; - src <= 12; - when "00110101" => - dest <= 96; - src <= 98; - when "00110110" => - dest <= 98; - src <= 98; - when "00110111" => - dest <= 98; - src <= 24; - when "00111000" => - dest <= 31; - src <= 98; - when "00111001" => - dest <= 64; - src <= 90; - when "00111010" => - dest <= 7; - src <= 35; - when "00111011" => - dest <= 40; - src <= 31; - when "00111100" => - dest <= 98; - src <= 50; - when "00111101" => - dest <= 22; - src <= 98; - when "00111110" => - dest <= 98; - src <= 89; - when "00111111" => - dest <= 13; - src <= 98; - when "01000000" => - dest <= 98; - src <= 98; - when "01000001" => - dest <= 94; - src <= 55; - when "01000010" => - dest <= 46; - src <= 22; - when "01000011" => - dest <= 98; - src <= 98; - when "01000100" => - dest <= 6; - src <= 46; - when "01000101" => - dest <= 98; - src <= 98; - when "01000110" => - dest <= 30; - src <= 64; - when "01000111" => - dest <= 83; - src <= 92; - when "01001000" => - dest <= 65; - src <= 73; - when "01001001" => - dest <= 98; - src <= 80; - when "01001010" => - dest <= 98; - src <= 98; - when "01001011" => - dest <= 98; - src <= 40; - when "01001100" => - dest <= 72; - src <= 98; - when "01001101" => - dest <= 49; - src <= 98; - when "01001110" => - dest <= 98; - src <= 56; - when "01001111" => - dest <= 15; - src <= 3; - when "01010000" => - dest <= 98; - src <= 21; - when "01010001" => - dest <= 26; - src <= 98; - when "01010010" => - dest <= 21; - src <= 98; - when "01010011" => - dest <= 61; - src <= 98; - when "01010100" => - dest <= 98; - src <= 14; - when "01010101" => - dest <= 98; - src <= 47; - when "01010110" => - dest <= 50; - src <= 71; - when "01010111" => - dest <= 98; - src <= 98; - when "01011000" => - dest <= 37; - src <= 60; - when "01011001" => - dest <= 99; - src <= 98; - when "01011010" => - dest <= 47; - src <= 65; - when "01011011" => - dest <= 98; - src <= 25; - when "01011100" => - dest <= 12; - src <= 97; - when "01011101" => - dest <= 27; - src <= 98; - when "01011110" => - dest <= 98; - src <= 36; - when "01011111" => - dest <= 56; - src <= 59; - when "01100000" => - dest <= 3; - src <= 98; - when "01100001" => - dest <= 98; - src <= 98; - when "01100010" => - dest <= 98; - src <= 49; - when "01100011" => - dest <= 16; - src <= 69; - when "01100100" => - dest <= 82; - src <= 74; - when "01100101" => - dest <= 75; - src <= 98; - when "01100110" => - dest <= 98; - src <= 98; - when "01100111" => - dest <= 71; - src <= 30; - when "01101000" => - dest <= 17; - src <= 83; - when "01101001" => - dest <= 60; - src <= 70; - when "01101010" => - dest <= 98; - src <= 98; - when "01101011" => - dest <= 5; - src <= 4; - when "01101100" => - dest <= 59; - src <= 11; - when "01101101" => - dest <= 98; - src <= 79; - when "01101110" => - dest <= 20; - src <= 15; - when "01101111" => - dest <= 98; - src <= 98; - when "01110000" => - dest <= 57; - src <= 26; - when "01110001" => - dest <= 11; - src <= 81; - when "01110010" => - dest <= 98; - src <= 98; - when "01110011" => - dest <= 98; - src <= 37; - when "01110100" => - dest <= 66; - src <= 98; - when "01110101" => - dest <= 2; - src <= 66; - when "01110110" => - dest <= 98; - src <= 98; - when "01110111" => - dest <= 48; - src <= 39; - when "01111000" => - dest <= 98; - src <= 75; - when "01111001" => - dest <= 93; - src <= 98; - when "01111010" => - dest <= 98; - src <= 98; - when "01111011" => - dest <= 98; - src <= 20; - when "01111100" => - dest <= 85; - src <= 84; - when "01111101" => - dest <= 81; - src <= 98; - when "01111110" => - dest <= 98; - src <= 48; - when "01111111" => - dest <= 70; - src <= 98; - when "10000000" => - dest <= 98; - src <= 93; - when "10000001" => - dest <= 69; - src <= 98; - when "10000010" => - dest <= 98; - src <= 1; - when "10000011" => - dest <= 29; - src <= 5; - when "10000100" => - dest <= 67; - src <= 98; - when "10000101" => - dest <= 10; - src <= 16; - when "10000110" => - dest <= 98; - src <= 98; - when "10000111" => - dest <= 76; - src <= 27; - when "10001000" => - dest <= 1; - src <= 98; - when "10001001" => - dest <= 58; - src <= 67; - when "10001010" => - dest <= 98; - src <= 29; - when "10001011" => - dest <= 92; - src <= 76; - when "10001100" => - dest <= 98; - src <= 98; - when "10001101" => - dest <= 98; - src <= 10; - when "10001110" => - dest <= 80; - src <= 85; - when "10001111" => - dest <= 79; - src <= 38; - when "10010000" => - dest <= 98; - src <= 98; - when "10010001" => - dest <= 77; - src <= 94; - when "10010010" => - dest <= 19; - src <= 98; - when "10010011" => - dest <= 68; - src <= 6; - when "10010100" => - dest <= 91; - src <= 17; - when "10010101" => - dest <= 98; - src <= 98; - when "10010110" => - dest <= 89; - src <= 19; - when "10010111" => - dest <= 78; - src <= 77; - when "10011000" => - dest <= 90; - src <= 28; - when "10011001" => - dest <= 98; - src <= 95; - when "10011010" => - dest <= 98; - src <= 7; - when "10011011" => - dest <= 43; - src <= 18; - when "10011100" => - dest <= 32; - src <= 96; - when others => - dest <= 98; - src <= 98; - - end case; - end process; - - end generate NI_NUM98; - - NI_NUM99 : if NI_NUM = 99 generate - process(count) begin - - case count is - - when "00000000" => - dest <= 5; - src <= 99; - when "00000001" => - dest <= 19; - src <= 99; - when "00000010" => - dest <= 99; - src <= 99; - when "00000011" => - dest <= 89; - src <= 99; - when "00000100" => - dest <= 54; - src <= 99; - when "00000101" => - dest <= 45; - src <= 79; - when "00000110" => - dest <= 99; - src <= 9; - when "00000111" => - dest <= 35; - src <= 83; - when "00001000" => - dest <= 87; - src <= 99; - when "00001001" => - dest <= 99; - src <= 55; - when "00001010" => - dest <= 99; - src <= 44; - when "00001011" => - dest <= 9; - src <= 99; - when "00001100" => - dest <= 25; - src <= 99; - when "00001101" => - dest <= 34; - src <= 1; - when "00001110" => - dest <= 43; - src <= 89; - when "00001111" => - dest <= 29; - src <= 34; - when "00010000" => - dest <= 99; - src <= 43; - when "00010001" => - dest <= 99; - src <= 53; - when "00010010" => - dest <= 99; - src <= 99; - when "00010011" => - dest <= 85; - src <= 99; - when "00010100" => - dest <= 64; - src <= 69; - when "00010101" => - dest <= 99; - src <= 63; - when "00010110" => - dest <= 39; - src <= 99; - when "00010111" => - dest <= 88; - src <= 99; - when "00011000" => - dest <= 53; - src <= 54; - when "00011001" => - dest <= 46; - src <= 45; - when "00011010" => - dest <= 99; - src <= 3; - when "00011011" => - dest <= 36; - src <= 0; - when "00011100" => - dest <= 96; - src <= 59; - when "00011101" => - dest <= 99; - src <= 99; - when "00011110" => - dest <= 99; - src <= 24; - when "00011111" => - dest <= 26; - src <= 99; - when "00100000" => - dest <= 1; - src <= 99; - when "00100001" => - dest <= 55; - src <= 92; - when "00100010" => - dest <= 42; - src <= 35; - when "00100011" => - dest <= 24; - src <= 42; - when "00100100" => - dest <= 99; - src <= 52; - when "00100101" => - dest <= 0; - src <= 87; - when "00100110" => - dest <= 99; - src <= 99; - when "00100111" => - dest <= 15; - src <= 62; - when "00101000" => - dest <= 99; - src <= 99; - when "00101001" => - dest <= 99; - src <= 88; - when "00101010" => - dest <= 74; - src <= 99; - when "00101011" => - dest <= 30; - src <= 33; - when "00101100" => - dest <= 75; - src <= 46; - when "00101101" => - dest <= 98; - src <= 64; - when "00101110" => - dest <= 63; - src <= 99; - when "00101111" => - dest <= 99; - src <= 73; - when "00110000" => - dest <= 99; - src <= 90; - when "00110001" => - dest <= 52; - src <= 99; - when "00110010" => - dest <= 56; - src <= 58; - when "00110011" => - dest <= 99; - src <= 14; - when "00110100" => - dest <= 37; - src <= 13; - when "00110101" => - dest <= 97; - src <= 99; - when "00110110" => - dest <= 99; - src <= 99; - when "00110111" => - dest <= 99; - src <= 25; - when "00111000" => - dest <= 32; - src <= 99; - when "00111001" => - dest <= 65; - src <= 91; - when "00111010" => - dest <= 8; - src <= 36; - when "00111011" => - dest <= 41; - src <= 32; - when "00111100" => - dest <= 99; - src <= 51; - when "00111101" => - dest <= 23; - src <= 99; - when "00111110" => - dest <= 99; - src <= 80; - when "00111111" => - dest <= 14; - src <= 99; - when "01000000" => - dest <= 99; - src <= 99; - when "01000001" => - dest <= 95; - src <= 56; - when "01000010" => - dest <= 47; - src <= 23; - when "01000011" => - dest <= 99; - src <= 99; - when "01000100" => - dest <= 7; - src <= 47; - when "01000101" => - dest <= 99; - src <= 99; - when "01000110" => - dest <= 31; - src <= 65; - when "01000111" => - dest <= 84; - src <= 93; - when "01001000" => - dest <= 66; - src <= 74; - when "01001001" => - dest <= 99; - src <= 81; - when "01001010" => - dest <= 99; - src <= 99; - when "01001011" => - dest <= 99; - src <= 41; - when "01001100" => - dest <= 73; - src <= 99; - when "01001101" => - dest <= 40; - src <= 99; - when "01001110" => - dest <= 99; - src <= 57; - when "01001111" => - dest <= 16; - src <= 4; - when "01010000" => - dest <= 99; - src <= 22; - when "01010001" => - dest <= 27; - src <= 99; - when "01010010" => - dest <= 22; - src <= 99; - when "01010011" => - dest <= 62; - src <= 99; - when "01010100" => - dest <= 99; - src <= 15; - when "01010101" => - dest <= 99; - src <= 48; - when "01010110" => - dest <= 51; - src <= 72; - when "01010111" => - dest <= 99; - src <= 99; - when "01011000" => - dest <= 38; - src <= 61; - when "01011001" => - dest <= 90; - src <= 99; - when "01011010" => - dest <= 48; - src <= 66; - when "01011011" => - dest <= 99; - src <= 26; - when "01011100" => - dest <= 13; - src <= 98; - when "01011101" => - dest <= 28; - src <= 99; - when "01011110" => - dest <= 99; - src <= 37; - when "01011111" => - dest <= 57; - src <= 50; - when "01100000" => - dest <= 4; - src <= 99; - when "01100001" => - dest <= 99; - src <= 99; - when "01100010" => - dest <= 99; - src <= 40; - when "01100011" => - dest <= 17; - src <= 60; - when "01100100" => - dest <= 83; - src <= 75; - when "01100101" => - dest <= 76; - src <= 99; - when "01100110" => - dest <= 99; - src <= 99; - when "01100111" => - dest <= 72; - src <= 31; - when "01101000" => - dest <= 18; - src <= 84; - when "01101001" => - dest <= 61; - src <= 71; - when "01101010" => - dest <= 99; - src <= 99; - when "01101011" => - dest <= 6; - src <= 5; - when "01101100" => - dest <= 50; - src <= 12; - when "01101101" => - dest <= 99; - src <= 70; - when "01101110" => - dest <= 21; - src <= 16; - when "01101111" => - dest <= 99; - src <= 99; - when "01110000" => - dest <= 58; - src <= 27; - when "01110001" => - dest <= 12; - src <= 82; - when "01110010" => - dest <= 99; - src <= 99; - when "01110011" => - dest <= 99; - src <= 38; - when "01110100" => - dest <= 67; - src <= 99; - when "01110101" => - dest <= 3; - src <= 67; - when "01110110" => - dest <= 99; - src <= 99; - when "01110111" => - dest <= 49; - src <= 30; - when "01111000" => - dest <= 99; - src <= 76; - when "01111001" => - dest <= 94; - src <= 99; - when "01111010" => - dest <= 99; - src <= 99; - when "01111011" => - dest <= 99; - src <= 21; - when "01111100" => - dest <= 86; - src <= 85; - when "01111101" => - dest <= 82; - src <= 99; - when "01111110" => - dest <= 99; - src <= 49; - when "01111111" => - dest <= 71; - src <= 99; - when "10000000" => - dest <= 99; - src <= 94; - when "10000001" => - dest <= 60; - src <= 99; - when "10000010" => - dest <= 99; - src <= 2; - when "10000011" => - dest <= 20; - src <= 6; - when "10000100" => - dest <= 68; - src <= 99; - when "10000101" => - dest <= 11; - src <= 17; - when "10000110" => - dest <= 99; - src <= 99; - when "10000111" => - dest <= 77; - src <= 28; - when "10001000" => - dest <= 2; - src <= 99; - when "10001001" => - dest <= 59; - src <= 68; - when "10001010" => - dest <= 99; - src <= 20; - when "10001011" => - dest <= 93; - src <= 77; - when "10001100" => - dest <= 99; - src <= 99; - when "10001101" => - dest <= 99; - src <= 11; - when "10001110" => - dest <= 81; - src <= 86; - when "10001111" => - dest <= 70; - src <= 39; - when "10010000" => - dest <= 99; - src <= 99; - when "10010001" => - dest <= 78; - src <= 95; - when "10010010" => - dest <= 10; - src <= 99; - when "10010011" => - dest <= 69; - src <= 7; - when "10010100" => - dest <= 92; - src <= 18; - when "10010101" => - dest <= 99; - src <= 99; - when "10010110" => - dest <= 80; - src <= 10; - when "10010111" => - dest <= 79; - src <= 78; - when "10011000" => - dest <= 91; - src <= 29; - when "10011001" => - dest <= 99; - src <= 96; - when "10011010" => - dest <= 99; - src <= 8; - when "10011011" => - dest <= 44; - src <= 19; - when "10011100" => - dest <= 33; - src <= 97; - when others => - dest <= 99; - src <= 99; - - end case; - end process; - - end generate NI_NUM99; - -end data; +------------------------------------------------------------- +-- ni_ST_100.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity ni_ST_100 is + generic ( + NI_NUM : natural); + port ( + count : in unsigned(7 downto 0); + dest : out integer range 0 to 99; + src : out integer range 0 to 99 + ); +end ni_ST_100; + +architecture data of ni_ST_100 is +begin -- data + + NI_NUM0 : if NI_NUM = 0 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 16; + src <= 0; + when "00000001" => + dest <= 20; + src <= 0; + when "00000010" => + dest <= 0; + src <= 0; + when "00000011" => + dest <= 90; + src <= 0; + when "00000100" => + dest <= 65; + src <= 0; + when "00000101" => + dest <= 56; + src <= 80; + when "00000110" => + dest <= 0; + src <= 10; + when "00000111" => + dest <= 46; + src <= 94; + when "00001000" => + dest <= 98; + src <= 0; + when "00001001" => + dest <= 0; + src <= 66; + when "00001010" => + dest <= 0; + src <= 55; + when "00001011" => + dest <= 10; + src <= 0; + when "00001100" => + dest <= 36; + src <= 0; + when "00001101" => + dest <= 45; + src <= 12; + when "00001110" => + dest <= 54; + src <= 90; + when "00001111" => + dest <= 30; + src <= 45; + when "00010000" => + dest <= 0; + src <= 54; + when "00010001" => + dest <= 0; + src <= 64; + when "00010010" => + dest <= 0; + src <= 0; + when "00010011" => + dest <= 96; + src <= 0; + when "00010100" => + dest <= 75; + src <= 70; + when "00010101" => + dest <= 0; + src <= 74; + when "00010110" => + dest <= 40; + src <= 0; + when "00010111" => + dest <= 99; + src <= 0; + when "00011000" => + dest <= 64; + src <= 65; + when "00011001" => + dest <= 57; + src <= 56; + when "00011010" => + dest <= 0; + src <= 14; + when "00011011" => + dest <= 47; + src <= 11; + when "00011100" => + dest <= 7; + src <= 60; + when "00011101" => + dest <= 0; + src <= 0; + when "00011110" => + dest <= 0; + src <= 35; + when "00011111" => + dest <= 37; + src <= 0; + when "00100000" => + dest <= 12; + src <= 0; + when "00100001" => + dest <= 66; + src <= 3; + when "00100010" => + dest <= 53; + src <= 46; + when "00100011" => + dest <= 35; + src <= 53; + when "00100100" => + dest <= 0; + src <= 63; + when "00100101" => + dest <= 11; + src <= 98; + when "00100110" => + dest <= 0; + src <= 0; + when "00100111" => + dest <= 26; + src <= 73; + when "00101000" => + dest <= 0; + src <= 0; + when "00101001" => + dest <= 0; + src <= 99; + when "00101010" => + dest <= 85; + src <= 0; + when "00101011" => + dest <= 41; + src <= 44; + when "00101100" => + dest <= 86; + src <= 57; + when "00101101" => + dest <= 9; + src <= 75; + when "00101110" => + dest <= 74; + src <= 0; + when "00101111" => + dest <= 0; + src <= 84; + when "00110000" => + dest <= 0; + src <= 1; + when "00110001" => + dest <= 63; + src <= 0; + when "00110010" => + dest <= 67; + src <= 69; + when "00110011" => + dest <= 0; + src <= 25; + when "00110100" => + dest <= 48; + src <= 24; + when "00110101" => + dest <= 8; + src <= 0; + when "00110110" => + dest <= 0; + src <= 0; + when "00110111" => + dest <= 0; + src <= 36; + when "00111000" => + dest <= 43; + src <= 0; + when "00111001" => + dest <= 76; + src <= 2; + when "00111010" => + dest <= 19; + src <= 47; + when "00111011" => + dest <= 52; + src <= 43; + when "00111100" => + dest <= 0; + src <= 62; + when "00111101" => + dest <= 34; + src <= 0; + when "00111110" => + dest <= 0; + src <= 91; + when "00111111" => + dest <= 25; + src <= 0; + when "01000000" => + dest <= 0; + src <= 0; + when "01000001" => + dest <= 6; + src <= 67; + when "01000010" => + dest <= 58; + src <= 34; + when "01000011" => + dest <= 0; + src <= 0; + when "01000100" => + dest <= 18; + src <= 58; + when "01000101" => + dest <= 0; + src <= 0; + when "01000110" => + dest <= 42; + src <= 76; + when "01000111" => + dest <= 95; + src <= 4; + when "01001000" => + dest <= 77; + src <= 85; + when "01001001" => + dest <= 0; + src <= 92; + when "01001010" => + dest <= 0; + src <= 0; + when "01001011" => + dest <= 0; + src <= 52; + when "01001100" => + dest <= 84; + src <= 0; + when "01001101" => + dest <= 51; + src <= 0; + when "01001110" => + dest <= 0; + src <= 68; + when "01001111" => + dest <= 27; + src <= 15; + when "01010000" => + dest <= 0; + src <= 33; + when "01010001" => + dest <= 38; + src <= 0; + when "01010010" => + dest <= 33; + src <= 0; + when "01010011" => + dest <= 73; + src <= 0; + when "01010100" => + dest <= 0; + src <= 26; + when "01010101" => + dest <= 0; + src <= 59; + when "01010110" => + dest <= 62; + src <= 83; + when "01010111" => + dest <= 0; + src <= 0; + when "01011000" => + dest <= 49; + src <= 72; + when "01011001" => + dest <= 1; + src <= 0; + when "01011010" => + dest <= 59; + src <= 77; + when "01011011" => + dest <= 0; + src <= 37; + when "01011100" => + dest <= 24; + src <= 9; + when "01011101" => + dest <= 39; + src <= 0; + when "01011110" => + dest <= 0; + src <= 48; + when "01011111" => + dest <= 68; + src <= 61; + when "01100000" => + dest <= 15; + src <= 0; + when "01100001" => + dest <= 0; + src <= 0; + when "01100010" => + dest <= 0; + src <= 51; + when "01100011" => + dest <= 28; + src <= 71; + when "01100100" => + dest <= 94; + src <= 86; + when "01100101" => + dest <= 87; + src <= 0; + when "01100110" => + dest <= 0; + src <= 0; + when "01100111" => + dest <= 83; + src <= 42; + when "01101000" => + dest <= 29; + src <= 95; + when "01101001" => + dest <= 72; + src <= 82; + when "01101010" => + dest <= 0; + src <= 0; + when "01101011" => + dest <= 17; + src <= 16; + when "01101100" => + dest <= 61; + src <= 23; + when "01101101" => + dest <= 0; + src <= 81; + when "01101110" => + dest <= 32; + src <= 27; + when "01101111" => + dest <= 0; + src <= 0; + when "01110000" => + dest <= 69; + src <= 38; + when "01110001" => + dest <= 23; + src <= 93; + when "01110010" => + dest <= 0; + src <= 0; + when "01110011" => + dest <= 0; + src <= 49; + when "01110100" => + dest <= 78; + src <= 0; + when "01110101" => + dest <= 14; + src <= 78; + when "01110110" => + dest <= 0; + src <= 0; + when "01110111" => + dest <= 50; + src <= 41; + when "01111000" => + dest <= 0; + src <= 87; + when "01111001" => + dest <= 5; + src <= 0; + when "01111010" => + dest <= 0; + src <= 0; + when "01111011" => + dest <= 0; + src <= 32; + when "01111100" => + dest <= 97; + src <= 96; + when "01111101" => + dest <= 93; + src <= 0; + when "01111110" => + dest <= 0; + src <= 50; + when "01111111" => + dest <= 82; + src <= 0; + when "10000000" => + dest <= 0; + src <= 5; + when "10000001" => + dest <= 71; + src <= 0; + when "10000010" => + dest <= 0; + src <= 13; + when "10000011" => + dest <= 31; + src <= 17; + when "10000100" => + dest <= 79; + src <= 0; + when "10000101" => + dest <= 22; + src <= 28; + when "10000110" => + dest <= 0; + src <= 0; + when "10000111" => + dest <= 88; + src <= 39; + when "10001000" => + dest <= 13; + src <= 0; + when "10001001" => + dest <= 60; + src <= 79; + when "10001010" => + dest <= 0; + src <= 31; + when "10001011" => + dest <= 4; + src <= 88; + when "10001100" => + dest <= 0; + src <= 0; + when "10001101" => + dest <= 0; + src <= 22; + when "10001110" => + dest <= 92; + src <= 97; + when "10001111" => + dest <= 81; + src <= 40; + when "10010000" => + dest <= 0; + src <= 0; + when "10010001" => + dest <= 89; + src <= 6; + when "10010010" => + dest <= 21; + src <= 0; + when "10010011" => + dest <= 70; + src <= 18; + when "10010100" => + dest <= 3; + src <= 29; + when "10010101" => + dest <= 0; + src <= 0; + when "10010110" => + dest <= 91; + src <= 21; + when "10010111" => + dest <= 80; + src <= 89; + when "10011000" => + dest <= 2; + src <= 30; + when "10011001" => + dest <= 0; + src <= 7; + when "10011010" => + dest <= 0; + src <= 19; + when "10011011" => + dest <= 55; + src <= 20; + when "10011100" => + dest <= 44; + src <= 8; + when others => + dest <= 0; + src <= 0; + + end case; + end process; + + end generate NI_NUM0; + + NI_NUM1 : if NI_NUM = 1 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 17; + src <= 1; + when "00000001" => + dest <= 21; + src <= 1; + when "00000010" => + dest <= 1; + src <= 1; + when "00000011" => + dest <= 91; + src <= 1; + when "00000100" => + dest <= 66; + src <= 1; + when "00000101" => + dest <= 57; + src <= 81; + when "00000110" => + dest <= 1; + src <= 11; + when "00000111" => + dest <= 47; + src <= 95; + when "00001000" => + dest <= 99; + src <= 1; + when "00001001" => + dest <= 1; + src <= 67; + when "00001010" => + dest <= 1; + src <= 56; + when "00001011" => + dest <= 11; + src <= 1; + when "00001100" => + dest <= 37; + src <= 1; + when "00001101" => + dest <= 46; + src <= 13; + when "00001110" => + dest <= 55; + src <= 91; + when "00001111" => + dest <= 31; + src <= 46; + when "00010000" => + dest <= 1; + src <= 55; + when "00010001" => + dest <= 1; + src <= 65; + when "00010010" => + dest <= 1; + src <= 1; + when "00010011" => + dest <= 97; + src <= 1; + when "00010100" => + dest <= 76; + src <= 71; + when "00010101" => + dest <= 1; + src <= 75; + when "00010110" => + dest <= 41; + src <= 1; + when "00010111" => + dest <= 90; + src <= 1; + when "00011000" => + dest <= 65; + src <= 66; + when "00011001" => + dest <= 58; + src <= 57; + when "00011010" => + dest <= 1; + src <= 15; + when "00011011" => + dest <= 48; + src <= 12; + when "00011100" => + dest <= 8; + src <= 61; + when "00011101" => + dest <= 1; + src <= 1; + when "00011110" => + dest <= 1; + src <= 36; + when "00011111" => + dest <= 38; + src <= 1; + when "00100000" => + dest <= 13; + src <= 1; + when "00100001" => + dest <= 67; + src <= 4; + when "00100010" => + dest <= 54; + src <= 47; + when "00100011" => + dest <= 36; + src <= 54; + when "00100100" => + dest <= 1; + src <= 64; + when "00100101" => + dest <= 12; + src <= 99; + when "00100110" => + dest <= 1; + src <= 1; + when "00100111" => + dest <= 27; + src <= 74; + when "00101000" => + dest <= 1; + src <= 1; + when "00101001" => + dest <= 1; + src <= 90; + when "00101010" => + dest <= 86; + src <= 1; + when "00101011" => + dest <= 42; + src <= 45; + when "00101100" => + dest <= 87; + src <= 58; + when "00101101" => + dest <= 0; + src <= 76; + when "00101110" => + dest <= 75; + src <= 1; + when "00101111" => + dest <= 1; + src <= 85; + when "00110000" => + dest <= 1; + src <= 2; + when "00110001" => + dest <= 64; + src <= 1; + when "00110010" => + dest <= 68; + src <= 60; + when "00110011" => + dest <= 1; + src <= 26; + when "00110100" => + dest <= 49; + src <= 25; + when "00110101" => + dest <= 9; + src <= 1; + when "00110110" => + dest <= 1; + src <= 1; + when "00110111" => + dest <= 1; + src <= 37; + when "00111000" => + dest <= 44; + src <= 1; + when "00111001" => + dest <= 77; + src <= 3; + when "00111010" => + dest <= 10; + src <= 48; + when "00111011" => + dest <= 53; + src <= 44; + when "00111100" => + dest <= 1; + src <= 63; + when "00111101" => + dest <= 35; + src <= 1; + when "00111110" => + dest <= 1; + src <= 92; + when "00111111" => + dest <= 26; + src <= 1; + when "01000000" => + dest <= 1; + src <= 1; + when "01000001" => + dest <= 7; + src <= 68; + when "01000010" => + dest <= 59; + src <= 35; + when "01000011" => + dest <= 1; + src <= 1; + when "01000100" => + dest <= 19; + src <= 59; + when "01000101" => + dest <= 1; + src <= 1; + when "01000110" => + dest <= 43; + src <= 77; + when "01000111" => + dest <= 96; + src <= 5; + when "01001000" => + dest <= 78; + src <= 86; + when "01001001" => + dest <= 1; + src <= 93; + when "01001010" => + dest <= 1; + src <= 1; + when "01001011" => + dest <= 1; + src <= 53; + when "01001100" => + dest <= 85; + src <= 1; + when "01001101" => + dest <= 52; + src <= 1; + when "01001110" => + dest <= 1; + src <= 69; + when "01001111" => + dest <= 28; + src <= 16; + when "01010000" => + dest <= 1; + src <= 34; + when "01010001" => + dest <= 39; + src <= 1; + when "01010010" => + dest <= 34; + src <= 1; + when "01010011" => + dest <= 74; + src <= 1; + when "01010100" => + dest <= 1; + src <= 27; + when "01010101" => + dest <= 1; + src <= 50; + when "01010110" => + dest <= 63; + src <= 84; + when "01010111" => + dest <= 1; + src <= 1; + when "01011000" => + dest <= 40; + src <= 73; + when "01011001" => + dest <= 2; + src <= 1; + when "01011010" => + dest <= 50; + src <= 78; + when "01011011" => + dest <= 1; + src <= 38; + when "01011100" => + dest <= 25; + src <= 0; + when "01011101" => + dest <= 30; + src <= 1; + when "01011110" => + dest <= 1; + src <= 49; + when "01011111" => + dest <= 69; + src <= 62; + when "01100000" => + dest <= 16; + src <= 1; + when "01100001" => + dest <= 1; + src <= 1; + when "01100010" => + dest <= 1; + src <= 52; + when "01100011" => + dest <= 29; + src <= 72; + when "01100100" => + dest <= 95; + src <= 87; + when "01100101" => + dest <= 88; + src <= 1; + when "01100110" => + dest <= 1; + src <= 1; + when "01100111" => + dest <= 84; + src <= 43; + when "01101000" => + dest <= 20; + src <= 96; + when "01101001" => + dest <= 73; + src <= 83; + when "01101010" => + dest <= 1; + src <= 1; + when "01101011" => + dest <= 18; + src <= 17; + when "01101100" => + dest <= 62; + src <= 24; + when "01101101" => + dest <= 1; + src <= 82; + when "01101110" => + dest <= 33; + src <= 28; + when "01101111" => + dest <= 1; + src <= 1; + when "01110000" => + dest <= 60; + src <= 39; + when "01110001" => + dest <= 24; + src <= 94; + when "01110010" => + dest <= 1; + src <= 1; + when "01110011" => + dest <= 1; + src <= 40; + when "01110100" => + dest <= 79; + src <= 1; + when "01110101" => + dest <= 15; + src <= 79; + when "01110110" => + dest <= 1; + src <= 1; + when "01110111" => + dest <= 51; + src <= 42; + when "01111000" => + dest <= 1; + src <= 88; + when "01111001" => + dest <= 6; + src <= 1; + when "01111010" => + dest <= 1; + src <= 1; + when "01111011" => + dest <= 1; + src <= 33; + when "01111100" => + dest <= 98; + src <= 97; + when "01111101" => + dest <= 94; + src <= 1; + when "01111110" => + dest <= 1; + src <= 51; + when "01111111" => + dest <= 83; + src <= 1; + when "10000000" => + dest <= 1; + src <= 6; + when "10000001" => + dest <= 72; + src <= 1; + when "10000010" => + dest <= 1; + src <= 14; + when "10000011" => + dest <= 32; + src <= 18; + when "10000100" => + dest <= 70; + src <= 1; + when "10000101" => + dest <= 23; + src <= 29; + when "10000110" => + dest <= 1; + src <= 1; + when "10000111" => + dest <= 89; + src <= 30; + when "10001000" => + dest <= 14; + src <= 1; + when "10001001" => + dest <= 61; + src <= 70; + when "10001010" => + dest <= 1; + src <= 32; + when "10001011" => + dest <= 5; + src <= 89; + when "10001100" => + dest <= 1; + src <= 1; + when "10001101" => + dest <= 1; + src <= 23; + when "10001110" => + dest <= 93; + src <= 98; + when "10001111" => + dest <= 82; + src <= 41; + when "10010000" => + dest <= 1; + src <= 1; + when "10010001" => + dest <= 80; + src <= 7; + when "10010010" => + dest <= 22; + src <= 1; + when "10010011" => + dest <= 71; + src <= 19; + when "10010100" => + dest <= 4; + src <= 20; + when "10010101" => + dest <= 1; + src <= 1; + when "10010110" => + dest <= 92; + src <= 22; + when "10010111" => + dest <= 81; + src <= 80; + when "10011000" => + dest <= 3; + src <= 31; + when "10011001" => + dest <= 1; + src <= 8; + when "10011010" => + dest <= 1; + src <= 10; + when "10011011" => + dest <= 56; + src <= 21; + when "10011100" => + dest <= 45; + src <= 9; + when others => + dest <= 1; + src <= 1; + + end case; + end process; + + end generate NI_NUM1; + + NI_NUM2 : if NI_NUM = 2 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 18; + src <= 2; + when "00000001" => + dest <= 22; + src <= 2; + when "00000010" => + dest <= 2; + src <= 2; + when "00000011" => + dest <= 92; + src <= 2; + when "00000100" => + dest <= 67; + src <= 2; + when "00000101" => + dest <= 58; + src <= 82; + when "00000110" => + dest <= 2; + src <= 12; + when "00000111" => + dest <= 48; + src <= 96; + when "00001000" => + dest <= 90; + src <= 2; + when "00001001" => + dest <= 2; + src <= 68; + when "00001010" => + dest <= 2; + src <= 57; + when "00001011" => + dest <= 12; + src <= 2; + when "00001100" => + dest <= 38; + src <= 2; + when "00001101" => + dest <= 47; + src <= 14; + when "00001110" => + dest <= 56; + src <= 92; + when "00001111" => + dest <= 32; + src <= 47; + when "00010000" => + dest <= 2; + src <= 56; + when "00010001" => + dest <= 2; + src <= 66; + when "00010010" => + dest <= 2; + src <= 2; + when "00010011" => + dest <= 98; + src <= 2; + when "00010100" => + dest <= 77; + src <= 72; + when "00010101" => + dest <= 2; + src <= 76; + when "00010110" => + dest <= 42; + src <= 2; + when "00010111" => + dest <= 91; + src <= 2; + when "00011000" => + dest <= 66; + src <= 67; + when "00011001" => + dest <= 59; + src <= 58; + when "00011010" => + dest <= 2; + src <= 16; + when "00011011" => + dest <= 49; + src <= 13; + when "00011100" => + dest <= 9; + src <= 62; + when "00011101" => + dest <= 2; + src <= 2; + when "00011110" => + dest <= 2; + src <= 37; + when "00011111" => + dest <= 39; + src <= 2; + when "00100000" => + dest <= 14; + src <= 2; + when "00100001" => + dest <= 68; + src <= 5; + when "00100010" => + dest <= 55; + src <= 48; + when "00100011" => + dest <= 37; + src <= 55; + when "00100100" => + dest <= 2; + src <= 65; + when "00100101" => + dest <= 13; + src <= 90; + when "00100110" => + dest <= 2; + src <= 2; + when "00100111" => + dest <= 28; + src <= 75; + when "00101000" => + dest <= 2; + src <= 2; + when "00101001" => + dest <= 2; + src <= 91; + when "00101010" => + dest <= 87; + src <= 2; + when "00101011" => + dest <= 43; + src <= 46; + when "00101100" => + dest <= 88; + src <= 59; + when "00101101" => + dest <= 1; + src <= 77; + when "00101110" => + dest <= 76; + src <= 2; + when "00101111" => + dest <= 2; + src <= 86; + when "00110000" => + dest <= 2; + src <= 3; + when "00110001" => + dest <= 65; + src <= 2; + when "00110010" => + dest <= 69; + src <= 61; + when "00110011" => + dest <= 2; + src <= 27; + when "00110100" => + dest <= 40; + src <= 26; + when "00110101" => + dest <= 0; + src <= 2; + when "00110110" => + dest <= 2; + src <= 2; + when "00110111" => + dest <= 2; + src <= 38; + when "00111000" => + dest <= 45; + src <= 2; + when "00111001" => + dest <= 78; + src <= 4; + when "00111010" => + dest <= 11; + src <= 49; + when "00111011" => + dest <= 54; + src <= 45; + when "00111100" => + dest <= 2; + src <= 64; + when "00111101" => + dest <= 36; + src <= 2; + when "00111110" => + dest <= 2; + src <= 93; + when "00111111" => + dest <= 27; + src <= 2; + when "01000000" => + dest <= 2; + src <= 2; + when "01000001" => + dest <= 8; + src <= 69; + when "01000010" => + dest <= 50; + src <= 36; + when "01000011" => + dest <= 2; + src <= 2; + when "01000100" => + dest <= 10; + src <= 50; + when "01000101" => + dest <= 2; + src <= 2; + when "01000110" => + dest <= 44; + src <= 78; + when "01000111" => + dest <= 97; + src <= 6; + when "01001000" => + dest <= 79; + src <= 87; + when "01001001" => + dest <= 2; + src <= 94; + when "01001010" => + dest <= 2; + src <= 2; + when "01001011" => + dest <= 2; + src <= 54; + when "01001100" => + dest <= 86; + src <= 2; + when "01001101" => + dest <= 53; + src <= 2; + when "01001110" => + dest <= 2; + src <= 60; + when "01001111" => + dest <= 29; + src <= 17; + when "01010000" => + dest <= 2; + src <= 35; + when "01010001" => + dest <= 30; + src <= 2; + when "01010010" => + dest <= 35; + src <= 2; + when "01010011" => + dest <= 75; + src <= 2; + when "01010100" => + dest <= 2; + src <= 28; + when "01010101" => + dest <= 2; + src <= 51; + when "01010110" => + dest <= 64; + src <= 85; + when "01010111" => + dest <= 2; + src <= 2; + when "01011000" => + dest <= 41; + src <= 74; + when "01011001" => + dest <= 3; + src <= 2; + when "01011010" => + dest <= 51; + src <= 79; + when "01011011" => + dest <= 2; + src <= 39; + when "01011100" => + dest <= 26; + src <= 1; + when "01011101" => + dest <= 31; + src <= 2; + when "01011110" => + dest <= 2; + src <= 40; + when "01011111" => + dest <= 60; + src <= 63; + when "01100000" => + dest <= 17; + src <= 2; + when "01100001" => + dest <= 2; + src <= 2; + when "01100010" => + dest <= 2; + src <= 53; + when "01100011" => + dest <= 20; + src <= 73; + when "01100100" => + dest <= 96; + src <= 88; + when "01100101" => + dest <= 89; + src <= 2; + when "01100110" => + dest <= 2; + src <= 2; + when "01100111" => + dest <= 85; + src <= 44; + when "01101000" => + dest <= 21; + src <= 97; + when "01101001" => + dest <= 74; + src <= 84; + when "01101010" => + dest <= 2; + src <= 2; + when "01101011" => + dest <= 19; + src <= 18; + when "01101100" => + dest <= 63; + src <= 25; + when "01101101" => + dest <= 2; + src <= 83; + when "01101110" => + dest <= 34; + src <= 29; + when "01101111" => + dest <= 2; + src <= 2; + when "01110000" => + dest <= 61; + src <= 30; + when "01110001" => + dest <= 25; + src <= 95; + when "01110010" => + dest <= 2; + src <= 2; + when "01110011" => + dest <= 2; + src <= 41; + when "01110100" => + dest <= 70; + src <= 2; + when "01110101" => + dest <= 16; + src <= 70; + when "01110110" => + dest <= 2; + src <= 2; + when "01110111" => + dest <= 52; + src <= 43; + when "01111000" => + dest <= 2; + src <= 89; + when "01111001" => + dest <= 7; + src <= 2; + when "01111010" => + dest <= 2; + src <= 2; + when "01111011" => + dest <= 2; + src <= 34; + when "01111100" => + dest <= 99; + src <= 98; + when "01111101" => + dest <= 95; + src <= 2; + when "01111110" => + dest <= 2; + src <= 52; + when "01111111" => + dest <= 84; + src <= 2; + when "10000000" => + dest <= 2; + src <= 7; + when "10000001" => + dest <= 73; + src <= 2; + when "10000010" => + dest <= 2; + src <= 15; + when "10000011" => + dest <= 33; + src <= 19; + when "10000100" => + dest <= 71; + src <= 2; + when "10000101" => + dest <= 24; + src <= 20; + when "10000110" => + dest <= 2; + src <= 2; + when "10000111" => + dest <= 80; + src <= 31; + when "10001000" => + dest <= 15; + src <= 2; + when "10001001" => + dest <= 62; + src <= 71; + when "10001010" => + dest <= 2; + src <= 33; + when "10001011" => + dest <= 6; + src <= 80; + when "10001100" => + dest <= 2; + src <= 2; + when "10001101" => + dest <= 2; + src <= 24; + when "10001110" => + dest <= 94; + src <= 99; + when "10001111" => + dest <= 83; + src <= 42; + when "10010000" => + dest <= 2; + src <= 2; + when "10010001" => + dest <= 81; + src <= 8; + when "10010010" => + dest <= 23; + src <= 2; + when "10010011" => + dest <= 72; + src <= 10; + when "10010100" => + dest <= 5; + src <= 21; + when "10010101" => + dest <= 2; + src <= 2; + when "10010110" => + dest <= 93; + src <= 23; + when "10010111" => + dest <= 82; + src <= 81; + when "10011000" => + dest <= 4; + src <= 32; + when "10011001" => + dest <= 2; + src <= 9; + when "10011010" => + dest <= 2; + src <= 11; + when "10011011" => + dest <= 57; + src <= 22; + when "10011100" => + dest <= 46; + src <= 0; + when others => + dest <= 2; + src <= 2; + + end case; + end process; + + end generate NI_NUM2; + + NI_NUM3 : if NI_NUM = 3 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 19; + src <= 3; + when "00000001" => + dest <= 23; + src <= 3; + when "00000010" => + dest <= 3; + src <= 3; + when "00000011" => + dest <= 93; + src <= 3; + when "00000100" => + dest <= 68; + src <= 3; + when "00000101" => + dest <= 59; + src <= 83; + when "00000110" => + dest <= 3; + src <= 13; + when "00000111" => + dest <= 49; + src <= 97; + when "00001000" => + dest <= 91; + src <= 3; + when "00001001" => + dest <= 3; + src <= 69; + when "00001010" => + dest <= 3; + src <= 58; + when "00001011" => + dest <= 13; + src <= 3; + when "00001100" => + dest <= 39; + src <= 3; + when "00001101" => + dest <= 48; + src <= 15; + when "00001110" => + dest <= 57; + src <= 93; + when "00001111" => + dest <= 33; + src <= 48; + when "00010000" => + dest <= 3; + src <= 57; + when "00010001" => + dest <= 3; + src <= 67; + when "00010010" => + dest <= 3; + src <= 3; + when "00010011" => + dest <= 99; + src <= 3; + when "00010100" => + dest <= 78; + src <= 73; + when "00010101" => + dest <= 3; + src <= 77; + when "00010110" => + dest <= 43; + src <= 3; + when "00010111" => + dest <= 92; + src <= 3; + when "00011000" => + dest <= 67; + src <= 68; + when "00011001" => + dest <= 50; + src <= 59; + when "00011010" => + dest <= 3; + src <= 17; + when "00011011" => + dest <= 40; + src <= 14; + when "00011100" => + dest <= 0; + src <= 63; + when "00011101" => + dest <= 3; + src <= 3; + when "00011110" => + dest <= 3; + src <= 38; + when "00011111" => + dest <= 30; + src <= 3; + when "00100000" => + dest <= 15; + src <= 3; + when "00100001" => + dest <= 69; + src <= 6; + when "00100010" => + dest <= 56; + src <= 49; + when "00100011" => + dest <= 38; + src <= 56; + when "00100100" => + dest <= 3; + src <= 66; + when "00100101" => + dest <= 14; + src <= 91; + when "00100110" => + dest <= 3; + src <= 3; + when "00100111" => + dest <= 29; + src <= 76; + when "00101000" => + dest <= 3; + src <= 3; + when "00101001" => + dest <= 3; + src <= 92; + when "00101010" => + dest <= 88; + src <= 3; + when "00101011" => + dest <= 44; + src <= 47; + when "00101100" => + dest <= 89; + src <= 50; + when "00101101" => + dest <= 2; + src <= 78; + when "00101110" => + dest <= 77; + src <= 3; + when "00101111" => + dest <= 3; + src <= 87; + when "00110000" => + dest <= 3; + src <= 4; + when "00110001" => + dest <= 66; + src <= 3; + when "00110010" => + dest <= 60; + src <= 62; + when "00110011" => + dest <= 3; + src <= 28; + when "00110100" => + dest <= 41; + src <= 27; + when "00110101" => + dest <= 1; + src <= 3; + when "00110110" => + dest <= 3; + src <= 3; + when "00110111" => + dest <= 3; + src <= 39; + when "00111000" => + dest <= 46; + src <= 3; + when "00111001" => + dest <= 79; + src <= 5; + when "00111010" => + dest <= 12; + src <= 40; + when "00111011" => + dest <= 55; + src <= 46; + when "00111100" => + dest <= 3; + src <= 65; + when "00111101" => + dest <= 37; + src <= 3; + when "00111110" => + dest <= 3; + src <= 94; + when "00111111" => + dest <= 28; + src <= 3; + when "01000000" => + dest <= 3; + src <= 3; + when "01000001" => + dest <= 9; + src <= 60; + when "01000010" => + dest <= 51; + src <= 37; + when "01000011" => + dest <= 3; + src <= 3; + when "01000100" => + dest <= 11; + src <= 51; + when "01000101" => + dest <= 3; + src <= 3; + when "01000110" => + dest <= 45; + src <= 79; + when "01000111" => + dest <= 98; + src <= 7; + when "01001000" => + dest <= 70; + src <= 88; + when "01001001" => + dest <= 3; + src <= 95; + when "01001010" => + dest <= 3; + src <= 3; + when "01001011" => + dest <= 3; + src <= 55; + when "01001100" => + dest <= 87; + src <= 3; + when "01001101" => + dest <= 54; + src <= 3; + when "01001110" => + dest <= 3; + src <= 61; + when "01001111" => + dest <= 20; + src <= 18; + when "01010000" => + dest <= 3; + src <= 36; + when "01010001" => + dest <= 31; + src <= 3; + when "01010010" => + dest <= 36; + src <= 3; + when "01010011" => + dest <= 76; + src <= 3; + when "01010100" => + dest <= 3; + src <= 29; + when "01010101" => + dest <= 3; + src <= 52; + when "01010110" => + dest <= 65; + src <= 86; + when "01010111" => + dest <= 3; + src <= 3; + when "01011000" => + dest <= 42; + src <= 75; + when "01011001" => + dest <= 4; + src <= 3; + when "01011010" => + dest <= 52; + src <= 70; + when "01011011" => + dest <= 3; + src <= 30; + when "01011100" => + dest <= 27; + src <= 2; + when "01011101" => + dest <= 32; + src <= 3; + when "01011110" => + dest <= 3; + src <= 41; + when "01011111" => + dest <= 61; + src <= 64; + when "01100000" => + dest <= 18; + src <= 3; + when "01100001" => + dest <= 3; + src <= 3; + when "01100010" => + dest <= 3; + src <= 54; + when "01100011" => + dest <= 21; + src <= 74; + when "01100100" => + dest <= 97; + src <= 89; + when "01100101" => + dest <= 80; + src <= 3; + when "01100110" => + dest <= 3; + src <= 3; + when "01100111" => + dest <= 86; + src <= 45; + when "01101000" => + dest <= 22; + src <= 98; + when "01101001" => + dest <= 75; + src <= 85; + when "01101010" => + dest <= 3; + src <= 3; + when "01101011" => + dest <= 10; + src <= 19; + when "01101100" => + dest <= 64; + src <= 26; + when "01101101" => + dest <= 3; + src <= 84; + when "01101110" => + dest <= 35; + src <= 20; + when "01101111" => + dest <= 3; + src <= 3; + when "01110000" => + dest <= 62; + src <= 31; + when "01110001" => + dest <= 26; + src <= 96; + when "01110010" => + dest <= 3; + src <= 3; + when "01110011" => + dest <= 3; + src <= 42; + when "01110100" => + dest <= 71; + src <= 3; + when "01110101" => + dest <= 17; + src <= 71; + when "01110110" => + dest <= 3; + src <= 3; + when "01110111" => + dest <= 53; + src <= 44; + when "01111000" => + dest <= 3; + src <= 80; + when "01111001" => + dest <= 8; + src <= 3; + when "01111010" => + dest <= 3; + src <= 3; + when "01111011" => + dest <= 3; + src <= 35; + when "01111100" => + dest <= 90; + src <= 99; + when "01111101" => + dest <= 96; + src <= 3; + when "01111110" => + dest <= 3; + src <= 53; + when "01111111" => + dest <= 85; + src <= 3; + when "10000000" => + dest <= 3; + src <= 8; + when "10000001" => + dest <= 74; + src <= 3; + when "10000010" => + dest <= 3; + src <= 16; + when "10000011" => + dest <= 34; + src <= 10; + when "10000100" => + dest <= 72; + src <= 3; + when "10000101" => + dest <= 25; + src <= 21; + when "10000110" => + dest <= 3; + src <= 3; + when "10000111" => + dest <= 81; + src <= 32; + when "10001000" => + dest <= 16; + src <= 3; + when "10001001" => + dest <= 63; + src <= 72; + when "10001010" => + dest <= 3; + src <= 34; + when "10001011" => + dest <= 7; + src <= 81; + when "10001100" => + dest <= 3; + src <= 3; + when "10001101" => + dest <= 3; + src <= 25; + when "10001110" => + dest <= 95; + src <= 90; + when "10001111" => + dest <= 84; + src <= 43; + when "10010000" => + dest <= 3; + src <= 3; + when "10010001" => + dest <= 82; + src <= 9; + when "10010010" => + dest <= 24; + src <= 3; + when "10010011" => + dest <= 73; + src <= 11; + when "10010100" => + dest <= 6; + src <= 22; + when "10010101" => + dest <= 3; + src <= 3; + when "10010110" => + dest <= 94; + src <= 24; + when "10010111" => + dest <= 83; + src <= 82; + when "10011000" => + dest <= 5; + src <= 33; + when "10011001" => + dest <= 3; + src <= 0; + when "10011010" => + dest <= 3; + src <= 12; + when "10011011" => + dest <= 58; + src <= 23; + when "10011100" => + dest <= 47; + src <= 1; + when others => + dest <= 3; + src <= 3; + + end case; + end process; + + end generate NI_NUM3; + + NI_NUM4 : if NI_NUM = 4 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 10; + src <= 4; + when "00000001" => + dest <= 24; + src <= 4; + when "00000010" => + dest <= 4; + src <= 4; + when "00000011" => + dest <= 94; + src <= 4; + when "00000100" => + dest <= 69; + src <= 4; + when "00000101" => + dest <= 50; + src <= 84; + when "00000110" => + dest <= 4; + src <= 14; + when "00000111" => + dest <= 40; + src <= 98; + when "00001000" => + dest <= 92; + src <= 4; + when "00001001" => + dest <= 4; + src <= 60; + when "00001010" => + dest <= 4; + src <= 59; + when "00001011" => + dest <= 14; + src <= 4; + when "00001100" => + dest <= 30; + src <= 4; + when "00001101" => + dest <= 49; + src <= 16; + when "00001110" => + dest <= 58; + src <= 94; + when "00001111" => + dest <= 34; + src <= 49; + when "00010000" => + dest <= 4; + src <= 58; + when "00010001" => + dest <= 4; + src <= 68; + when "00010010" => + dest <= 4; + src <= 4; + when "00010011" => + dest <= 90; + src <= 4; + when "00010100" => + dest <= 79; + src <= 74; + when "00010101" => + dest <= 4; + src <= 78; + when "00010110" => + dest <= 44; + src <= 4; + when "00010111" => + dest <= 93; + src <= 4; + when "00011000" => + dest <= 68; + src <= 69; + when "00011001" => + dest <= 51; + src <= 50; + when "00011010" => + dest <= 4; + src <= 18; + when "00011011" => + dest <= 41; + src <= 15; + when "00011100" => + dest <= 1; + src <= 64; + when "00011101" => + dest <= 4; + src <= 4; + when "00011110" => + dest <= 4; + src <= 39; + when "00011111" => + dest <= 31; + src <= 4; + when "00100000" => + dest <= 16; + src <= 4; + when "00100001" => + dest <= 60; + src <= 7; + when "00100010" => + dest <= 57; + src <= 40; + when "00100011" => + dest <= 39; + src <= 57; + when "00100100" => + dest <= 4; + src <= 67; + when "00100101" => + dest <= 15; + src <= 92; + when "00100110" => + dest <= 4; + src <= 4; + when "00100111" => + dest <= 20; + src <= 77; + when "00101000" => + dest <= 4; + src <= 4; + when "00101001" => + dest <= 4; + src <= 93; + when "00101010" => + dest <= 89; + src <= 4; + when "00101011" => + dest <= 45; + src <= 48; + when "00101100" => + dest <= 80; + src <= 51; + when "00101101" => + dest <= 3; + src <= 79; + when "00101110" => + dest <= 78; + src <= 4; + when "00101111" => + dest <= 4; + src <= 88; + when "00110000" => + dest <= 4; + src <= 5; + when "00110001" => + dest <= 67; + src <= 4; + when "00110010" => + dest <= 61; + src <= 63; + when "00110011" => + dest <= 4; + src <= 29; + when "00110100" => + dest <= 42; + src <= 28; + when "00110101" => + dest <= 2; + src <= 4; + when "00110110" => + dest <= 4; + src <= 4; + when "00110111" => + dest <= 4; + src <= 30; + when "00111000" => + dest <= 47; + src <= 4; + when "00111001" => + dest <= 70; + src <= 6; + when "00111010" => + dest <= 13; + src <= 41; + when "00111011" => + dest <= 56; + src <= 47; + when "00111100" => + dest <= 4; + src <= 66; + when "00111101" => + dest <= 38; + src <= 4; + when "00111110" => + dest <= 4; + src <= 95; + when "00111111" => + dest <= 29; + src <= 4; + when "01000000" => + dest <= 4; + src <= 4; + when "01000001" => + dest <= 0; + src <= 61; + when "01000010" => + dest <= 52; + src <= 38; + when "01000011" => + dest <= 4; + src <= 4; + when "01000100" => + dest <= 12; + src <= 52; + when "01000101" => + dest <= 4; + src <= 4; + when "01000110" => + dest <= 46; + src <= 70; + when "01000111" => + dest <= 99; + src <= 8; + when "01001000" => + dest <= 71; + src <= 89; + when "01001001" => + dest <= 4; + src <= 96; + when "01001010" => + dest <= 4; + src <= 4; + when "01001011" => + dest <= 4; + src <= 56; + when "01001100" => + dest <= 88; + src <= 4; + when "01001101" => + dest <= 55; + src <= 4; + when "01001110" => + dest <= 4; + src <= 62; + when "01001111" => + dest <= 21; + src <= 19; + when "01010000" => + dest <= 4; + src <= 37; + when "01010001" => + dest <= 32; + src <= 4; + when "01010010" => + dest <= 37; + src <= 4; + when "01010011" => + dest <= 77; + src <= 4; + when "01010100" => + dest <= 4; + src <= 20; + when "01010101" => + dest <= 4; + src <= 53; + when "01010110" => + dest <= 66; + src <= 87; + when "01010111" => + dest <= 4; + src <= 4; + when "01011000" => + dest <= 43; + src <= 76; + when "01011001" => + dest <= 5; + src <= 4; + when "01011010" => + dest <= 53; + src <= 71; + when "01011011" => + dest <= 4; + src <= 31; + when "01011100" => + dest <= 28; + src <= 3; + when "01011101" => + dest <= 33; + src <= 4; + when "01011110" => + dest <= 4; + src <= 42; + when "01011111" => + dest <= 62; + src <= 65; + when "01100000" => + dest <= 19; + src <= 4; + when "01100001" => + dest <= 4; + src <= 4; + when "01100010" => + dest <= 4; + src <= 55; + when "01100011" => + dest <= 22; + src <= 75; + when "01100100" => + dest <= 98; + src <= 80; + when "01100101" => + dest <= 81; + src <= 4; + when "01100110" => + dest <= 4; + src <= 4; + when "01100111" => + dest <= 87; + src <= 46; + when "01101000" => + dest <= 23; + src <= 99; + when "01101001" => + dest <= 76; + src <= 86; + when "01101010" => + dest <= 4; + src <= 4; + when "01101011" => + dest <= 11; + src <= 10; + when "01101100" => + dest <= 65; + src <= 27; + when "01101101" => + dest <= 4; + src <= 85; + when "01101110" => + dest <= 36; + src <= 21; + when "01101111" => + dest <= 4; + src <= 4; + when "01110000" => + dest <= 63; + src <= 32; + when "01110001" => + dest <= 27; + src <= 97; + when "01110010" => + dest <= 4; + src <= 4; + when "01110011" => + dest <= 4; + src <= 43; + when "01110100" => + dest <= 72; + src <= 4; + when "01110101" => + dest <= 18; + src <= 72; + when "01110110" => + dest <= 4; + src <= 4; + when "01110111" => + dest <= 54; + src <= 45; + when "01111000" => + dest <= 4; + src <= 81; + when "01111001" => + dest <= 9; + src <= 4; + when "01111010" => + dest <= 4; + src <= 4; + when "01111011" => + dest <= 4; + src <= 36; + when "01111100" => + dest <= 91; + src <= 90; + when "01111101" => + dest <= 97; + src <= 4; + when "01111110" => + dest <= 4; + src <= 54; + when "01111111" => + dest <= 86; + src <= 4; + when "10000000" => + dest <= 4; + src <= 9; + when "10000001" => + dest <= 75; + src <= 4; + when "10000010" => + dest <= 4; + src <= 17; + when "10000011" => + dest <= 35; + src <= 11; + when "10000100" => + dest <= 73; + src <= 4; + when "10000101" => + dest <= 26; + src <= 22; + when "10000110" => + dest <= 4; + src <= 4; + when "10000111" => + dest <= 82; + src <= 33; + when "10001000" => + dest <= 17; + src <= 4; + when "10001001" => + dest <= 64; + src <= 73; + when "10001010" => + dest <= 4; + src <= 35; + when "10001011" => + dest <= 8; + src <= 82; + when "10001100" => + dest <= 4; + src <= 4; + when "10001101" => + dest <= 4; + src <= 26; + when "10001110" => + dest <= 96; + src <= 91; + when "10001111" => + dest <= 85; + src <= 44; + when "10010000" => + dest <= 4; + src <= 4; + when "10010001" => + dest <= 83; + src <= 0; + when "10010010" => + dest <= 25; + src <= 4; + when "10010011" => + dest <= 74; + src <= 12; + when "10010100" => + dest <= 7; + src <= 23; + when "10010101" => + dest <= 4; + src <= 4; + when "10010110" => + dest <= 95; + src <= 25; + when "10010111" => + dest <= 84; + src <= 83; + when "10011000" => + dest <= 6; + src <= 34; + when "10011001" => + dest <= 4; + src <= 1; + when "10011010" => + dest <= 4; + src <= 13; + when "10011011" => + dest <= 59; + src <= 24; + when "10011100" => + dest <= 48; + src <= 2; + when others => + dest <= 4; + src <= 4; + + end case; + end process; + + end generate NI_NUM4; + + NI_NUM5 : if NI_NUM = 5 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 11; + src <= 5; + when "00000001" => + dest <= 25; + src <= 5; + when "00000010" => + dest <= 5; + src <= 5; + when "00000011" => + dest <= 95; + src <= 5; + when "00000100" => + dest <= 60; + src <= 5; + when "00000101" => + dest <= 51; + src <= 85; + when "00000110" => + dest <= 5; + src <= 15; + when "00000111" => + dest <= 41; + src <= 99; + when "00001000" => + dest <= 93; + src <= 5; + when "00001001" => + dest <= 5; + src <= 61; + when "00001010" => + dest <= 5; + src <= 50; + when "00001011" => + dest <= 15; + src <= 5; + when "00001100" => + dest <= 31; + src <= 5; + when "00001101" => + dest <= 40; + src <= 17; + when "00001110" => + dest <= 59; + src <= 95; + when "00001111" => + dest <= 35; + src <= 40; + when "00010000" => + dest <= 5; + src <= 59; + when "00010001" => + dest <= 5; + src <= 69; + when "00010010" => + dest <= 5; + src <= 5; + when "00010011" => + dest <= 91; + src <= 5; + when "00010100" => + dest <= 70; + src <= 75; + when "00010101" => + dest <= 5; + src <= 79; + when "00010110" => + dest <= 45; + src <= 5; + when "00010111" => + dest <= 94; + src <= 5; + when "00011000" => + dest <= 69; + src <= 60; + when "00011001" => + dest <= 52; + src <= 51; + when "00011010" => + dest <= 5; + src <= 19; + when "00011011" => + dest <= 42; + src <= 16; + when "00011100" => + dest <= 2; + src <= 65; + when "00011101" => + dest <= 5; + src <= 5; + when "00011110" => + dest <= 5; + src <= 30; + when "00011111" => + dest <= 32; + src <= 5; + when "00100000" => + dest <= 17; + src <= 5; + when "00100001" => + dest <= 61; + src <= 8; + when "00100010" => + dest <= 58; + src <= 41; + when "00100011" => + dest <= 30; + src <= 58; + when "00100100" => + dest <= 5; + src <= 68; + when "00100101" => + dest <= 16; + src <= 93; + when "00100110" => + dest <= 5; + src <= 5; + when "00100111" => + dest <= 21; + src <= 78; + when "00101000" => + dest <= 5; + src <= 5; + when "00101001" => + dest <= 5; + src <= 94; + when "00101010" => + dest <= 80; + src <= 5; + when "00101011" => + dest <= 46; + src <= 49; + when "00101100" => + dest <= 81; + src <= 52; + when "00101101" => + dest <= 4; + src <= 70; + when "00101110" => + dest <= 79; + src <= 5; + when "00101111" => + dest <= 5; + src <= 89; + when "00110000" => + dest <= 5; + src <= 6; + when "00110001" => + dest <= 68; + src <= 5; + when "00110010" => + dest <= 62; + src <= 64; + when "00110011" => + dest <= 5; + src <= 20; + when "00110100" => + dest <= 43; + src <= 29; + when "00110101" => + dest <= 3; + src <= 5; + when "00110110" => + dest <= 5; + src <= 5; + when "00110111" => + dest <= 5; + src <= 31; + when "00111000" => + dest <= 48; + src <= 5; + when "00111001" => + dest <= 71; + src <= 7; + when "00111010" => + dest <= 14; + src <= 42; + when "00111011" => + dest <= 57; + src <= 48; + when "00111100" => + dest <= 5; + src <= 67; + when "00111101" => + dest <= 39; + src <= 5; + when "00111110" => + dest <= 5; + src <= 96; + when "00111111" => + dest <= 20; + src <= 5; + when "01000000" => + dest <= 5; + src <= 5; + when "01000001" => + dest <= 1; + src <= 62; + when "01000010" => + dest <= 53; + src <= 39; + when "01000011" => + dest <= 5; + src <= 5; + when "01000100" => + dest <= 13; + src <= 53; + when "01000101" => + dest <= 5; + src <= 5; + when "01000110" => + dest <= 47; + src <= 71; + when "01000111" => + dest <= 90; + src <= 9; + when "01001000" => + dest <= 72; + src <= 80; + when "01001001" => + dest <= 5; + src <= 97; + when "01001010" => + dest <= 5; + src <= 5; + when "01001011" => + dest <= 5; + src <= 57; + when "01001100" => + dest <= 89; + src <= 5; + when "01001101" => + dest <= 56; + src <= 5; + when "01001110" => + dest <= 5; + src <= 63; + when "01001111" => + dest <= 22; + src <= 10; + when "01010000" => + dest <= 5; + src <= 38; + when "01010001" => + dest <= 33; + src <= 5; + when "01010010" => + dest <= 38; + src <= 5; + when "01010011" => + dest <= 78; + src <= 5; + when "01010100" => + dest <= 5; + src <= 21; + when "01010101" => + dest <= 5; + src <= 54; + when "01010110" => + dest <= 67; + src <= 88; + when "01010111" => + dest <= 5; + src <= 5; + when "01011000" => + dest <= 44; + src <= 77; + when "01011001" => + dest <= 6; + src <= 5; + when "01011010" => + dest <= 54; + src <= 72; + when "01011011" => + dest <= 5; + src <= 32; + when "01011100" => + dest <= 29; + src <= 4; + when "01011101" => + dest <= 34; + src <= 5; + when "01011110" => + dest <= 5; + src <= 43; + when "01011111" => + dest <= 63; + src <= 66; + when "01100000" => + dest <= 10; + src <= 5; + when "01100001" => + dest <= 5; + src <= 5; + when "01100010" => + dest <= 5; + src <= 56; + when "01100011" => + dest <= 23; + src <= 76; + when "01100100" => + dest <= 99; + src <= 81; + when "01100101" => + dest <= 82; + src <= 5; + when "01100110" => + dest <= 5; + src <= 5; + when "01100111" => + dest <= 88; + src <= 47; + when "01101000" => + dest <= 24; + src <= 90; + when "01101001" => + dest <= 77; + src <= 87; + when "01101010" => + dest <= 5; + src <= 5; + when "01101011" => + dest <= 12; + src <= 11; + when "01101100" => + dest <= 66; + src <= 28; + when "01101101" => + dest <= 5; + src <= 86; + when "01101110" => + dest <= 37; + src <= 22; + when "01101111" => + dest <= 5; + src <= 5; + when "01110000" => + dest <= 64; + src <= 33; + when "01110001" => + dest <= 28; + src <= 98; + when "01110010" => + dest <= 5; + src <= 5; + when "01110011" => + dest <= 5; + src <= 44; + when "01110100" => + dest <= 73; + src <= 5; + when "01110101" => + dest <= 19; + src <= 73; + when "01110110" => + dest <= 5; + src <= 5; + when "01110111" => + dest <= 55; + src <= 46; + when "01111000" => + dest <= 5; + src <= 82; + when "01111001" => + dest <= 0; + src <= 5; + when "01111010" => + dest <= 5; + src <= 5; + when "01111011" => + dest <= 5; + src <= 37; + when "01111100" => + dest <= 92; + src <= 91; + when "01111101" => + dest <= 98; + src <= 5; + when "01111110" => + dest <= 5; + src <= 55; + when "01111111" => + dest <= 87; + src <= 5; + when "10000000" => + dest <= 5; + src <= 0; + when "10000001" => + dest <= 76; + src <= 5; + when "10000010" => + dest <= 5; + src <= 18; + when "10000011" => + dest <= 36; + src <= 12; + when "10000100" => + dest <= 74; + src <= 5; + when "10000101" => + dest <= 27; + src <= 23; + when "10000110" => + dest <= 5; + src <= 5; + when "10000111" => + dest <= 83; + src <= 34; + when "10001000" => + dest <= 18; + src <= 5; + when "10001001" => + dest <= 65; + src <= 74; + when "10001010" => + dest <= 5; + src <= 36; + when "10001011" => + dest <= 9; + src <= 83; + when "10001100" => + dest <= 5; + src <= 5; + when "10001101" => + dest <= 5; + src <= 27; + when "10001110" => + dest <= 97; + src <= 92; + when "10001111" => + dest <= 86; + src <= 45; + when "10010000" => + dest <= 5; + src <= 5; + when "10010001" => + dest <= 84; + src <= 1; + when "10010010" => + dest <= 26; + src <= 5; + when "10010011" => + dest <= 75; + src <= 13; + when "10010100" => + dest <= 8; + src <= 24; + when "10010101" => + dest <= 5; + src <= 5; + when "10010110" => + dest <= 96; + src <= 26; + when "10010111" => + dest <= 85; + src <= 84; + when "10011000" => + dest <= 7; + src <= 35; + when "10011001" => + dest <= 5; + src <= 2; + when "10011010" => + dest <= 5; + src <= 14; + when "10011011" => + dest <= 50; + src <= 25; + when "10011100" => + dest <= 49; + src <= 3; + when others => + dest <= 5; + src <= 5; + + end case; + end process; + + end generate NI_NUM5; + + NI_NUM6 : if NI_NUM = 6 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 12; + src <= 6; + when "00000001" => + dest <= 26; + src <= 6; + when "00000010" => + dest <= 6; + src <= 6; + when "00000011" => + dest <= 96; + src <= 6; + when "00000100" => + dest <= 61; + src <= 6; + when "00000101" => + dest <= 52; + src <= 86; + when "00000110" => + dest <= 6; + src <= 16; + when "00000111" => + dest <= 42; + src <= 90; + when "00001000" => + dest <= 94; + src <= 6; + when "00001001" => + dest <= 6; + src <= 62; + when "00001010" => + dest <= 6; + src <= 51; + when "00001011" => + dest <= 16; + src <= 6; + when "00001100" => + dest <= 32; + src <= 6; + when "00001101" => + dest <= 41; + src <= 18; + when "00001110" => + dest <= 50; + src <= 96; + when "00001111" => + dest <= 36; + src <= 41; + when "00010000" => + dest <= 6; + src <= 50; + when "00010001" => + dest <= 6; + src <= 60; + when "00010010" => + dest <= 6; + src <= 6; + when "00010011" => + dest <= 92; + src <= 6; + when "00010100" => + dest <= 71; + src <= 76; + when "00010101" => + dest <= 6; + src <= 70; + when "00010110" => + dest <= 46; + src <= 6; + when "00010111" => + dest <= 95; + src <= 6; + when "00011000" => + dest <= 60; + src <= 61; + when "00011001" => + dest <= 53; + src <= 52; + when "00011010" => + dest <= 6; + src <= 10; + when "00011011" => + dest <= 43; + src <= 17; + when "00011100" => + dest <= 3; + src <= 66; + when "00011101" => + dest <= 6; + src <= 6; + when "00011110" => + dest <= 6; + src <= 31; + when "00011111" => + dest <= 33; + src <= 6; + when "00100000" => + dest <= 18; + src <= 6; + when "00100001" => + dest <= 62; + src <= 9; + when "00100010" => + dest <= 59; + src <= 42; + when "00100011" => + dest <= 31; + src <= 59; + when "00100100" => + dest <= 6; + src <= 69; + when "00100101" => + dest <= 17; + src <= 94; + when "00100110" => + dest <= 6; + src <= 6; + when "00100111" => + dest <= 22; + src <= 79; + when "00101000" => + dest <= 6; + src <= 6; + when "00101001" => + dest <= 6; + src <= 95; + when "00101010" => + dest <= 81; + src <= 6; + when "00101011" => + dest <= 47; + src <= 40; + when "00101100" => + dest <= 82; + src <= 53; + when "00101101" => + dest <= 5; + src <= 71; + when "00101110" => + dest <= 70; + src <= 6; + when "00101111" => + dest <= 6; + src <= 80; + when "00110000" => + dest <= 6; + src <= 7; + when "00110001" => + dest <= 69; + src <= 6; + when "00110010" => + dest <= 63; + src <= 65; + when "00110011" => + dest <= 6; + src <= 21; + when "00110100" => + dest <= 44; + src <= 20; + when "00110101" => + dest <= 4; + src <= 6; + when "00110110" => + dest <= 6; + src <= 6; + when "00110111" => + dest <= 6; + src <= 32; + when "00111000" => + dest <= 49; + src <= 6; + when "00111001" => + dest <= 72; + src <= 8; + when "00111010" => + dest <= 15; + src <= 43; + when "00111011" => + dest <= 58; + src <= 49; + when "00111100" => + dest <= 6; + src <= 68; + when "00111101" => + dest <= 30; + src <= 6; + when "00111110" => + dest <= 6; + src <= 97; + when "00111111" => + dest <= 21; + src <= 6; + when "01000000" => + dest <= 6; + src <= 6; + when "01000001" => + dest <= 2; + src <= 63; + when "01000010" => + dest <= 54; + src <= 30; + when "01000011" => + dest <= 6; + src <= 6; + when "01000100" => + dest <= 14; + src <= 54; + when "01000101" => + dest <= 6; + src <= 6; + when "01000110" => + dest <= 48; + src <= 72; + when "01000111" => + dest <= 91; + src <= 0; + when "01001000" => + dest <= 73; + src <= 81; + when "01001001" => + dest <= 6; + src <= 98; + when "01001010" => + dest <= 6; + src <= 6; + when "01001011" => + dest <= 6; + src <= 58; + when "01001100" => + dest <= 80; + src <= 6; + when "01001101" => + dest <= 57; + src <= 6; + when "01001110" => + dest <= 6; + src <= 64; + when "01001111" => + dest <= 23; + src <= 11; + when "01010000" => + dest <= 6; + src <= 39; + when "01010001" => + dest <= 34; + src <= 6; + when "01010010" => + dest <= 39; + src <= 6; + when "01010011" => + dest <= 79; + src <= 6; + when "01010100" => + dest <= 6; + src <= 22; + when "01010101" => + dest <= 6; + src <= 55; + when "01010110" => + dest <= 68; + src <= 89; + when "01010111" => + dest <= 6; + src <= 6; + when "01011000" => + dest <= 45; + src <= 78; + when "01011001" => + dest <= 7; + src <= 6; + when "01011010" => + dest <= 55; + src <= 73; + when "01011011" => + dest <= 6; + src <= 33; + when "01011100" => + dest <= 20; + src <= 5; + when "01011101" => + dest <= 35; + src <= 6; + when "01011110" => + dest <= 6; + src <= 44; + when "01011111" => + dest <= 64; + src <= 67; + when "01100000" => + dest <= 11; + src <= 6; + when "01100001" => + dest <= 6; + src <= 6; + when "01100010" => + dest <= 6; + src <= 57; + when "01100011" => + dest <= 24; + src <= 77; + when "01100100" => + dest <= 90; + src <= 82; + when "01100101" => + dest <= 83; + src <= 6; + when "01100110" => + dest <= 6; + src <= 6; + when "01100111" => + dest <= 89; + src <= 48; + when "01101000" => + dest <= 25; + src <= 91; + when "01101001" => + dest <= 78; + src <= 88; + when "01101010" => + dest <= 6; + src <= 6; + when "01101011" => + dest <= 13; + src <= 12; + when "01101100" => + dest <= 67; + src <= 29; + when "01101101" => + dest <= 6; + src <= 87; + when "01101110" => + dest <= 38; + src <= 23; + when "01101111" => + dest <= 6; + src <= 6; + when "01110000" => + dest <= 65; + src <= 34; + when "01110001" => + dest <= 29; + src <= 99; + when "01110010" => + dest <= 6; + src <= 6; + when "01110011" => + dest <= 6; + src <= 45; + when "01110100" => + dest <= 74; + src <= 6; + when "01110101" => + dest <= 10; + src <= 74; + when "01110110" => + dest <= 6; + src <= 6; + when "01110111" => + dest <= 56; + src <= 47; + when "01111000" => + dest <= 6; + src <= 83; + when "01111001" => + dest <= 1; + src <= 6; + when "01111010" => + dest <= 6; + src <= 6; + when "01111011" => + dest <= 6; + src <= 38; + when "01111100" => + dest <= 93; + src <= 92; + when "01111101" => + dest <= 99; + src <= 6; + when "01111110" => + dest <= 6; + src <= 56; + when "01111111" => + dest <= 88; + src <= 6; + when "10000000" => + dest <= 6; + src <= 1; + when "10000001" => + dest <= 77; + src <= 6; + when "10000010" => + dest <= 6; + src <= 19; + when "10000011" => + dest <= 37; + src <= 13; + when "10000100" => + dest <= 75; + src <= 6; + when "10000101" => + dest <= 28; + src <= 24; + when "10000110" => + dest <= 6; + src <= 6; + when "10000111" => + dest <= 84; + src <= 35; + when "10001000" => + dest <= 19; + src <= 6; + when "10001001" => + dest <= 66; + src <= 75; + when "10001010" => + dest <= 6; + src <= 37; + when "10001011" => + dest <= 0; + src <= 84; + when "10001100" => + dest <= 6; + src <= 6; + when "10001101" => + dest <= 6; + src <= 28; + when "10001110" => + dest <= 98; + src <= 93; + when "10001111" => + dest <= 87; + src <= 46; + when "10010000" => + dest <= 6; + src <= 6; + when "10010001" => + dest <= 85; + src <= 2; + when "10010010" => + dest <= 27; + src <= 6; + when "10010011" => + dest <= 76; + src <= 14; + when "10010100" => + dest <= 9; + src <= 25; + when "10010101" => + dest <= 6; + src <= 6; + when "10010110" => + dest <= 97; + src <= 27; + when "10010111" => + dest <= 86; + src <= 85; + when "10011000" => + dest <= 8; + src <= 36; + when "10011001" => + dest <= 6; + src <= 3; + when "10011010" => + dest <= 6; + src <= 15; + when "10011011" => + dest <= 51; + src <= 26; + when "10011100" => + dest <= 40; + src <= 4; + when others => + dest <= 6; + src <= 6; + + end case; + end process; + + end generate NI_NUM6; + + NI_NUM7 : if NI_NUM = 7 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 13; + src <= 7; + when "00000001" => + dest <= 27; + src <= 7; + when "00000010" => + dest <= 7; + src <= 7; + when "00000011" => + dest <= 97; + src <= 7; + when "00000100" => + dest <= 62; + src <= 7; + when "00000101" => + dest <= 53; + src <= 87; + when "00000110" => + dest <= 7; + src <= 17; + when "00000111" => + dest <= 43; + src <= 91; + when "00001000" => + dest <= 95; + src <= 7; + when "00001001" => + dest <= 7; + src <= 63; + when "00001010" => + dest <= 7; + src <= 52; + when "00001011" => + dest <= 17; + src <= 7; + when "00001100" => + dest <= 33; + src <= 7; + when "00001101" => + dest <= 42; + src <= 19; + when "00001110" => + dest <= 51; + src <= 97; + when "00001111" => + dest <= 37; + src <= 42; + when "00010000" => + dest <= 7; + src <= 51; + when "00010001" => + dest <= 7; + src <= 61; + when "00010010" => + dest <= 7; + src <= 7; + when "00010011" => + dest <= 93; + src <= 7; + when "00010100" => + dest <= 72; + src <= 77; + when "00010101" => + dest <= 7; + src <= 71; + when "00010110" => + dest <= 47; + src <= 7; + when "00010111" => + dest <= 96; + src <= 7; + when "00011000" => + dest <= 61; + src <= 62; + when "00011001" => + dest <= 54; + src <= 53; + when "00011010" => + dest <= 7; + src <= 11; + when "00011011" => + dest <= 44; + src <= 18; + when "00011100" => + dest <= 4; + src <= 67; + when "00011101" => + dest <= 7; + src <= 7; + when "00011110" => + dest <= 7; + src <= 32; + when "00011111" => + dest <= 34; + src <= 7; + when "00100000" => + dest <= 19; + src <= 7; + when "00100001" => + dest <= 63; + src <= 0; + when "00100010" => + dest <= 50; + src <= 43; + when "00100011" => + dest <= 32; + src <= 50; + when "00100100" => + dest <= 7; + src <= 60; + when "00100101" => + dest <= 18; + src <= 95; + when "00100110" => + dest <= 7; + src <= 7; + when "00100111" => + dest <= 23; + src <= 70; + when "00101000" => + dest <= 7; + src <= 7; + when "00101001" => + dest <= 7; + src <= 96; + when "00101010" => + dest <= 82; + src <= 7; + when "00101011" => + dest <= 48; + src <= 41; + when "00101100" => + dest <= 83; + src <= 54; + when "00101101" => + dest <= 6; + src <= 72; + when "00101110" => + dest <= 71; + src <= 7; + when "00101111" => + dest <= 7; + src <= 81; + when "00110000" => + dest <= 7; + src <= 8; + when "00110001" => + dest <= 60; + src <= 7; + when "00110010" => + dest <= 64; + src <= 66; + when "00110011" => + dest <= 7; + src <= 22; + when "00110100" => + dest <= 45; + src <= 21; + when "00110101" => + dest <= 5; + src <= 7; + when "00110110" => + dest <= 7; + src <= 7; + when "00110111" => + dest <= 7; + src <= 33; + when "00111000" => + dest <= 40; + src <= 7; + when "00111001" => + dest <= 73; + src <= 9; + when "00111010" => + dest <= 16; + src <= 44; + when "00111011" => + dest <= 59; + src <= 40; + when "00111100" => + dest <= 7; + src <= 69; + when "00111101" => + dest <= 31; + src <= 7; + when "00111110" => + dest <= 7; + src <= 98; + when "00111111" => + dest <= 22; + src <= 7; + when "01000000" => + dest <= 7; + src <= 7; + when "01000001" => + dest <= 3; + src <= 64; + when "01000010" => + dest <= 55; + src <= 31; + when "01000011" => + dest <= 7; + src <= 7; + when "01000100" => + dest <= 15; + src <= 55; + when "01000101" => + dest <= 7; + src <= 7; + when "01000110" => + dest <= 49; + src <= 73; + when "01000111" => + dest <= 92; + src <= 1; + when "01001000" => + dest <= 74; + src <= 82; + when "01001001" => + dest <= 7; + src <= 99; + when "01001010" => + dest <= 7; + src <= 7; + when "01001011" => + dest <= 7; + src <= 59; + when "01001100" => + dest <= 81; + src <= 7; + when "01001101" => + dest <= 58; + src <= 7; + when "01001110" => + dest <= 7; + src <= 65; + when "01001111" => + dest <= 24; + src <= 12; + when "01010000" => + dest <= 7; + src <= 30; + when "01010001" => + dest <= 35; + src <= 7; + when "01010010" => + dest <= 30; + src <= 7; + when "01010011" => + dest <= 70; + src <= 7; + when "01010100" => + dest <= 7; + src <= 23; + when "01010101" => + dest <= 7; + src <= 56; + when "01010110" => + dest <= 69; + src <= 80; + when "01010111" => + dest <= 7; + src <= 7; + when "01011000" => + dest <= 46; + src <= 79; + when "01011001" => + dest <= 8; + src <= 7; + when "01011010" => + dest <= 56; + src <= 74; + when "01011011" => + dest <= 7; + src <= 34; + when "01011100" => + dest <= 21; + src <= 6; + when "01011101" => + dest <= 36; + src <= 7; + when "01011110" => + dest <= 7; + src <= 45; + when "01011111" => + dest <= 65; + src <= 68; + when "01100000" => + dest <= 12; + src <= 7; + when "01100001" => + dest <= 7; + src <= 7; + when "01100010" => + dest <= 7; + src <= 58; + when "01100011" => + dest <= 25; + src <= 78; + when "01100100" => + dest <= 91; + src <= 83; + when "01100101" => + dest <= 84; + src <= 7; + when "01100110" => + dest <= 7; + src <= 7; + when "01100111" => + dest <= 80; + src <= 49; + when "01101000" => + dest <= 26; + src <= 92; + when "01101001" => + dest <= 79; + src <= 89; + when "01101010" => + dest <= 7; + src <= 7; + when "01101011" => + dest <= 14; + src <= 13; + when "01101100" => + dest <= 68; + src <= 20; + when "01101101" => + dest <= 7; + src <= 88; + when "01101110" => + dest <= 39; + src <= 24; + when "01101111" => + dest <= 7; + src <= 7; + when "01110000" => + dest <= 66; + src <= 35; + when "01110001" => + dest <= 20; + src <= 90; + when "01110010" => + dest <= 7; + src <= 7; + when "01110011" => + dest <= 7; + src <= 46; + when "01110100" => + dest <= 75; + src <= 7; + when "01110101" => + dest <= 11; + src <= 75; + when "01110110" => + dest <= 7; + src <= 7; + when "01110111" => + dest <= 57; + src <= 48; + when "01111000" => + dest <= 7; + src <= 84; + when "01111001" => + dest <= 2; + src <= 7; + when "01111010" => + dest <= 7; + src <= 7; + when "01111011" => + dest <= 7; + src <= 39; + when "01111100" => + dest <= 94; + src <= 93; + when "01111101" => + dest <= 90; + src <= 7; + when "01111110" => + dest <= 7; + src <= 57; + when "01111111" => + dest <= 89; + src <= 7; + when "10000000" => + dest <= 7; + src <= 2; + when "10000001" => + dest <= 78; + src <= 7; + when "10000010" => + dest <= 7; + src <= 10; + when "10000011" => + dest <= 38; + src <= 14; + when "10000100" => + dest <= 76; + src <= 7; + when "10000101" => + dest <= 29; + src <= 25; + when "10000110" => + dest <= 7; + src <= 7; + when "10000111" => + dest <= 85; + src <= 36; + when "10001000" => + dest <= 10; + src <= 7; + when "10001001" => + dest <= 67; + src <= 76; + when "10001010" => + dest <= 7; + src <= 38; + when "10001011" => + dest <= 1; + src <= 85; + when "10001100" => + dest <= 7; + src <= 7; + when "10001101" => + dest <= 7; + src <= 29; + when "10001110" => + dest <= 99; + src <= 94; + when "10001111" => + dest <= 88; + src <= 47; + when "10010000" => + dest <= 7; + src <= 7; + when "10010001" => + dest <= 86; + src <= 3; + when "10010010" => + dest <= 28; + src <= 7; + when "10010011" => + dest <= 77; + src <= 15; + when "10010100" => + dest <= 0; + src <= 26; + when "10010101" => + dest <= 7; + src <= 7; + when "10010110" => + dest <= 98; + src <= 28; + when "10010111" => + dest <= 87; + src <= 86; + when "10011000" => + dest <= 9; + src <= 37; + when "10011001" => + dest <= 7; + src <= 4; + when "10011010" => + dest <= 7; + src <= 16; + when "10011011" => + dest <= 52; + src <= 27; + when "10011100" => + dest <= 41; + src <= 5; + when others => + dest <= 7; + src <= 7; + + end case; + end process; + + end generate NI_NUM7; + + NI_NUM8 : if NI_NUM = 8 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 14; + src <= 8; + when "00000001" => + dest <= 28; + src <= 8; + when "00000010" => + dest <= 8; + src <= 8; + when "00000011" => + dest <= 98; + src <= 8; + when "00000100" => + dest <= 63; + src <= 8; + when "00000101" => + dest <= 54; + src <= 88; + when "00000110" => + dest <= 8; + src <= 18; + when "00000111" => + dest <= 44; + src <= 92; + when "00001000" => + dest <= 96; + src <= 8; + when "00001001" => + dest <= 8; + src <= 64; + when "00001010" => + dest <= 8; + src <= 53; + when "00001011" => + dest <= 18; + src <= 8; + when "00001100" => + dest <= 34; + src <= 8; + when "00001101" => + dest <= 43; + src <= 10; + when "00001110" => + dest <= 52; + src <= 98; + when "00001111" => + dest <= 38; + src <= 43; + when "00010000" => + dest <= 8; + src <= 52; + when "00010001" => + dest <= 8; + src <= 62; + when "00010010" => + dest <= 8; + src <= 8; + when "00010011" => + dest <= 94; + src <= 8; + when "00010100" => + dest <= 73; + src <= 78; + when "00010101" => + dest <= 8; + src <= 72; + when "00010110" => + dest <= 48; + src <= 8; + when "00010111" => + dest <= 97; + src <= 8; + when "00011000" => + dest <= 62; + src <= 63; + when "00011001" => + dest <= 55; + src <= 54; + when "00011010" => + dest <= 8; + src <= 12; + when "00011011" => + dest <= 45; + src <= 19; + when "00011100" => + dest <= 5; + src <= 68; + when "00011101" => + dest <= 8; + src <= 8; + when "00011110" => + dest <= 8; + src <= 33; + when "00011111" => + dest <= 35; + src <= 8; + when "00100000" => + dest <= 10; + src <= 8; + when "00100001" => + dest <= 64; + src <= 1; + when "00100010" => + dest <= 51; + src <= 44; + when "00100011" => + dest <= 33; + src <= 51; + when "00100100" => + dest <= 8; + src <= 61; + when "00100101" => + dest <= 19; + src <= 96; + when "00100110" => + dest <= 8; + src <= 8; + when "00100111" => + dest <= 24; + src <= 71; + when "00101000" => + dest <= 8; + src <= 8; + when "00101001" => + dest <= 8; + src <= 97; + when "00101010" => + dest <= 83; + src <= 8; + when "00101011" => + dest <= 49; + src <= 42; + when "00101100" => + dest <= 84; + src <= 55; + when "00101101" => + dest <= 7; + src <= 73; + when "00101110" => + dest <= 72; + src <= 8; + when "00101111" => + dest <= 8; + src <= 82; + when "00110000" => + dest <= 8; + src <= 9; + when "00110001" => + dest <= 61; + src <= 8; + when "00110010" => + dest <= 65; + src <= 67; + when "00110011" => + dest <= 8; + src <= 23; + when "00110100" => + dest <= 46; + src <= 22; + when "00110101" => + dest <= 6; + src <= 8; + when "00110110" => + dest <= 8; + src <= 8; + when "00110111" => + dest <= 8; + src <= 34; + when "00111000" => + dest <= 41; + src <= 8; + when "00111001" => + dest <= 74; + src <= 0; + when "00111010" => + dest <= 17; + src <= 45; + when "00111011" => + dest <= 50; + src <= 41; + when "00111100" => + dest <= 8; + src <= 60; + when "00111101" => + dest <= 32; + src <= 8; + when "00111110" => + dest <= 8; + src <= 99; + when "00111111" => + dest <= 23; + src <= 8; + when "01000000" => + dest <= 8; + src <= 8; + when "01000001" => + dest <= 4; + src <= 65; + when "01000010" => + dest <= 56; + src <= 32; + when "01000011" => + dest <= 8; + src <= 8; + when "01000100" => + dest <= 16; + src <= 56; + when "01000101" => + dest <= 8; + src <= 8; + when "01000110" => + dest <= 40; + src <= 74; + when "01000111" => + dest <= 93; + src <= 2; + when "01001000" => + dest <= 75; + src <= 83; + when "01001001" => + dest <= 8; + src <= 90; + when "01001010" => + dest <= 8; + src <= 8; + when "01001011" => + dest <= 8; + src <= 50; + when "01001100" => + dest <= 82; + src <= 8; + when "01001101" => + dest <= 59; + src <= 8; + when "01001110" => + dest <= 8; + src <= 66; + when "01001111" => + dest <= 25; + src <= 13; + when "01010000" => + dest <= 8; + src <= 31; + when "01010001" => + dest <= 36; + src <= 8; + when "01010010" => + dest <= 31; + src <= 8; + when "01010011" => + dest <= 71; + src <= 8; + when "01010100" => + dest <= 8; + src <= 24; + when "01010101" => + dest <= 8; + src <= 57; + when "01010110" => + dest <= 60; + src <= 81; + when "01010111" => + dest <= 8; + src <= 8; + when "01011000" => + dest <= 47; + src <= 70; + when "01011001" => + dest <= 9; + src <= 8; + when "01011010" => + dest <= 57; + src <= 75; + when "01011011" => + dest <= 8; + src <= 35; + when "01011100" => + dest <= 22; + src <= 7; + when "01011101" => + dest <= 37; + src <= 8; + when "01011110" => + dest <= 8; + src <= 46; + when "01011111" => + dest <= 66; + src <= 69; + when "01100000" => + dest <= 13; + src <= 8; + when "01100001" => + dest <= 8; + src <= 8; + when "01100010" => + dest <= 8; + src <= 59; + when "01100011" => + dest <= 26; + src <= 79; + when "01100100" => + dest <= 92; + src <= 84; + when "01100101" => + dest <= 85; + src <= 8; + when "01100110" => + dest <= 8; + src <= 8; + when "01100111" => + dest <= 81; + src <= 40; + when "01101000" => + dest <= 27; + src <= 93; + when "01101001" => + dest <= 70; + src <= 80; + when "01101010" => + dest <= 8; + src <= 8; + when "01101011" => + dest <= 15; + src <= 14; + when "01101100" => + dest <= 69; + src <= 21; + when "01101101" => + dest <= 8; + src <= 89; + when "01101110" => + dest <= 30; + src <= 25; + when "01101111" => + dest <= 8; + src <= 8; + when "01110000" => + dest <= 67; + src <= 36; + when "01110001" => + dest <= 21; + src <= 91; + when "01110010" => + dest <= 8; + src <= 8; + when "01110011" => + dest <= 8; + src <= 47; + when "01110100" => + dest <= 76; + src <= 8; + when "01110101" => + dest <= 12; + src <= 76; + when "01110110" => + dest <= 8; + src <= 8; + when "01110111" => + dest <= 58; + src <= 49; + when "01111000" => + dest <= 8; + src <= 85; + when "01111001" => + dest <= 3; + src <= 8; + when "01111010" => + dest <= 8; + src <= 8; + when "01111011" => + dest <= 8; + src <= 30; + when "01111100" => + dest <= 95; + src <= 94; + when "01111101" => + dest <= 91; + src <= 8; + when "01111110" => + dest <= 8; + src <= 58; + when "01111111" => + dest <= 80; + src <= 8; + when "10000000" => + dest <= 8; + src <= 3; + when "10000001" => + dest <= 79; + src <= 8; + when "10000010" => + dest <= 8; + src <= 11; + when "10000011" => + dest <= 39; + src <= 15; + when "10000100" => + dest <= 77; + src <= 8; + when "10000101" => + dest <= 20; + src <= 26; + when "10000110" => + dest <= 8; + src <= 8; + when "10000111" => + dest <= 86; + src <= 37; + when "10001000" => + dest <= 11; + src <= 8; + when "10001001" => + dest <= 68; + src <= 77; + when "10001010" => + dest <= 8; + src <= 39; + when "10001011" => + dest <= 2; + src <= 86; + when "10001100" => + dest <= 8; + src <= 8; + when "10001101" => + dest <= 8; + src <= 20; + when "10001110" => + dest <= 90; + src <= 95; + when "10001111" => + dest <= 89; + src <= 48; + when "10010000" => + dest <= 8; + src <= 8; + when "10010001" => + dest <= 87; + src <= 4; + when "10010010" => + dest <= 29; + src <= 8; + when "10010011" => + dest <= 78; + src <= 16; + when "10010100" => + dest <= 1; + src <= 27; + when "10010101" => + dest <= 8; + src <= 8; + when "10010110" => + dest <= 99; + src <= 29; + when "10010111" => + dest <= 88; + src <= 87; + when "10011000" => + dest <= 0; + src <= 38; + when "10011001" => + dest <= 8; + src <= 5; + when "10011010" => + dest <= 8; + src <= 17; + when "10011011" => + dest <= 53; + src <= 28; + when "10011100" => + dest <= 42; + src <= 6; + when others => + dest <= 8; + src <= 8; + + end case; + end process; + + end generate NI_NUM8; + + NI_NUM9 : if NI_NUM = 9 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 15; + src <= 9; + when "00000001" => + dest <= 29; + src <= 9; + when "00000010" => + dest <= 9; + src <= 9; + when "00000011" => + dest <= 99; + src <= 9; + when "00000100" => + dest <= 64; + src <= 9; + when "00000101" => + dest <= 55; + src <= 89; + when "00000110" => + dest <= 9; + src <= 19; + when "00000111" => + dest <= 45; + src <= 93; + when "00001000" => + dest <= 97; + src <= 9; + when "00001001" => + dest <= 9; + src <= 65; + when "00001010" => + dest <= 9; + src <= 54; + when "00001011" => + dest <= 19; + src <= 9; + when "00001100" => + dest <= 35; + src <= 9; + when "00001101" => + dest <= 44; + src <= 11; + when "00001110" => + dest <= 53; + src <= 99; + when "00001111" => + dest <= 39; + src <= 44; + when "00010000" => + dest <= 9; + src <= 53; + when "00010001" => + dest <= 9; + src <= 63; + when "00010010" => + dest <= 9; + src <= 9; + when "00010011" => + dest <= 95; + src <= 9; + when "00010100" => + dest <= 74; + src <= 79; + when "00010101" => + dest <= 9; + src <= 73; + when "00010110" => + dest <= 49; + src <= 9; + when "00010111" => + dest <= 98; + src <= 9; + when "00011000" => + dest <= 63; + src <= 64; + when "00011001" => + dest <= 56; + src <= 55; + when "00011010" => + dest <= 9; + src <= 13; + when "00011011" => + dest <= 46; + src <= 10; + when "00011100" => + dest <= 6; + src <= 69; + when "00011101" => + dest <= 9; + src <= 9; + when "00011110" => + dest <= 9; + src <= 34; + when "00011111" => + dest <= 36; + src <= 9; + when "00100000" => + dest <= 11; + src <= 9; + when "00100001" => + dest <= 65; + src <= 2; + when "00100010" => + dest <= 52; + src <= 45; + when "00100011" => + dest <= 34; + src <= 52; + when "00100100" => + dest <= 9; + src <= 62; + when "00100101" => + dest <= 10; + src <= 97; + when "00100110" => + dest <= 9; + src <= 9; + when "00100111" => + dest <= 25; + src <= 72; + when "00101000" => + dest <= 9; + src <= 9; + when "00101001" => + dest <= 9; + src <= 98; + when "00101010" => + dest <= 84; + src <= 9; + when "00101011" => + dest <= 40; + src <= 43; + when "00101100" => + dest <= 85; + src <= 56; + when "00101101" => + dest <= 8; + src <= 74; + when "00101110" => + dest <= 73; + src <= 9; + when "00101111" => + dest <= 9; + src <= 83; + when "00110000" => + dest <= 9; + src <= 0; + when "00110001" => + dest <= 62; + src <= 9; + when "00110010" => + dest <= 66; + src <= 68; + when "00110011" => + dest <= 9; + src <= 24; + when "00110100" => + dest <= 47; + src <= 23; + when "00110101" => + dest <= 7; + src <= 9; + when "00110110" => + dest <= 9; + src <= 9; + when "00110111" => + dest <= 9; + src <= 35; + when "00111000" => + dest <= 42; + src <= 9; + when "00111001" => + dest <= 75; + src <= 1; + when "00111010" => + dest <= 18; + src <= 46; + when "00111011" => + dest <= 51; + src <= 42; + when "00111100" => + dest <= 9; + src <= 61; + when "00111101" => + dest <= 33; + src <= 9; + when "00111110" => + dest <= 9; + src <= 90; + when "00111111" => + dest <= 24; + src <= 9; + when "01000000" => + dest <= 9; + src <= 9; + when "01000001" => + dest <= 5; + src <= 66; + when "01000010" => + dest <= 57; + src <= 33; + when "01000011" => + dest <= 9; + src <= 9; + when "01000100" => + dest <= 17; + src <= 57; + when "01000101" => + dest <= 9; + src <= 9; + when "01000110" => + dest <= 41; + src <= 75; + when "01000111" => + dest <= 94; + src <= 3; + when "01001000" => + dest <= 76; + src <= 84; + when "01001001" => + dest <= 9; + src <= 91; + when "01001010" => + dest <= 9; + src <= 9; + when "01001011" => + dest <= 9; + src <= 51; + when "01001100" => + dest <= 83; + src <= 9; + when "01001101" => + dest <= 50; + src <= 9; + when "01001110" => + dest <= 9; + src <= 67; + when "01001111" => + dest <= 26; + src <= 14; + when "01010000" => + dest <= 9; + src <= 32; + when "01010001" => + dest <= 37; + src <= 9; + when "01010010" => + dest <= 32; + src <= 9; + when "01010011" => + dest <= 72; + src <= 9; + when "01010100" => + dest <= 9; + src <= 25; + when "01010101" => + dest <= 9; + src <= 58; + when "01010110" => + dest <= 61; + src <= 82; + when "01010111" => + dest <= 9; + src <= 9; + when "01011000" => + dest <= 48; + src <= 71; + when "01011001" => + dest <= 0; + src <= 9; + when "01011010" => + dest <= 58; + src <= 76; + when "01011011" => + dest <= 9; + src <= 36; + when "01011100" => + dest <= 23; + src <= 8; + when "01011101" => + dest <= 38; + src <= 9; + when "01011110" => + dest <= 9; + src <= 47; + when "01011111" => + dest <= 67; + src <= 60; + when "01100000" => + dest <= 14; + src <= 9; + when "01100001" => + dest <= 9; + src <= 9; + when "01100010" => + dest <= 9; + src <= 50; + when "01100011" => + dest <= 27; + src <= 70; + when "01100100" => + dest <= 93; + src <= 85; + when "01100101" => + dest <= 86; + src <= 9; + when "01100110" => + dest <= 9; + src <= 9; + when "01100111" => + dest <= 82; + src <= 41; + when "01101000" => + dest <= 28; + src <= 94; + when "01101001" => + dest <= 71; + src <= 81; + when "01101010" => + dest <= 9; + src <= 9; + when "01101011" => + dest <= 16; + src <= 15; + when "01101100" => + dest <= 60; + src <= 22; + when "01101101" => + dest <= 9; + src <= 80; + when "01101110" => + dest <= 31; + src <= 26; + when "01101111" => + dest <= 9; + src <= 9; + when "01110000" => + dest <= 68; + src <= 37; + when "01110001" => + dest <= 22; + src <= 92; + when "01110010" => + dest <= 9; + src <= 9; + when "01110011" => + dest <= 9; + src <= 48; + when "01110100" => + dest <= 77; + src <= 9; + when "01110101" => + dest <= 13; + src <= 77; + when "01110110" => + dest <= 9; + src <= 9; + when "01110111" => + dest <= 59; + src <= 40; + when "01111000" => + dest <= 9; + src <= 86; + when "01111001" => + dest <= 4; + src <= 9; + when "01111010" => + dest <= 9; + src <= 9; + when "01111011" => + dest <= 9; + src <= 31; + when "01111100" => + dest <= 96; + src <= 95; + when "01111101" => + dest <= 92; + src <= 9; + when "01111110" => + dest <= 9; + src <= 59; + when "01111111" => + dest <= 81; + src <= 9; + when "10000000" => + dest <= 9; + src <= 4; + when "10000001" => + dest <= 70; + src <= 9; + when "10000010" => + dest <= 9; + src <= 12; + when "10000011" => + dest <= 30; + src <= 16; + when "10000100" => + dest <= 78; + src <= 9; + when "10000101" => + dest <= 21; + src <= 27; + when "10000110" => + dest <= 9; + src <= 9; + when "10000111" => + dest <= 87; + src <= 38; + when "10001000" => + dest <= 12; + src <= 9; + when "10001001" => + dest <= 69; + src <= 78; + when "10001010" => + dest <= 9; + src <= 30; + when "10001011" => + dest <= 3; + src <= 87; + when "10001100" => + dest <= 9; + src <= 9; + when "10001101" => + dest <= 9; + src <= 21; + when "10001110" => + dest <= 91; + src <= 96; + when "10001111" => + dest <= 80; + src <= 49; + when "10010000" => + dest <= 9; + src <= 9; + when "10010001" => + dest <= 88; + src <= 5; + when "10010010" => + dest <= 20; + src <= 9; + when "10010011" => + dest <= 79; + src <= 17; + when "10010100" => + dest <= 2; + src <= 28; + when "10010101" => + dest <= 9; + src <= 9; + when "10010110" => + dest <= 90; + src <= 20; + when "10010111" => + dest <= 89; + src <= 88; + when "10011000" => + dest <= 1; + src <= 39; + when "10011001" => + dest <= 9; + src <= 6; + when "10011010" => + dest <= 9; + src <= 18; + when "10011011" => + dest <= 54; + src <= 29; + when "10011100" => + dest <= 43; + src <= 7; + when others => + dest <= 9; + src <= 9; + + end case; + end process; + + end generate NI_NUM9; + + NI_NUM10 : if NI_NUM = 10 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 26; + src <= 10; + when "00000001" => + dest <= 30; + src <= 10; + when "00000010" => + dest <= 10; + src <= 10; + when "00000011" => + dest <= 0; + src <= 10; + when "00000100" => + dest <= 75; + src <= 10; + when "00000101" => + dest <= 66; + src <= 90; + when "00000110" => + dest <= 10; + src <= 20; + when "00000111" => + dest <= 56; + src <= 4; + when "00001000" => + dest <= 8; + src <= 10; + when "00001001" => + dest <= 10; + src <= 76; + when "00001010" => + dest <= 10; + src <= 65; + when "00001011" => + dest <= 20; + src <= 10; + when "00001100" => + dest <= 46; + src <= 10; + when "00001101" => + dest <= 55; + src <= 22; + when "00001110" => + dest <= 64; + src <= 0; + when "00001111" => + dest <= 40; + src <= 55; + when "00010000" => + dest <= 10; + src <= 64; + when "00010001" => + dest <= 10; + src <= 74; + when "00010010" => + dest <= 10; + src <= 10; + when "00010011" => + dest <= 6; + src <= 10; + when "00010100" => + dest <= 85; + src <= 80; + when "00010101" => + dest <= 10; + src <= 84; + when "00010110" => + dest <= 50; + src <= 10; + when "00010111" => + dest <= 9; + src <= 10; + when "00011000" => + dest <= 74; + src <= 75; + when "00011001" => + dest <= 67; + src <= 66; + when "00011010" => + dest <= 10; + src <= 24; + when "00011011" => + dest <= 57; + src <= 21; + when "00011100" => + dest <= 17; + src <= 70; + when "00011101" => + dest <= 10; + src <= 10; + when "00011110" => + dest <= 10; + src <= 45; + when "00011111" => + dest <= 47; + src <= 10; + when "00100000" => + dest <= 22; + src <= 10; + when "00100001" => + dest <= 76; + src <= 13; + when "00100010" => + dest <= 63; + src <= 56; + when "00100011" => + dest <= 45; + src <= 63; + when "00100100" => + dest <= 10; + src <= 73; + when "00100101" => + dest <= 21; + src <= 8; + when "00100110" => + dest <= 10; + src <= 10; + when "00100111" => + dest <= 36; + src <= 83; + when "00101000" => + dest <= 10; + src <= 10; + when "00101001" => + dest <= 10; + src <= 9; + when "00101010" => + dest <= 95; + src <= 10; + when "00101011" => + dest <= 51; + src <= 54; + when "00101100" => + dest <= 96; + src <= 67; + when "00101101" => + dest <= 19; + src <= 85; + when "00101110" => + dest <= 84; + src <= 10; + when "00101111" => + dest <= 10; + src <= 94; + when "00110000" => + dest <= 10; + src <= 11; + when "00110001" => + dest <= 73; + src <= 10; + when "00110010" => + dest <= 77; + src <= 79; + when "00110011" => + dest <= 10; + src <= 35; + when "00110100" => + dest <= 58; + src <= 34; + when "00110101" => + dest <= 18; + src <= 10; + when "00110110" => + dest <= 10; + src <= 10; + when "00110111" => + dest <= 10; + src <= 46; + when "00111000" => + dest <= 53; + src <= 10; + when "00111001" => + dest <= 86; + src <= 12; + when "00111010" => + dest <= 29; + src <= 57; + when "00111011" => + dest <= 62; + src <= 53; + when "00111100" => + dest <= 10; + src <= 72; + when "00111101" => + dest <= 44; + src <= 10; + when "00111110" => + dest <= 10; + src <= 1; + when "00111111" => + dest <= 35; + src <= 10; + when "01000000" => + dest <= 10; + src <= 10; + when "01000001" => + dest <= 16; + src <= 77; + when "01000010" => + dest <= 68; + src <= 44; + when "01000011" => + dest <= 10; + src <= 10; + when "01000100" => + dest <= 28; + src <= 68; + when "01000101" => + dest <= 10; + src <= 10; + when "01000110" => + dest <= 52; + src <= 86; + when "01000111" => + dest <= 5; + src <= 14; + when "01001000" => + dest <= 87; + src <= 95; + when "01001001" => + dest <= 10; + src <= 2; + when "01001010" => + dest <= 10; + src <= 10; + when "01001011" => + dest <= 10; + src <= 62; + when "01001100" => + dest <= 94; + src <= 10; + when "01001101" => + dest <= 61; + src <= 10; + when "01001110" => + dest <= 10; + src <= 78; + when "01001111" => + dest <= 37; + src <= 25; + when "01010000" => + dest <= 10; + src <= 43; + when "01010001" => + dest <= 48; + src <= 10; + when "01010010" => + dest <= 43; + src <= 10; + when "01010011" => + dest <= 83; + src <= 10; + when "01010100" => + dest <= 10; + src <= 36; + when "01010101" => + dest <= 10; + src <= 69; + when "01010110" => + dest <= 72; + src <= 93; + when "01010111" => + dest <= 10; + src <= 10; + when "01011000" => + dest <= 59; + src <= 82; + when "01011001" => + dest <= 11; + src <= 10; + when "01011010" => + dest <= 69; + src <= 87; + when "01011011" => + dest <= 10; + src <= 47; + when "01011100" => + dest <= 34; + src <= 19; + when "01011101" => + dest <= 49; + src <= 10; + when "01011110" => + dest <= 10; + src <= 58; + when "01011111" => + dest <= 78; + src <= 71; + when "01100000" => + dest <= 25; + src <= 10; + when "01100001" => + dest <= 10; + src <= 10; + when "01100010" => + dest <= 10; + src <= 61; + when "01100011" => + dest <= 38; + src <= 81; + when "01100100" => + dest <= 4; + src <= 96; + when "01100101" => + dest <= 97; + src <= 10; + when "01100110" => + dest <= 10; + src <= 10; + when "01100111" => + dest <= 93; + src <= 52; + when "01101000" => + dest <= 39; + src <= 5; + when "01101001" => + dest <= 82; + src <= 92; + when "01101010" => + dest <= 10; + src <= 10; + when "01101011" => + dest <= 27; + src <= 26; + when "01101100" => + dest <= 71; + src <= 33; + when "01101101" => + dest <= 10; + src <= 91; + when "01101110" => + dest <= 42; + src <= 37; + when "01101111" => + dest <= 10; + src <= 10; + when "01110000" => + dest <= 79; + src <= 48; + when "01110001" => + dest <= 33; + src <= 3; + when "01110010" => + dest <= 10; + src <= 10; + when "01110011" => + dest <= 10; + src <= 59; + when "01110100" => + dest <= 88; + src <= 10; + when "01110101" => + dest <= 24; + src <= 88; + when "01110110" => + dest <= 10; + src <= 10; + when "01110111" => + dest <= 60; + src <= 51; + when "01111000" => + dest <= 10; + src <= 97; + when "01111001" => + dest <= 15; + src <= 10; + when "01111010" => + dest <= 10; + src <= 10; + when "01111011" => + dest <= 10; + src <= 42; + when "01111100" => + dest <= 7; + src <= 6; + when "01111101" => + dest <= 3; + src <= 10; + when "01111110" => + dest <= 10; + src <= 60; + when "01111111" => + dest <= 92; + src <= 10; + when "10000000" => + dest <= 10; + src <= 15; + when "10000001" => + dest <= 81; + src <= 10; + when "10000010" => + dest <= 10; + src <= 23; + when "10000011" => + dest <= 41; + src <= 27; + when "10000100" => + dest <= 89; + src <= 10; + when "10000101" => + dest <= 32; + src <= 38; + when "10000110" => + dest <= 10; + src <= 10; + when "10000111" => + dest <= 98; + src <= 49; + when "10001000" => + dest <= 23; + src <= 10; + when "10001001" => + dest <= 70; + src <= 89; + when "10001010" => + dest <= 10; + src <= 41; + when "10001011" => + dest <= 14; + src <= 98; + when "10001100" => + dest <= 10; + src <= 10; + when "10001101" => + dest <= 10; + src <= 32; + when "10001110" => + dest <= 2; + src <= 7; + when "10001111" => + dest <= 91; + src <= 50; + when "10010000" => + dest <= 10; + src <= 10; + when "10010001" => + dest <= 99; + src <= 16; + when "10010010" => + dest <= 31; + src <= 10; + when "10010011" => + dest <= 80; + src <= 28; + when "10010100" => + dest <= 13; + src <= 39; + when "10010101" => + dest <= 10; + src <= 10; + when "10010110" => + dest <= 1; + src <= 31; + when "10010111" => + dest <= 90; + src <= 99; + when "10011000" => + dest <= 12; + src <= 40; + when "10011001" => + dest <= 10; + src <= 17; + when "10011010" => + dest <= 10; + src <= 29; + when "10011011" => + dest <= 65; + src <= 30; + when "10011100" => + dest <= 54; + src <= 18; + when others => + dest <= 10; + src <= 10; + + end case; + end process; + + end generate NI_NUM10; + + NI_NUM11 : if NI_NUM = 11 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 27; + src <= 11; + when "00000001" => + dest <= 31; + src <= 11; + when "00000010" => + dest <= 11; + src <= 11; + when "00000011" => + dest <= 1; + src <= 11; + when "00000100" => + dest <= 76; + src <= 11; + when "00000101" => + dest <= 67; + src <= 91; + when "00000110" => + dest <= 11; + src <= 21; + when "00000111" => + dest <= 57; + src <= 5; + when "00001000" => + dest <= 9; + src <= 11; + when "00001001" => + dest <= 11; + src <= 77; + when "00001010" => + dest <= 11; + src <= 66; + when "00001011" => + dest <= 21; + src <= 11; + when "00001100" => + dest <= 47; + src <= 11; + when "00001101" => + dest <= 56; + src <= 23; + when "00001110" => + dest <= 65; + src <= 1; + when "00001111" => + dest <= 41; + src <= 56; + when "00010000" => + dest <= 11; + src <= 65; + when "00010001" => + dest <= 11; + src <= 75; + when "00010010" => + dest <= 11; + src <= 11; + when "00010011" => + dest <= 7; + src <= 11; + when "00010100" => + dest <= 86; + src <= 81; + when "00010101" => + dest <= 11; + src <= 85; + when "00010110" => + dest <= 51; + src <= 11; + when "00010111" => + dest <= 0; + src <= 11; + when "00011000" => + dest <= 75; + src <= 76; + when "00011001" => + dest <= 68; + src <= 67; + when "00011010" => + dest <= 11; + src <= 25; + when "00011011" => + dest <= 58; + src <= 22; + when "00011100" => + dest <= 18; + src <= 71; + when "00011101" => + dest <= 11; + src <= 11; + when "00011110" => + dest <= 11; + src <= 46; + when "00011111" => + dest <= 48; + src <= 11; + when "00100000" => + dest <= 23; + src <= 11; + when "00100001" => + dest <= 77; + src <= 14; + when "00100010" => + dest <= 64; + src <= 57; + when "00100011" => + dest <= 46; + src <= 64; + when "00100100" => + dest <= 11; + src <= 74; + when "00100101" => + dest <= 22; + src <= 9; + when "00100110" => + dest <= 11; + src <= 11; + when "00100111" => + dest <= 37; + src <= 84; + when "00101000" => + dest <= 11; + src <= 11; + when "00101001" => + dest <= 11; + src <= 0; + when "00101010" => + dest <= 96; + src <= 11; + when "00101011" => + dest <= 52; + src <= 55; + when "00101100" => + dest <= 97; + src <= 68; + when "00101101" => + dest <= 10; + src <= 86; + when "00101110" => + dest <= 85; + src <= 11; + when "00101111" => + dest <= 11; + src <= 95; + when "00110000" => + dest <= 11; + src <= 12; + when "00110001" => + dest <= 74; + src <= 11; + when "00110010" => + dest <= 78; + src <= 70; + when "00110011" => + dest <= 11; + src <= 36; + when "00110100" => + dest <= 59; + src <= 35; + when "00110101" => + dest <= 19; + src <= 11; + when "00110110" => + dest <= 11; + src <= 11; + when "00110111" => + dest <= 11; + src <= 47; + when "00111000" => + dest <= 54; + src <= 11; + when "00111001" => + dest <= 87; + src <= 13; + when "00111010" => + dest <= 20; + src <= 58; + when "00111011" => + dest <= 63; + src <= 54; + when "00111100" => + dest <= 11; + src <= 73; + when "00111101" => + dest <= 45; + src <= 11; + when "00111110" => + dest <= 11; + src <= 2; + when "00111111" => + dest <= 36; + src <= 11; + when "01000000" => + dest <= 11; + src <= 11; + when "01000001" => + dest <= 17; + src <= 78; + when "01000010" => + dest <= 69; + src <= 45; + when "01000011" => + dest <= 11; + src <= 11; + when "01000100" => + dest <= 29; + src <= 69; + when "01000101" => + dest <= 11; + src <= 11; + when "01000110" => + dest <= 53; + src <= 87; + when "01000111" => + dest <= 6; + src <= 15; + when "01001000" => + dest <= 88; + src <= 96; + when "01001001" => + dest <= 11; + src <= 3; + when "01001010" => + dest <= 11; + src <= 11; + when "01001011" => + dest <= 11; + src <= 63; + when "01001100" => + dest <= 95; + src <= 11; + when "01001101" => + dest <= 62; + src <= 11; + when "01001110" => + dest <= 11; + src <= 79; + when "01001111" => + dest <= 38; + src <= 26; + when "01010000" => + dest <= 11; + src <= 44; + when "01010001" => + dest <= 49; + src <= 11; + when "01010010" => + dest <= 44; + src <= 11; + when "01010011" => + dest <= 84; + src <= 11; + when "01010100" => + dest <= 11; + src <= 37; + when "01010101" => + dest <= 11; + src <= 60; + when "01010110" => + dest <= 73; + src <= 94; + when "01010111" => + dest <= 11; + src <= 11; + when "01011000" => + dest <= 50; + src <= 83; + when "01011001" => + dest <= 12; + src <= 11; + when "01011010" => + dest <= 60; + src <= 88; + when "01011011" => + dest <= 11; + src <= 48; + when "01011100" => + dest <= 35; + src <= 10; + when "01011101" => + dest <= 40; + src <= 11; + when "01011110" => + dest <= 11; + src <= 59; + when "01011111" => + dest <= 79; + src <= 72; + when "01100000" => + dest <= 26; + src <= 11; + when "01100001" => + dest <= 11; + src <= 11; + when "01100010" => + dest <= 11; + src <= 62; + when "01100011" => + dest <= 39; + src <= 82; + when "01100100" => + dest <= 5; + src <= 97; + when "01100101" => + dest <= 98; + src <= 11; + when "01100110" => + dest <= 11; + src <= 11; + when "01100111" => + dest <= 94; + src <= 53; + when "01101000" => + dest <= 30; + src <= 6; + when "01101001" => + dest <= 83; + src <= 93; + when "01101010" => + dest <= 11; + src <= 11; + when "01101011" => + dest <= 28; + src <= 27; + when "01101100" => + dest <= 72; + src <= 34; + when "01101101" => + dest <= 11; + src <= 92; + when "01101110" => + dest <= 43; + src <= 38; + when "01101111" => + dest <= 11; + src <= 11; + when "01110000" => + dest <= 70; + src <= 49; + when "01110001" => + dest <= 34; + src <= 4; + when "01110010" => + dest <= 11; + src <= 11; + when "01110011" => + dest <= 11; + src <= 50; + when "01110100" => + dest <= 89; + src <= 11; + when "01110101" => + dest <= 25; + src <= 89; + when "01110110" => + dest <= 11; + src <= 11; + when "01110111" => + dest <= 61; + src <= 52; + when "01111000" => + dest <= 11; + src <= 98; + when "01111001" => + dest <= 16; + src <= 11; + when "01111010" => + dest <= 11; + src <= 11; + when "01111011" => + dest <= 11; + src <= 43; + when "01111100" => + dest <= 8; + src <= 7; + when "01111101" => + dest <= 4; + src <= 11; + when "01111110" => + dest <= 11; + src <= 61; + when "01111111" => + dest <= 93; + src <= 11; + when "10000000" => + dest <= 11; + src <= 16; + when "10000001" => + dest <= 82; + src <= 11; + when "10000010" => + dest <= 11; + src <= 24; + when "10000011" => + dest <= 42; + src <= 28; + when "10000100" => + dest <= 80; + src <= 11; + when "10000101" => + dest <= 33; + src <= 39; + when "10000110" => + dest <= 11; + src <= 11; + when "10000111" => + dest <= 99; + src <= 40; + when "10001000" => + dest <= 24; + src <= 11; + when "10001001" => + dest <= 71; + src <= 80; + when "10001010" => + dest <= 11; + src <= 42; + when "10001011" => + dest <= 15; + src <= 99; + when "10001100" => + dest <= 11; + src <= 11; + when "10001101" => + dest <= 11; + src <= 33; + when "10001110" => + dest <= 3; + src <= 8; + when "10001111" => + dest <= 92; + src <= 51; + when "10010000" => + dest <= 11; + src <= 11; + when "10010001" => + dest <= 90; + src <= 17; + when "10010010" => + dest <= 32; + src <= 11; + when "10010011" => + dest <= 81; + src <= 29; + when "10010100" => + dest <= 14; + src <= 30; + when "10010101" => + dest <= 11; + src <= 11; + when "10010110" => + dest <= 2; + src <= 32; + when "10010111" => + dest <= 91; + src <= 90; + when "10011000" => + dest <= 13; + src <= 41; + when "10011001" => + dest <= 11; + src <= 18; + when "10011010" => + dest <= 11; + src <= 20; + when "10011011" => + dest <= 66; + src <= 31; + when "10011100" => + dest <= 55; + src <= 19; + when others => + dest <= 11; + src <= 11; + + end case; + end process; + + end generate NI_NUM11; + + NI_NUM12 : if NI_NUM = 12 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 28; + src <= 12; + when "00000001" => + dest <= 32; + src <= 12; + when "00000010" => + dest <= 12; + src <= 12; + when "00000011" => + dest <= 2; + src <= 12; + when "00000100" => + dest <= 77; + src <= 12; + when "00000101" => + dest <= 68; + src <= 92; + when "00000110" => + dest <= 12; + src <= 22; + when "00000111" => + dest <= 58; + src <= 6; + when "00001000" => + dest <= 0; + src <= 12; + when "00001001" => + dest <= 12; + src <= 78; + when "00001010" => + dest <= 12; + src <= 67; + when "00001011" => + dest <= 22; + src <= 12; + when "00001100" => + dest <= 48; + src <= 12; + when "00001101" => + dest <= 57; + src <= 24; + when "00001110" => + dest <= 66; + src <= 2; + when "00001111" => + dest <= 42; + src <= 57; + when "00010000" => + dest <= 12; + src <= 66; + when "00010001" => + dest <= 12; + src <= 76; + when "00010010" => + dest <= 12; + src <= 12; + when "00010011" => + dest <= 8; + src <= 12; + when "00010100" => + dest <= 87; + src <= 82; + when "00010101" => + dest <= 12; + src <= 86; + when "00010110" => + dest <= 52; + src <= 12; + when "00010111" => + dest <= 1; + src <= 12; + when "00011000" => + dest <= 76; + src <= 77; + when "00011001" => + dest <= 69; + src <= 68; + when "00011010" => + dest <= 12; + src <= 26; + when "00011011" => + dest <= 59; + src <= 23; + when "00011100" => + dest <= 19; + src <= 72; + when "00011101" => + dest <= 12; + src <= 12; + when "00011110" => + dest <= 12; + src <= 47; + when "00011111" => + dest <= 49; + src <= 12; + when "00100000" => + dest <= 24; + src <= 12; + when "00100001" => + dest <= 78; + src <= 15; + when "00100010" => + dest <= 65; + src <= 58; + when "00100011" => + dest <= 47; + src <= 65; + when "00100100" => + dest <= 12; + src <= 75; + when "00100101" => + dest <= 23; + src <= 0; + when "00100110" => + dest <= 12; + src <= 12; + when "00100111" => + dest <= 38; + src <= 85; + when "00101000" => + dest <= 12; + src <= 12; + when "00101001" => + dest <= 12; + src <= 1; + when "00101010" => + dest <= 97; + src <= 12; + when "00101011" => + dest <= 53; + src <= 56; + when "00101100" => + dest <= 98; + src <= 69; + when "00101101" => + dest <= 11; + src <= 87; + when "00101110" => + dest <= 86; + src <= 12; + when "00101111" => + dest <= 12; + src <= 96; + when "00110000" => + dest <= 12; + src <= 13; + when "00110001" => + dest <= 75; + src <= 12; + when "00110010" => + dest <= 79; + src <= 71; + when "00110011" => + dest <= 12; + src <= 37; + when "00110100" => + dest <= 50; + src <= 36; + when "00110101" => + dest <= 10; + src <= 12; + when "00110110" => + dest <= 12; + src <= 12; + when "00110111" => + dest <= 12; + src <= 48; + when "00111000" => + dest <= 55; + src <= 12; + when "00111001" => + dest <= 88; + src <= 14; + when "00111010" => + dest <= 21; + src <= 59; + when "00111011" => + dest <= 64; + src <= 55; + when "00111100" => + dest <= 12; + src <= 74; + when "00111101" => + dest <= 46; + src <= 12; + when "00111110" => + dest <= 12; + src <= 3; + when "00111111" => + dest <= 37; + src <= 12; + when "01000000" => + dest <= 12; + src <= 12; + when "01000001" => + dest <= 18; + src <= 79; + when "01000010" => + dest <= 60; + src <= 46; + when "01000011" => + dest <= 12; + src <= 12; + when "01000100" => + dest <= 20; + src <= 60; + when "01000101" => + dest <= 12; + src <= 12; + when "01000110" => + dest <= 54; + src <= 88; + when "01000111" => + dest <= 7; + src <= 16; + when "01001000" => + dest <= 89; + src <= 97; + when "01001001" => + dest <= 12; + src <= 4; + when "01001010" => + dest <= 12; + src <= 12; + when "01001011" => + dest <= 12; + src <= 64; + when "01001100" => + dest <= 96; + src <= 12; + when "01001101" => + dest <= 63; + src <= 12; + when "01001110" => + dest <= 12; + src <= 70; + when "01001111" => + dest <= 39; + src <= 27; + when "01010000" => + dest <= 12; + src <= 45; + when "01010001" => + dest <= 40; + src <= 12; + when "01010010" => + dest <= 45; + src <= 12; + when "01010011" => + dest <= 85; + src <= 12; + when "01010100" => + dest <= 12; + src <= 38; + when "01010101" => + dest <= 12; + src <= 61; + when "01010110" => + dest <= 74; + src <= 95; + when "01010111" => + dest <= 12; + src <= 12; + when "01011000" => + dest <= 51; + src <= 84; + when "01011001" => + dest <= 13; + src <= 12; + when "01011010" => + dest <= 61; + src <= 89; + when "01011011" => + dest <= 12; + src <= 49; + when "01011100" => + dest <= 36; + src <= 11; + when "01011101" => + dest <= 41; + src <= 12; + when "01011110" => + dest <= 12; + src <= 50; + when "01011111" => + dest <= 70; + src <= 73; + when "01100000" => + dest <= 27; + src <= 12; + when "01100001" => + dest <= 12; + src <= 12; + when "01100010" => + dest <= 12; + src <= 63; + when "01100011" => + dest <= 30; + src <= 83; + when "01100100" => + dest <= 6; + src <= 98; + when "01100101" => + dest <= 99; + src <= 12; + when "01100110" => + dest <= 12; + src <= 12; + when "01100111" => + dest <= 95; + src <= 54; + when "01101000" => + dest <= 31; + src <= 7; + when "01101001" => + dest <= 84; + src <= 94; + when "01101010" => + dest <= 12; + src <= 12; + when "01101011" => + dest <= 29; + src <= 28; + when "01101100" => + dest <= 73; + src <= 35; + when "01101101" => + dest <= 12; + src <= 93; + when "01101110" => + dest <= 44; + src <= 39; + when "01101111" => + dest <= 12; + src <= 12; + when "01110000" => + dest <= 71; + src <= 40; + when "01110001" => + dest <= 35; + src <= 5; + when "01110010" => + dest <= 12; + src <= 12; + when "01110011" => + dest <= 12; + src <= 51; + when "01110100" => + dest <= 80; + src <= 12; + when "01110101" => + dest <= 26; + src <= 80; + when "01110110" => + dest <= 12; + src <= 12; + when "01110111" => + dest <= 62; + src <= 53; + when "01111000" => + dest <= 12; + src <= 99; + when "01111001" => + dest <= 17; + src <= 12; + when "01111010" => + dest <= 12; + src <= 12; + when "01111011" => + dest <= 12; + src <= 44; + when "01111100" => + dest <= 9; + src <= 8; + when "01111101" => + dest <= 5; + src <= 12; + when "01111110" => + dest <= 12; + src <= 62; + when "01111111" => + dest <= 94; + src <= 12; + when "10000000" => + dest <= 12; + src <= 17; + when "10000001" => + dest <= 83; + src <= 12; + when "10000010" => + dest <= 12; + src <= 25; + when "10000011" => + dest <= 43; + src <= 29; + when "10000100" => + dest <= 81; + src <= 12; + when "10000101" => + dest <= 34; + src <= 30; + when "10000110" => + dest <= 12; + src <= 12; + when "10000111" => + dest <= 90; + src <= 41; + when "10001000" => + dest <= 25; + src <= 12; + when "10001001" => + dest <= 72; + src <= 81; + when "10001010" => + dest <= 12; + src <= 43; + when "10001011" => + dest <= 16; + src <= 90; + when "10001100" => + dest <= 12; + src <= 12; + when "10001101" => + dest <= 12; + src <= 34; + when "10001110" => + dest <= 4; + src <= 9; + when "10001111" => + dest <= 93; + src <= 52; + when "10010000" => + dest <= 12; + src <= 12; + when "10010001" => + dest <= 91; + src <= 18; + when "10010010" => + dest <= 33; + src <= 12; + when "10010011" => + dest <= 82; + src <= 20; + when "10010100" => + dest <= 15; + src <= 31; + when "10010101" => + dest <= 12; + src <= 12; + when "10010110" => + dest <= 3; + src <= 33; + when "10010111" => + dest <= 92; + src <= 91; + when "10011000" => + dest <= 14; + src <= 42; + when "10011001" => + dest <= 12; + src <= 19; + when "10011010" => + dest <= 12; + src <= 21; + when "10011011" => + dest <= 67; + src <= 32; + when "10011100" => + dest <= 56; + src <= 10; + when others => + dest <= 12; + src <= 12; + + end case; + end process; + + end generate NI_NUM12; + + NI_NUM13 : if NI_NUM = 13 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 29; + src <= 13; + when "00000001" => + dest <= 33; + src <= 13; + when "00000010" => + dest <= 13; + src <= 13; + when "00000011" => + dest <= 3; + src <= 13; + when "00000100" => + dest <= 78; + src <= 13; + when "00000101" => + dest <= 69; + src <= 93; + when "00000110" => + dest <= 13; + src <= 23; + when "00000111" => + dest <= 59; + src <= 7; + when "00001000" => + dest <= 1; + src <= 13; + when "00001001" => + dest <= 13; + src <= 79; + when "00001010" => + dest <= 13; + src <= 68; + when "00001011" => + dest <= 23; + src <= 13; + when "00001100" => + dest <= 49; + src <= 13; + when "00001101" => + dest <= 58; + src <= 25; + when "00001110" => + dest <= 67; + src <= 3; + when "00001111" => + dest <= 43; + src <= 58; + when "00010000" => + dest <= 13; + src <= 67; + when "00010001" => + dest <= 13; + src <= 77; + when "00010010" => + dest <= 13; + src <= 13; + when "00010011" => + dest <= 9; + src <= 13; + when "00010100" => + dest <= 88; + src <= 83; + when "00010101" => + dest <= 13; + src <= 87; + when "00010110" => + dest <= 53; + src <= 13; + when "00010111" => + dest <= 2; + src <= 13; + when "00011000" => + dest <= 77; + src <= 78; + when "00011001" => + dest <= 60; + src <= 69; + when "00011010" => + dest <= 13; + src <= 27; + when "00011011" => + dest <= 50; + src <= 24; + when "00011100" => + dest <= 10; + src <= 73; + when "00011101" => + dest <= 13; + src <= 13; + when "00011110" => + dest <= 13; + src <= 48; + when "00011111" => + dest <= 40; + src <= 13; + when "00100000" => + dest <= 25; + src <= 13; + when "00100001" => + dest <= 79; + src <= 16; + when "00100010" => + dest <= 66; + src <= 59; + when "00100011" => + dest <= 48; + src <= 66; + when "00100100" => + dest <= 13; + src <= 76; + when "00100101" => + dest <= 24; + src <= 1; + when "00100110" => + dest <= 13; + src <= 13; + when "00100111" => + dest <= 39; + src <= 86; + when "00101000" => + dest <= 13; + src <= 13; + when "00101001" => + dest <= 13; + src <= 2; + when "00101010" => + dest <= 98; + src <= 13; + when "00101011" => + dest <= 54; + src <= 57; + when "00101100" => + dest <= 99; + src <= 60; + when "00101101" => + dest <= 12; + src <= 88; + when "00101110" => + dest <= 87; + src <= 13; + when "00101111" => + dest <= 13; + src <= 97; + when "00110000" => + dest <= 13; + src <= 14; + when "00110001" => + dest <= 76; + src <= 13; + when "00110010" => + dest <= 70; + src <= 72; + when "00110011" => + dest <= 13; + src <= 38; + when "00110100" => + dest <= 51; + src <= 37; + when "00110101" => + dest <= 11; + src <= 13; + when "00110110" => + dest <= 13; + src <= 13; + when "00110111" => + dest <= 13; + src <= 49; + when "00111000" => + dest <= 56; + src <= 13; + when "00111001" => + dest <= 89; + src <= 15; + when "00111010" => + dest <= 22; + src <= 50; + when "00111011" => + dest <= 65; + src <= 56; + when "00111100" => + dest <= 13; + src <= 75; + when "00111101" => + dest <= 47; + src <= 13; + when "00111110" => + dest <= 13; + src <= 4; + when "00111111" => + dest <= 38; + src <= 13; + when "01000000" => + dest <= 13; + src <= 13; + when "01000001" => + dest <= 19; + src <= 70; + when "01000010" => + dest <= 61; + src <= 47; + when "01000011" => + dest <= 13; + src <= 13; + when "01000100" => + dest <= 21; + src <= 61; + when "01000101" => + dest <= 13; + src <= 13; + when "01000110" => + dest <= 55; + src <= 89; + when "01000111" => + dest <= 8; + src <= 17; + when "01001000" => + dest <= 80; + src <= 98; + when "01001001" => + dest <= 13; + src <= 5; + when "01001010" => + dest <= 13; + src <= 13; + when "01001011" => + dest <= 13; + src <= 65; + when "01001100" => + dest <= 97; + src <= 13; + when "01001101" => + dest <= 64; + src <= 13; + when "01001110" => + dest <= 13; + src <= 71; + when "01001111" => + dest <= 30; + src <= 28; + when "01010000" => + dest <= 13; + src <= 46; + when "01010001" => + dest <= 41; + src <= 13; + when "01010010" => + dest <= 46; + src <= 13; + when "01010011" => + dest <= 86; + src <= 13; + when "01010100" => + dest <= 13; + src <= 39; + when "01010101" => + dest <= 13; + src <= 62; + when "01010110" => + dest <= 75; + src <= 96; + when "01010111" => + dest <= 13; + src <= 13; + when "01011000" => + dest <= 52; + src <= 85; + when "01011001" => + dest <= 14; + src <= 13; + when "01011010" => + dest <= 62; + src <= 80; + when "01011011" => + dest <= 13; + src <= 40; + when "01011100" => + dest <= 37; + src <= 12; + when "01011101" => + dest <= 42; + src <= 13; + when "01011110" => + dest <= 13; + src <= 51; + when "01011111" => + dest <= 71; + src <= 74; + when "01100000" => + dest <= 28; + src <= 13; + when "01100001" => + dest <= 13; + src <= 13; + when "01100010" => + dest <= 13; + src <= 64; + when "01100011" => + dest <= 31; + src <= 84; + when "01100100" => + dest <= 7; + src <= 99; + when "01100101" => + dest <= 90; + src <= 13; + when "01100110" => + dest <= 13; + src <= 13; + when "01100111" => + dest <= 96; + src <= 55; + when "01101000" => + dest <= 32; + src <= 8; + when "01101001" => + dest <= 85; + src <= 95; + when "01101010" => + dest <= 13; + src <= 13; + when "01101011" => + dest <= 20; + src <= 29; + when "01101100" => + dest <= 74; + src <= 36; + when "01101101" => + dest <= 13; + src <= 94; + when "01101110" => + dest <= 45; + src <= 30; + when "01101111" => + dest <= 13; + src <= 13; + when "01110000" => + dest <= 72; + src <= 41; + when "01110001" => + dest <= 36; + src <= 6; + when "01110010" => + dest <= 13; + src <= 13; + when "01110011" => + dest <= 13; + src <= 52; + when "01110100" => + dest <= 81; + src <= 13; + when "01110101" => + dest <= 27; + src <= 81; + when "01110110" => + dest <= 13; + src <= 13; + when "01110111" => + dest <= 63; + src <= 54; + when "01111000" => + dest <= 13; + src <= 90; + when "01111001" => + dest <= 18; + src <= 13; + when "01111010" => + dest <= 13; + src <= 13; + when "01111011" => + dest <= 13; + src <= 45; + when "01111100" => + dest <= 0; + src <= 9; + when "01111101" => + dest <= 6; + src <= 13; + when "01111110" => + dest <= 13; + src <= 63; + when "01111111" => + dest <= 95; + src <= 13; + when "10000000" => + dest <= 13; + src <= 18; + when "10000001" => + dest <= 84; + src <= 13; + when "10000010" => + dest <= 13; + src <= 26; + when "10000011" => + dest <= 44; + src <= 20; + when "10000100" => + dest <= 82; + src <= 13; + when "10000101" => + dest <= 35; + src <= 31; + when "10000110" => + dest <= 13; + src <= 13; + when "10000111" => + dest <= 91; + src <= 42; + when "10001000" => + dest <= 26; + src <= 13; + when "10001001" => + dest <= 73; + src <= 82; + when "10001010" => + dest <= 13; + src <= 44; + when "10001011" => + dest <= 17; + src <= 91; + when "10001100" => + dest <= 13; + src <= 13; + when "10001101" => + dest <= 13; + src <= 35; + when "10001110" => + dest <= 5; + src <= 0; + when "10001111" => + dest <= 94; + src <= 53; + when "10010000" => + dest <= 13; + src <= 13; + when "10010001" => + dest <= 92; + src <= 19; + when "10010010" => + dest <= 34; + src <= 13; + when "10010011" => + dest <= 83; + src <= 21; + when "10010100" => + dest <= 16; + src <= 32; + when "10010101" => + dest <= 13; + src <= 13; + when "10010110" => + dest <= 4; + src <= 34; + when "10010111" => + dest <= 93; + src <= 92; + when "10011000" => + dest <= 15; + src <= 43; + when "10011001" => + dest <= 13; + src <= 10; + when "10011010" => + dest <= 13; + src <= 22; + when "10011011" => + dest <= 68; + src <= 33; + when "10011100" => + dest <= 57; + src <= 11; + when others => + dest <= 13; + src <= 13; + + end case; + end process; + + end generate NI_NUM13; + + NI_NUM14 : if NI_NUM = 14 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 20; + src <= 14; + when "00000001" => + dest <= 34; + src <= 14; + when "00000010" => + dest <= 14; + src <= 14; + when "00000011" => + dest <= 4; + src <= 14; + when "00000100" => + dest <= 79; + src <= 14; + when "00000101" => + dest <= 60; + src <= 94; + when "00000110" => + dest <= 14; + src <= 24; + when "00000111" => + dest <= 50; + src <= 8; + when "00001000" => + dest <= 2; + src <= 14; + when "00001001" => + dest <= 14; + src <= 70; + when "00001010" => + dest <= 14; + src <= 69; + when "00001011" => + dest <= 24; + src <= 14; + when "00001100" => + dest <= 40; + src <= 14; + when "00001101" => + dest <= 59; + src <= 26; + when "00001110" => + dest <= 68; + src <= 4; + when "00001111" => + dest <= 44; + src <= 59; + when "00010000" => + dest <= 14; + src <= 68; + when "00010001" => + dest <= 14; + src <= 78; + when "00010010" => + dest <= 14; + src <= 14; + when "00010011" => + dest <= 0; + src <= 14; + when "00010100" => + dest <= 89; + src <= 84; + when "00010101" => + dest <= 14; + src <= 88; + when "00010110" => + dest <= 54; + src <= 14; + when "00010111" => + dest <= 3; + src <= 14; + when "00011000" => + dest <= 78; + src <= 79; + when "00011001" => + dest <= 61; + src <= 60; + when "00011010" => + dest <= 14; + src <= 28; + when "00011011" => + dest <= 51; + src <= 25; + when "00011100" => + dest <= 11; + src <= 74; + when "00011101" => + dest <= 14; + src <= 14; + when "00011110" => + dest <= 14; + src <= 49; + when "00011111" => + dest <= 41; + src <= 14; + when "00100000" => + dest <= 26; + src <= 14; + when "00100001" => + dest <= 70; + src <= 17; + when "00100010" => + dest <= 67; + src <= 50; + when "00100011" => + dest <= 49; + src <= 67; + when "00100100" => + dest <= 14; + src <= 77; + when "00100101" => + dest <= 25; + src <= 2; + when "00100110" => + dest <= 14; + src <= 14; + when "00100111" => + dest <= 30; + src <= 87; + when "00101000" => + dest <= 14; + src <= 14; + when "00101001" => + dest <= 14; + src <= 3; + when "00101010" => + dest <= 99; + src <= 14; + when "00101011" => + dest <= 55; + src <= 58; + when "00101100" => + dest <= 90; + src <= 61; + when "00101101" => + dest <= 13; + src <= 89; + when "00101110" => + dest <= 88; + src <= 14; + when "00101111" => + dest <= 14; + src <= 98; + when "00110000" => + dest <= 14; + src <= 15; + when "00110001" => + dest <= 77; + src <= 14; + when "00110010" => + dest <= 71; + src <= 73; + when "00110011" => + dest <= 14; + src <= 39; + when "00110100" => + dest <= 52; + src <= 38; + when "00110101" => + dest <= 12; + src <= 14; + when "00110110" => + dest <= 14; + src <= 14; + when "00110111" => + dest <= 14; + src <= 40; + when "00111000" => + dest <= 57; + src <= 14; + when "00111001" => + dest <= 80; + src <= 16; + when "00111010" => + dest <= 23; + src <= 51; + when "00111011" => + dest <= 66; + src <= 57; + when "00111100" => + dest <= 14; + src <= 76; + when "00111101" => + dest <= 48; + src <= 14; + when "00111110" => + dest <= 14; + src <= 5; + when "00111111" => + dest <= 39; + src <= 14; + when "01000000" => + dest <= 14; + src <= 14; + when "01000001" => + dest <= 10; + src <= 71; + when "01000010" => + dest <= 62; + src <= 48; + when "01000011" => + dest <= 14; + src <= 14; + when "01000100" => + dest <= 22; + src <= 62; + when "01000101" => + dest <= 14; + src <= 14; + when "01000110" => + dest <= 56; + src <= 80; + when "01000111" => + dest <= 9; + src <= 18; + when "01001000" => + dest <= 81; + src <= 99; + when "01001001" => + dest <= 14; + src <= 6; + when "01001010" => + dest <= 14; + src <= 14; + when "01001011" => + dest <= 14; + src <= 66; + when "01001100" => + dest <= 98; + src <= 14; + when "01001101" => + dest <= 65; + src <= 14; + when "01001110" => + dest <= 14; + src <= 72; + when "01001111" => + dest <= 31; + src <= 29; + when "01010000" => + dest <= 14; + src <= 47; + when "01010001" => + dest <= 42; + src <= 14; + when "01010010" => + dest <= 47; + src <= 14; + when "01010011" => + dest <= 87; + src <= 14; + when "01010100" => + dest <= 14; + src <= 30; + when "01010101" => + dest <= 14; + src <= 63; + when "01010110" => + dest <= 76; + src <= 97; + when "01010111" => + dest <= 14; + src <= 14; + when "01011000" => + dest <= 53; + src <= 86; + when "01011001" => + dest <= 15; + src <= 14; + when "01011010" => + dest <= 63; + src <= 81; + when "01011011" => + dest <= 14; + src <= 41; + when "01011100" => + dest <= 38; + src <= 13; + when "01011101" => + dest <= 43; + src <= 14; + when "01011110" => + dest <= 14; + src <= 52; + when "01011111" => + dest <= 72; + src <= 75; + when "01100000" => + dest <= 29; + src <= 14; + when "01100001" => + dest <= 14; + src <= 14; + when "01100010" => + dest <= 14; + src <= 65; + when "01100011" => + dest <= 32; + src <= 85; + when "01100100" => + dest <= 8; + src <= 90; + when "01100101" => + dest <= 91; + src <= 14; + when "01100110" => + dest <= 14; + src <= 14; + when "01100111" => + dest <= 97; + src <= 56; + when "01101000" => + dest <= 33; + src <= 9; + when "01101001" => + dest <= 86; + src <= 96; + when "01101010" => + dest <= 14; + src <= 14; + when "01101011" => + dest <= 21; + src <= 20; + when "01101100" => + dest <= 75; + src <= 37; + when "01101101" => + dest <= 14; + src <= 95; + when "01101110" => + dest <= 46; + src <= 31; + when "01101111" => + dest <= 14; + src <= 14; + when "01110000" => + dest <= 73; + src <= 42; + when "01110001" => + dest <= 37; + src <= 7; + when "01110010" => + dest <= 14; + src <= 14; + when "01110011" => + dest <= 14; + src <= 53; + when "01110100" => + dest <= 82; + src <= 14; + when "01110101" => + dest <= 28; + src <= 82; + when "01110110" => + dest <= 14; + src <= 14; + when "01110111" => + dest <= 64; + src <= 55; + when "01111000" => + dest <= 14; + src <= 91; + when "01111001" => + dest <= 19; + src <= 14; + when "01111010" => + dest <= 14; + src <= 14; + when "01111011" => + dest <= 14; + src <= 46; + when "01111100" => + dest <= 1; + src <= 0; + when "01111101" => + dest <= 7; + src <= 14; + when "01111110" => + dest <= 14; + src <= 64; + when "01111111" => + dest <= 96; + src <= 14; + when "10000000" => + dest <= 14; + src <= 19; + when "10000001" => + dest <= 85; + src <= 14; + when "10000010" => + dest <= 14; + src <= 27; + when "10000011" => + dest <= 45; + src <= 21; + when "10000100" => + dest <= 83; + src <= 14; + when "10000101" => + dest <= 36; + src <= 32; + when "10000110" => + dest <= 14; + src <= 14; + when "10000111" => + dest <= 92; + src <= 43; + when "10001000" => + dest <= 27; + src <= 14; + when "10001001" => + dest <= 74; + src <= 83; + when "10001010" => + dest <= 14; + src <= 45; + when "10001011" => + dest <= 18; + src <= 92; + when "10001100" => + dest <= 14; + src <= 14; + when "10001101" => + dest <= 14; + src <= 36; + when "10001110" => + dest <= 6; + src <= 1; + when "10001111" => + dest <= 95; + src <= 54; + when "10010000" => + dest <= 14; + src <= 14; + when "10010001" => + dest <= 93; + src <= 10; + when "10010010" => + dest <= 35; + src <= 14; + when "10010011" => + dest <= 84; + src <= 22; + when "10010100" => + dest <= 17; + src <= 33; + when "10010101" => + dest <= 14; + src <= 14; + when "10010110" => + dest <= 5; + src <= 35; + when "10010111" => + dest <= 94; + src <= 93; + when "10011000" => + dest <= 16; + src <= 44; + when "10011001" => + dest <= 14; + src <= 11; + when "10011010" => + dest <= 14; + src <= 23; + when "10011011" => + dest <= 69; + src <= 34; + when "10011100" => + dest <= 58; + src <= 12; + when others => + dest <= 14; + src <= 14; + + end case; + end process; + + end generate NI_NUM14; + + NI_NUM15 : if NI_NUM = 15 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 21; + src <= 15; + when "00000001" => + dest <= 35; + src <= 15; + when "00000010" => + dest <= 15; + src <= 15; + when "00000011" => + dest <= 5; + src <= 15; + when "00000100" => + dest <= 70; + src <= 15; + when "00000101" => + dest <= 61; + src <= 95; + when "00000110" => + dest <= 15; + src <= 25; + when "00000111" => + dest <= 51; + src <= 9; + when "00001000" => + dest <= 3; + src <= 15; + when "00001001" => + dest <= 15; + src <= 71; + when "00001010" => + dest <= 15; + src <= 60; + when "00001011" => + dest <= 25; + src <= 15; + when "00001100" => + dest <= 41; + src <= 15; + when "00001101" => + dest <= 50; + src <= 27; + when "00001110" => + dest <= 69; + src <= 5; + when "00001111" => + dest <= 45; + src <= 50; + when "00010000" => + dest <= 15; + src <= 69; + when "00010001" => + dest <= 15; + src <= 79; + when "00010010" => + dest <= 15; + src <= 15; + when "00010011" => + dest <= 1; + src <= 15; + when "00010100" => + dest <= 80; + src <= 85; + when "00010101" => + dest <= 15; + src <= 89; + when "00010110" => + dest <= 55; + src <= 15; + when "00010111" => + dest <= 4; + src <= 15; + when "00011000" => + dest <= 79; + src <= 70; + when "00011001" => + dest <= 62; + src <= 61; + when "00011010" => + dest <= 15; + src <= 29; + when "00011011" => + dest <= 52; + src <= 26; + when "00011100" => + dest <= 12; + src <= 75; + when "00011101" => + dest <= 15; + src <= 15; + when "00011110" => + dest <= 15; + src <= 40; + when "00011111" => + dest <= 42; + src <= 15; + when "00100000" => + dest <= 27; + src <= 15; + when "00100001" => + dest <= 71; + src <= 18; + when "00100010" => + dest <= 68; + src <= 51; + when "00100011" => + dest <= 40; + src <= 68; + when "00100100" => + dest <= 15; + src <= 78; + when "00100101" => + dest <= 26; + src <= 3; + when "00100110" => + dest <= 15; + src <= 15; + when "00100111" => + dest <= 31; + src <= 88; + when "00101000" => + dest <= 15; + src <= 15; + when "00101001" => + dest <= 15; + src <= 4; + when "00101010" => + dest <= 90; + src <= 15; + when "00101011" => + dest <= 56; + src <= 59; + when "00101100" => + dest <= 91; + src <= 62; + when "00101101" => + dest <= 14; + src <= 80; + when "00101110" => + dest <= 89; + src <= 15; + when "00101111" => + dest <= 15; + src <= 99; + when "00110000" => + dest <= 15; + src <= 16; + when "00110001" => + dest <= 78; + src <= 15; + when "00110010" => + dest <= 72; + src <= 74; + when "00110011" => + dest <= 15; + src <= 30; + when "00110100" => + dest <= 53; + src <= 39; + when "00110101" => + dest <= 13; + src <= 15; + when "00110110" => + dest <= 15; + src <= 15; + when "00110111" => + dest <= 15; + src <= 41; + when "00111000" => + dest <= 58; + src <= 15; + when "00111001" => + dest <= 81; + src <= 17; + when "00111010" => + dest <= 24; + src <= 52; + when "00111011" => + dest <= 67; + src <= 58; + when "00111100" => + dest <= 15; + src <= 77; + when "00111101" => + dest <= 49; + src <= 15; + when "00111110" => + dest <= 15; + src <= 6; + when "00111111" => + dest <= 30; + src <= 15; + when "01000000" => + dest <= 15; + src <= 15; + when "01000001" => + dest <= 11; + src <= 72; + when "01000010" => + dest <= 63; + src <= 49; + when "01000011" => + dest <= 15; + src <= 15; + when "01000100" => + dest <= 23; + src <= 63; + when "01000101" => + dest <= 15; + src <= 15; + when "01000110" => + dest <= 57; + src <= 81; + when "01000111" => + dest <= 0; + src <= 19; + when "01001000" => + dest <= 82; + src <= 90; + when "01001001" => + dest <= 15; + src <= 7; + when "01001010" => + dest <= 15; + src <= 15; + when "01001011" => + dest <= 15; + src <= 67; + when "01001100" => + dest <= 99; + src <= 15; + when "01001101" => + dest <= 66; + src <= 15; + when "01001110" => + dest <= 15; + src <= 73; + when "01001111" => + dest <= 32; + src <= 20; + when "01010000" => + dest <= 15; + src <= 48; + when "01010001" => + dest <= 43; + src <= 15; + when "01010010" => + dest <= 48; + src <= 15; + when "01010011" => + dest <= 88; + src <= 15; + when "01010100" => + dest <= 15; + src <= 31; + when "01010101" => + dest <= 15; + src <= 64; + when "01010110" => + dest <= 77; + src <= 98; + when "01010111" => + dest <= 15; + src <= 15; + when "01011000" => + dest <= 54; + src <= 87; + when "01011001" => + dest <= 16; + src <= 15; + when "01011010" => + dest <= 64; + src <= 82; + when "01011011" => + dest <= 15; + src <= 42; + when "01011100" => + dest <= 39; + src <= 14; + when "01011101" => + dest <= 44; + src <= 15; + when "01011110" => + dest <= 15; + src <= 53; + when "01011111" => + dest <= 73; + src <= 76; + when "01100000" => + dest <= 20; + src <= 15; + when "01100001" => + dest <= 15; + src <= 15; + when "01100010" => + dest <= 15; + src <= 66; + when "01100011" => + dest <= 33; + src <= 86; + when "01100100" => + dest <= 9; + src <= 91; + when "01100101" => + dest <= 92; + src <= 15; + when "01100110" => + dest <= 15; + src <= 15; + when "01100111" => + dest <= 98; + src <= 57; + when "01101000" => + dest <= 34; + src <= 0; + when "01101001" => + dest <= 87; + src <= 97; + when "01101010" => + dest <= 15; + src <= 15; + when "01101011" => + dest <= 22; + src <= 21; + when "01101100" => + dest <= 76; + src <= 38; + when "01101101" => + dest <= 15; + src <= 96; + when "01101110" => + dest <= 47; + src <= 32; + when "01101111" => + dest <= 15; + src <= 15; + when "01110000" => + dest <= 74; + src <= 43; + when "01110001" => + dest <= 38; + src <= 8; + when "01110010" => + dest <= 15; + src <= 15; + when "01110011" => + dest <= 15; + src <= 54; + when "01110100" => + dest <= 83; + src <= 15; + when "01110101" => + dest <= 29; + src <= 83; + when "01110110" => + dest <= 15; + src <= 15; + when "01110111" => + dest <= 65; + src <= 56; + when "01111000" => + dest <= 15; + src <= 92; + when "01111001" => + dest <= 10; + src <= 15; + when "01111010" => + dest <= 15; + src <= 15; + when "01111011" => + dest <= 15; + src <= 47; + when "01111100" => + dest <= 2; + src <= 1; + when "01111101" => + dest <= 8; + src <= 15; + when "01111110" => + dest <= 15; + src <= 65; + when "01111111" => + dest <= 97; + src <= 15; + when "10000000" => + dest <= 15; + src <= 10; + when "10000001" => + dest <= 86; + src <= 15; + when "10000010" => + dest <= 15; + src <= 28; + when "10000011" => + dest <= 46; + src <= 22; + when "10000100" => + dest <= 84; + src <= 15; + when "10000101" => + dest <= 37; + src <= 33; + when "10000110" => + dest <= 15; + src <= 15; + when "10000111" => + dest <= 93; + src <= 44; + when "10001000" => + dest <= 28; + src <= 15; + when "10001001" => + dest <= 75; + src <= 84; + when "10001010" => + dest <= 15; + src <= 46; + when "10001011" => + dest <= 19; + src <= 93; + when "10001100" => + dest <= 15; + src <= 15; + when "10001101" => + dest <= 15; + src <= 37; + when "10001110" => + dest <= 7; + src <= 2; + when "10001111" => + dest <= 96; + src <= 55; + when "10010000" => + dest <= 15; + src <= 15; + when "10010001" => + dest <= 94; + src <= 11; + when "10010010" => + dest <= 36; + src <= 15; + when "10010011" => + dest <= 85; + src <= 23; + when "10010100" => + dest <= 18; + src <= 34; + when "10010101" => + dest <= 15; + src <= 15; + when "10010110" => + dest <= 6; + src <= 36; + when "10010111" => + dest <= 95; + src <= 94; + when "10011000" => + dest <= 17; + src <= 45; + when "10011001" => + dest <= 15; + src <= 12; + when "10011010" => + dest <= 15; + src <= 24; + when "10011011" => + dest <= 60; + src <= 35; + when "10011100" => + dest <= 59; + src <= 13; + when others => + dest <= 15; + src <= 15; + + end case; + end process; + + end generate NI_NUM15; + + NI_NUM16 : if NI_NUM = 16 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 22; + src <= 16; + when "00000001" => + dest <= 36; + src <= 16; + when "00000010" => + dest <= 16; + src <= 16; + when "00000011" => + dest <= 6; + src <= 16; + when "00000100" => + dest <= 71; + src <= 16; + when "00000101" => + dest <= 62; + src <= 96; + when "00000110" => + dest <= 16; + src <= 26; + when "00000111" => + dest <= 52; + src <= 0; + when "00001000" => + dest <= 4; + src <= 16; + when "00001001" => + dest <= 16; + src <= 72; + when "00001010" => + dest <= 16; + src <= 61; + when "00001011" => + dest <= 26; + src <= 16; + when "00001100" => + dest <= 42; + src <= 16; + when "00001101" => + dest <= 51; + src <= 28; + when "00001110" => + dest <= 60; + src <= 6; + when "00001111" => + dest <= 46; + src <= 51; + when "00010000" => + dest <= 16; + src <= 60; + when "00010001" => + dest <= 16; + src <= 70; + when "00010010" => + dest <= 16; + src <= 16; + when "00010011" => + dest <= 2; + src <= 16; + when "00010100" => + dest <= 81; + src <= 86; + when "00010101" => + dest <= 16; + src <= 80; + when "00010110" => + dest <= 56; + src <= 16; + when "00010111" => + dest <= 5; + src <= 16; + when "00011000" => + dest <= 70; + src <= 71; + when "00011001" => + dest <= 63; + src <= 62; + when "00011010" => + dest <= 16; + src <= 20; + when "00011011" => + dest <= 53; + src <= 27; + when "00011100" => + dest <= 13; + src <= 76; + when "00011101" => + dest <= 16; + src <= 16; + when "00011110" => + dest <= 16; + src <= 41; + when "00011111" => + dest <= 43; + src <= 16; + when "00100000" => + dest <= 28; + src <= 16; + when "00100001" => + dest <= 72; + src <= 19; + when "00100010" => + dest <= 69; + src <= 52; + when "00100011" => + dest <= 41; + src <= 69; + when "00100100" => + dest <= 16; + src <= 79; + when "00100101" => + dest <= 27; + src <= 4; + when "00100110" => + dest <= 16; + src <= 16; + when "00100111" => + dest <= 32; + src <= 89; + when "00101000" => + dest <= 16; + src <= 16; + when "00101001" => + dest <= 16; + src <= 5; + when "00101010" => + dest <= 91; + src <= 16; + when "00101011" => + dest <= 57; + src <= 50; + when "00101100" => + dest <= 92; + src <= 63; + when "00101101" => + dest <= 15; + src <= 81; + when "00101110" => + dest <= 80; + src <= 16; + when "00101111" => + dest <= 16; + src <= 90; + when "00110000" => + dest <= 16; + src <= 17; + when "00110001" => + dest <= 79; + src <= 16; + when "00110010" => + dest <= 73; + src <= 75; + when "00110011" => + dest <= 16; + src <= 31; + when "00110100" => + dest <= 54; + src <= 30; + when "00110101" => + dest <= 14; + src <= 16; + when "00110110" => + dest <= 16; + src <= 16; + when "00110111" => + dest <= 16; + src <= 42; + when "00111000" => + dest <= 59; + src <= 16; + when "00111001" => + dest <= 82; + src <= 18; + when "00111010" => + dest <= 25; + src <= 53; + when "00111011" => + dest <= 68; + src <= 59; + when "00111100" => + dest <= 16; + src <= 78; + when "00111101" => + dest <= 40; + src <= 16; + when "00111110" => + dest <= 16; + src <= 7; + when "00111111" => + dest <= 31; + src <= 16; + when "01000000" => + dest <= 16; + src <= 16; + when "01000001" => + dest <= 12; + src <= 73; + when "01000010" => + dest <= 64; + src <= 40; + when "01000011" => + dest <= 16; + src <= 16; + when "01000100" => + dest <= 24; + src <= 64; + when "01000101" => + dest <= 16; + src <= 16; + when "01000110" => + dest <= 58; + src <= 82; + when "01000111" => + dest <= 1; + src <= 10; + when "01001000" => + dest <= 83; + src <= 91; + when "01001001" => + dest <= 16; + src <= 8; + when "01001010" => + dest <= 16; + src <= 16; + when "01001011" => + dest <= 16; + src <= 68; + when "01001100" => + dest <= 90; + src <= 16; + when "01001101" => + dest <= 67; + src <= 16; + when "01001110" => + dest <= 16; + src <= 74; + when "01001111" => + dest <= 33; + src <= 21; + when "01010000" => + dest <= 16; + src <= 49; + when "01010001" => + dest <= 44; + src <= 16; + when "01010010" => + dest <= 49; + src <= 16; + when "01010011" => + dest <= 89; + src <= 16; + when "01010100" => + dest <= 16; + src <= 32; + when "01010101" => + dest <= 16; + src <= 65; + when "01010110" => + dest <= 78; + src <= 99; + when "01010111" => + dest <= 16; + src <= 16; + when "01011000" => + dest <= 55; + src <= 88; + when "01011001" => + dest <= 17; + src <= 16; + when "01011010" => + dest <= 65; + src <= 83; + when "01011011" => + dest <= 16; + src <= 43; + when "01011100" => + dest <= 30; + src <= 15; + when "01011101" => + dest <= 45; + src <= 16; + when "01011110" => + dest <= 16; + src <= 54; + when "01011111" => + dest <= 74; + src <= 77; + when "01100000" => + dest <= 21; + src <= 16; + when "01100001" => + dest <= 16; + src <= 16; + when "01100010" => + dest <= 16; + src <= 67; + when "01100011" => + dest <= 34; + src <= 87; + when "01100100" => + dest <= 0; + src <= 92; + when "01100101" => + dest <= 93; + src <= 16; + when "01100110" => + dest <= 16; + src <= 16; + when "01100111" => + dest <= 99; + src <= 58; + when "01101000" => + dest <= 35; + src <= 1; + when "01101001" => + dest <= 88; + src <= 98; + when "01101010" => + dest <= 16; + src <= 16; + when "01101011" => + dest <= 23; + src <= 22; + when "01101100" => + dest <= 77; + src <= 39; + when "01101101" => + dest <= 16; + src <= 97; + when "01101110" => + dest <= 48; + src <= 33; + when "01101111" => + dest <= 16; + src <= 16; + when "01110000" => + dest <= 75; + src <= 44; + when "01110001" => + dest <= 39; + src <= 9; + when "01110010" => + dest <= 16; + src <= 16; + when "01110011" => + dest <= 16; + src <= 55; + when "01110100" => + dest <= 84; + src <= 16; + when "01110101" => + dest <= 20; + src <= 84; + when "01110110" => + dest <= 16; + src <= 16; + when "01110111" => + dest <= 66; + src <= 57; + when "01111000" => + dest <= 16; + src <= 93; + when "01111001" => + dest <= 11; + src <= 16; + when "01111010" => + dest <= 16; + src <= 16; + when "01111011" => + dest <= 16; + src <= 48; + when "01111100" => + dest <= 3; + src <= 2; + when "01111101" => + dest <= 9; + src <= 16; + when "01111110" => + dest <= 16; + src <= 66; + when "01111111" => + dest <= 98; + src <= 16; + when "10000000" => + dest <= 16; + src <= 11; + when "10000001" => + dest <= 87; + src <= 16; + when "10000010" => + dest <= 16; + src <= 29; + when "10000011" => + dest <= 47; + src <= 23; + when "10000100" => + dest <= 85; + src <= 16; + when "10000101" => + dest <= 38; + src <= 34; + when "10000110" => + dest <= 16; + src <= 16; + when "10000111" => + dest <= 94; + src <= 45; + when "10001000" => + dest <= 29; + src <= 16; + when "10001001" => + dest <= 76; + src <= 85; + when "10001010" => + dest <= 16; + src <= 47; + when "10001011" => + dest <= 10; + src <= 94; + when "10001100" => + dest <= 16; + src <= 16; + when "10001101" => + dest <= 16; + src <= 38; + when "10001110" => + dest <= 8; + src <= 3; + when "10001111" => + dest <= 97; + src <= 56; + when "10010000" => + dest <= 16; + src <= 16; + when "10010001" => + dest <= 95; + src <= 12; + when "10010010" => + dest <= 37; + src <= 16; + when "10010011" => + dest <= 86; + src <= 24; + when "10010100" => + dest <= 19; + src <= 35; + when "10010101" => + dest <= 16; + src <= 16; + when "10010110" => + dest <= 7; + src <= 37; + when "10010111" => + dest <= 96; + src <= 95; + when "10011000" => + dest <= 18; + src <= 46; + when "10011001" => + dest <= 16; + src <= 13; + when "10011010" => + dest <= 16; + src <= 25; + when "10011011" => + dest <= 61; + src <= 36; + when "10011100" => + dest <= 50; + src <= 14; + when others => + dest <= 16; + src <= 16; + + end case; + end process; + + end generate NI_NUM16; + + NI_NUM17 : if NI_NUM = 17 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 23; + src <= 17; + when "00000001" => + dest <= 37; + src <= 17; + when "00000010" => + dest <= 17; + src <= 17; + when "00000011" => + dest <= 7; + src <= 17; + when "00000100" => + dest <= 72; + src <= 17; + when "00000101" => + dest <= 63; + src <= 97; + when "00000110" => + dest <= 17; + src <= 27; + when "00000111" => + dest <= 53; + src <= 1; + when "00001000" => + dest <= 5; + src <= 17; + when "00001001" => + dest <= 17; + src <= 73; + when "00001010" => + dest <= 17; + src <= 62; + when "00001011" => + dest <= 27; + src <= 17; + when "00001100" => + dest <= 43; + src <= 17; + when "00001101" => + dest <= 52; + src <= 29; + when "00001110" => + dest <= 61; + src <= 7; + when "00001111" => + dest <= 47; + src <= 52; + when "00010000" => + dest <= 17; + src <= 61; + when "00010001" => + dest <= 17; + src <= 71; + when "00010010" => + dest <= 17; + src <= 17; + when "00010011" => + dest <= 3; + src <= 17; + when "00010100" => + dest <= 82; + src <= 87; + when "00010101" => + dest <= 17; + src <= 81; + when "00010110" => + dest <= 57; + src <= 17; + when "00010111" => + dest <= 6; + src <= 17; + when "00011000" => + dest <= 71; + src <= 72; + when "00011001" => + dest <= 64; + src <= 63; + when "00011010" => + dest <= 17; + src <= 21; + when "00011011" => + dest <= 54; + src <= 28; + when "00011100" => + dest <= 14; + src <= 77; + when "00011101" => + dest <= 17; + src <= 17; + when "00011110" => + dest <= 17; + src <= 42; + when "00011111" => + dest <= 44; + src <= 17; + when "00100000" => + dest <= 29; + src <= 17; + when "00100001" => + dest <= 73; + src <= 10; + when "00100010" => + dest <= 60; + src <= 53; + when "00100011" => + dest <= 42; + src <= 60; + when "00100100" => + dest <= 17; + src <= 70; + when "00100101" => + dest <= 28; + src <= 5; + when "00100110" => + dest <= 17; + src <= 17; + when "00100111" => + dest <= 33; + src <= 80; + when "00101000" => + dest <= 17; + src <= 17; + when "00101001" => + dest <= 17; + src <= 6; + when "00101010" => + dest <= 92; + src <= 17; + when "00101011" => + dest <= 58; + src <= 51; + when "00101100" => + dest <= 93; + src <= 64; + when "00101101" => + dest <= 16; + src <= 82; + when "00101110" => + dest <= 81; + src <= 17; + when "00101111" => + dest <= 17; + src <= 91; + when "00110000" => + dest <= 17; + src <= 18; + when "00110001" => + dest <= 70; + src <= 17; + when "00110010" => + dest <= 74; + src <= 76; + when "00110011" => + dest <= 17; + src <= 32; + when "00110100" => + dest <= 55; + src <= 31; + when "00110101" => + dest <= 15; + src <= 17; + when "00110110" => + dest <= 17; + src <= 17; + when "00110111" => + dest <= 17; + src <= 43; + when "00111000" => + dest <= 50; + src <= 17; + when "00111001" => + dest <= 83; + src <= 19; + when "00111010" => + dest <= 26; + src <= 54; + when "00111011" => + dest <= 69; + src <= 50; + when "00111100" => + dest <= 17; + src <= 79; + when "00111101" => + dest <= 41; + src <= 17; + when "00111110" => + dest <= 17; + src <= 8; + when "00111111" => + dest <= 32; + src <= 17; + when "01000000" => + dest <= 17; + src <= 17; + when "01000001" => + dest <= 13; + src <= 74; + when "01000010" => + dest <= 65; + src <= 41; + when "01000011" => + dest <= 17; + src <= 17; + when "01000100" => + dest <= 25; + src <= 65; + when "01000101" => + dest <= 17; + src <= 17; + when "01000110" => + dest <= 59; + src <= 83; + when "01000111" => + dest <= 2; + src <= 11; + when "01001000" => + dest <= 84; + src <= 92; + when "01001001" => + dest <= 17; + src <= 9; + when "01001010" => + dest <= 17; + src <= 17; + when "01001011" => + dest <= 17; + src <= 69; + when "01001100" => + dest <= 91; + src <= 17; + when "01001101" => + dest <= 68; + src <= 17; + when "01001110" => + dest <= 17; + src <= 75; + when "01001111" => + dest <= 34; + src <= 22; + when "01010000" => + dest <= 17; + src <= 40; + when "01010001" => + dest <= 45; + src <= 17; + when "01010010" => + dest <= 40; + src <= 17; + when "01010011" => + dest <= 80; + src <= 17; + when "01010100" => + dest <= 17; + src <= 33; + when "01010101" => + dest <= 17; + src <= 66; + when "01010110" => + dest <= 79; + src <= 90; + when "01010111" => + dest <= 17; + src <= 17; + when "01011000" => + dest <= 56; + src <= 89; + when "01011001" => + dest <= 18; + src <= 17; + when "01011010" => + dest <= 66; + src <= 84; + when "01011011" => + dest <= 17; + src <= 44; + when "01011100" => + dest <= 31; + src <= 16; + when "01011101" => + dest <= 46; + src <= 17; + when "01011110" => + dest <= 17; + src <= 55; + when "01011111" => + dest <= 75; + src <= 78; + when "01100000" => + dest <= 22; + src <= 17; + when "01100001" => + dest <= 17; + src <= 17; + when "01100010" => + dest <= 17; + src <= 68; + when "01100011" => + dest <= 35; + src <= 88; + when "01100100" => + dest <= 1; + src <= 93; + when "01100101" => + dest <= 94; + src <= 17; + when "01100110" => + dest <= 17; + src <= 17; + when "01100111" => + dest <= 90; + src <= 59; + when "01101000" => + dest <= 36; + src <= 2; + when "01101001" => + dest <= 89; + src <= 99; + when "01101010" => + dest <= 17; + src <= 17; + when "01101011" => + dest <= 24; + src <= 23; + when "01101100" => + dest <= 78; + src <= 30; + when "01101101" => + dest <= 17; + src <= 98; + when "01101110" => + dest <= 49; + src <= 34; + when "01101111" => + dest <= 17; + src <= 17; + when "01110000" => + dest <= 76; + src <= 45; + when "01110001" => + dest <= 30; + src <= 0; + when "01110010" => + dest <= 17; + src <= 17; + when "01110011" => + dest <= 17; + src <= 56; + when "01110100" => + dest <= 85; + src <= 17; + when "01110101" => + dest <= 21; + src <= 85; + when "01110110" => + dest <= 17; + src <= 17; + when "01110111" => + dest <= 67; + src <= 58; + when "01111000" => + dest <= 17; + src <= 94; + when "01111001" => + dest <= 12; + src <= 17; + when "01111010" => + dest <= 17; + src <= 17; + when "01111011" => + dest <= 17; + src <= 49; + when "01111100" => + dest <= 4; + src <= 3; + when "01111101" => + dest <= 0; + src <= 17; + when "01111110" => + dest <= 17; + src <= 67; + when "01111111" => + dest <= 99; + src <= 17; + when "10000000" => + dest <= 17; + src <= 12; + when "10000001" => + dest <= 88; + src <= 17; + when "10000010" => + dest <= 17; + src <= 20; + when "10000011" => + dest <= 48; + src <= 24; + when "10000100" => + dest <= 86; + src <= 17; + when "10000101" => + dest <= 39; + src <= 35; + when "10000110" => + dest <= 17; + src <= 17; + when "10000111" => + dest <= 95; + src <= 46; + when "10001000" => + dest <= 20; + src <= 17; + when "10001001" => + dest <= 77; + src <= 86; + when "10001010" => + dest <= 17; + src <= 48; + when "10001011" => + dest <= 11; + src <= 95; + when "10001100" => + dest <= 17; + src <= 17; + when "10001101" => + dest <= 17; + src <= 39; + when "10001110" => + dest <= 9; + src <= 4; + when "10001111" => + dest <= 98; + src <= 57; + when "10010000" => + dest <= 17; + src <= 17; + when "10010001" => + dest <= 96; + src <= 13; + when "10010010" => + dest <= 38; + src <= 17; + when "10010011" => + dest <= 87; + src <= 25; + when "10010100" => + dest <= 10; + src <= 36; + when "10010101" => + dest <= 17; + src <= 17; + when "10010110" => + dest <= 8; + src <= 38; + when "10010111" => + dest <= 97; + src <= 96; + when "10011000" => + dest <= 19; + src <= 47; + when "10011001" => + dest <= 17; + src <= 14; + when "10011010" => + dest <= 17; + src <= 26; + when "10011011" => + dest <= 62; + src <= 37; + when "10011100" => + dest <= 51; + src <= 15; + when others => + dest <= 17; + src <= 17; + + end case; + end process; + + end generate NI_NUM17; + + NI_NUM18 : if NI_NUM = 18 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 24; + src <= 18; + when "00000001" => + dest <= 38; + src <= 18; + when "00000010" => + dest <= 18; + src <= 18; + when "00000011" => + dest <= 8; + src <= 18; + when "00000100" => + dest <= 73; + src <= 18; + when "00000101" => + dest <= 64; + src <= 98; + when "00000110" => + dest <= 18; + src <= 28; + when "00000111" => + dest <= 54; + src <= 2; + when "00001000" => + dest <= 6; + src <= 18; + when "00001001" => + dest <= 18; + src <= 74; + when "00001010" => + dest <= 18; + src <= 63; + when "00001011" => + dest <= 28; + src <= 18; + when "00001100" => + dest <= 44; + src <= 18; + when "00001101" => + dest <= 53; + src <= 20; + when "00001110" => + dest <= 62; + src <= 8; + when "00001111" => + dest <= 48; + src <= 53; + when "00010000" => + dest <= 18; + src <= 62; + when "00010001" => + dest <= 18; + src <= 72; + when "00010010" => + dest <= 18; + src <= 18; + when "00010011" => + dest <= 4; + src <= 18; + when "00010100" => + dest <= 83; + src <= 88; + when "00010101" => + dest <= 18; + src <= 82; + when "00010110" => + dest <= 58; + src <= 18; + when "00010111" => + dest <= 7; + src <= 18; + when "00011000" => + dest <= 72; + src <= 73; + when "00011001" => + dest <= 65; + src <= 64; + when "00011010" => + dest <= 18; + src <= 22; + when "00011011" => + dest <= 55; + src <= 29; + when "00011100" => + dest <= 15; + src <= 78; + when "00011101" => + dest <= 18; + src <= 18; + when "00011110" => + dest <= 18; + src <= 43; + when "00011111" => + dest <= 45; + src <= 18; + when "00100000" => + dest <= 20; + src <= 18; + when "00100001" => + dest <= 74; + src <= 11; + when "00100010" => + dest <= 61; + src <= 54; + when "00100011" => + dest <= 43; + src <= 61; + when "00100100" => + dest <= 18; + src <= 71; + when "00100101" => + dest <= 29; + src <= 6; + when "00100110" => + dest <= 18; + src <= 18; + when "00100111" => + dest <= 34; + src <= 81; + when "00101000" => + dest <= 18; + src <= 18; + when "00101001" => + dest <= 18; + src <= 7; + when "00101010" => + dest <= 93; + src <= 18; + when "00101011" => + dest <= 59; + src <= 52; + when "00101100" => + dest <= 94; + src <= 65; + when "00101101" => + dest <= 17; + src <= 83; + when "00101110" => + dest <= 82; + src <= 18; + when "00101111" => + dest <= 18; + src <= 92; + when "00110000" => + dest <= 18; + src <= 19; + when "00110001" => + dest <= 71; + src <= 18; + when "00110010" => + dest <= 75; + src <= 77; + when "00110011" => + dest <= 18; + src <= 33; + when "00110100" => + dest <= 56; + src <= 32; + when "00110101" => + dest <= 16; + src <= 18; + when "00110110" => + dest <= 18; + src <= 18; + when "00110111" => + dest <= 18; + src <= 44; + when "00111000" => + dest <= 51; + src <= 18; + when "00111001" => + dest <= 84; + src <= 10; + when "00111010" => + dest <= 27; + src <= 55; + when "00111011" => + dest <= 60; + src <= 51; + when "00111100" => + dest <= 18; + src <= 70; + when "00111101" => + dest <= 42; + src <= 18; + when "00111110" => + dest <= 18; + src <= 9; + when "00111111" => + dest <= 33; + src <= 18; + when "01000000" => + dest <= 18; + src <= 18; + when "01000001" => + dest <= 14; + src <= 75; + when "01000010" => + dest <= 66; + src <= 42; + when "01000011" => + dest <= 18; + src <= 18; + when "01000100" => + dest <= 26; + src <= 66; + when "01000101" => + dest <= 18; + src <= 18; + when "01000110" => + dest <= 50; + src <= 84; + when "01000111" => + dest <= 3; + src <= 12; + when "01001000" => + dest <= 85; + src <= 93; + when "01001001" => + dest <= 18; + src <= 0; + when "01001010" => + dest <= 18; + src <= 18; + when "01001011" => + dest <= 18; + src <= 60; + when "01001100" => + dest <= 92; + src <= 18; + when "01001101" => + dest <= 69; + src <= 18; + when "01001110" => + dest <= 18; + src <= 76; + when "01001111" => + dest <= 35; + src <= 23; + when "01010000" => + dest <= 18; + src <= 41; + when "01010001" => + dest <= 46; + src <= 18; + when "01010010" => + dest <= 41; + src <= 18; + when "01010011" => + dest <= 81; + src <= 18; + when "01010100" => + dest <= 18; + src <= 34; + when "01010101" => + dest <= 18; + src <= 67; + when "01010110" => + dest <= 70; + src <= 91; + when "01010111" => + dest <= 18; + src <= 18; + when "01011000" => + dest <= 57; + src <= 80; + when "01011001" => + dest <= 19; + src <= 18; + when "01011010" => + dest <= 67; + src <= 85; + when "01011011" => + dest <= 18; + src <= 45; + when "01011100" => + dest <= 32; + src <= 17; + when "01011101" => + dest <= 47; + src <= 18; + when "01011110" => + dest <= 18; + src <= 56; + when "01011111" => + dest <= 76; + src <= 79; + when "01100000" => + dest <= 23; + src <= 18; + when "01100001" => + dest <= 18; + src <= 18; + when "01100010" => + dest <= 18; + src <= 69; + when "01100011" => + dest <= 36; + src <= 89; + when "01100100" => + dest <= 2; + src <= 94; + when "01100101" => + dest <= 95; + src <= 18; + when "01100110" => + dest <= 18; + src <= 18; + when "01100111" => + dest <= 91; + src <= 50; + when "01101000" => + dest <= 37; + src <= 3; + when "01101001" => + dest <= 80; + src <= 90; + when "01101010" => + dest <= 18; + src <= 18; + when "01101011" => + dest <= 25; + src <= 24; + when "01101100" => + dest <= 79; + src <= 31; + when "01101101" => + dest <= 18; + src <= 99; + when "01101110" => + dest <= 40; + src <= 35; + when "01101111" => + dest <= 18; + src <= 18; + when "01110000" => + dest <= 77; + src <= 46; + when "01110001" => + dest <= 31; + src <= 1; + when "01110010" => + dest <= 18; + src <= 18; + when "01110011" => + dest <= 18; + src <= 57; + when "01110100" => + dest <= 86; + src <= 18; + when "01110101" => + dest <= 22; + src <= 86; + when "01110110" => + dest <= 18; + src <= 18; + when "01110111" => + dest <= 68; + src <= 59; + when "01111000" => + dest <= 18; + src <= 95; + when "01111001" => + dest <= 13; + src <= 18; + when "01111010" => + dest <= 18; + src <= 18; + when "01111011" => + dest <= 18; + src <= 40; + when "01111100" => + dest <= 5; + src <= 4; + when "01111101" => + dest <= 1; + src <= 18; + when "01111110" => + dest <= 18; + src <= 68; + when "01111111" => + dest <= 90; + src <= 18; + when "10000000" => + dest <= 18; + src <= 13; + when "10000001" => + dest <= 89; + src <= 18; + when "10000010" => + dest <= 18; + src <= 21; + when "10000011" => + dest <= 49; + src <= 25; + when "10000100" => + dest <= 87; + src <= 18; + when "10000101" => + dest <= 30; + src <= 36; + when "10000110" => + dest <= 18; + src <= 18; + when "10000111" => + dest <= 96; + src <= 47; + when "10001000" => + dest <= 21; + src <= 18; + when "10001001" => + dest <= 78; + src <= 87; + when "10001010" => + dest <= 18; + src <= 49; + when "10001011" => + dest <= 12; + src <= 96; + when "10001100" => + dest <= 18; + src <= 18; + when "10001101" => + dest <= 18; + src <= 30; + when "10001110" => + dest <= 0; + src <= 5; + when "10001111" => + dest <= 99; + src <= 58; + when "10010000" => + dest <= 18; + src <= 18; + when "10010001" => + dest <= 97; + src <= 14; + when "10010010" => + dest <= 39; + src <= 18; + when "10010011" => + dest <= 88; + src <= 26; + when "10010100" => + dest <= 11; + src <= 37; + when "10010101" => + dest <= 18; + src <= 18; + when "10010110" => + dest <= 9; + src <= 39; + when "10010111" => + dest <= 98; + src <= 97; + when "10011000" => + dest <= 10; + src <= 48; + when "10011001" => + dest <= 18; + src <= 15; + when "10011010" => + dest <= 18; + src <= 27; + when "10011011" => + dest <= 63; + src <= 38; + when "10011100" => + dest <= 52; + src <= 16; + when others => + dest <= 18; + src <= 18; + + end case; + end process; + + end generate NI_NUM18; + + NI_NUM19 : if NI_NUM = 19 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 25; + src <= 19; + when "00000001" => + dest <= 39; + src <= 19; + when "00000010" => + dest <= 19; + src <= 19; + when "00000011" => + dest <= 9; + src <= 19; + when "00000100" => + dest <= 74; + src <= 19; + when "00000101" => + dest <= 65; + src <= 99; + when "00000110" => + dest <= 19; + src <= 29; + when "00000111" => + dest <= 55; + src <= 3; + when "00001000" => + dest <= 7; + src <= 19; + when "00001001" => + dest <= 19; + src <= 75; + when "00001010" => + dest <= 19; + src <= 64; + when "00001011" => + dest <= 29; + src <= 19; + when "00001100" => + dest <= 45; + src <= 19; + when "00001101" => + dest <= 54; + src <= 21; + when "00001110" => + dest <= 63; + src <= 9; + when "00001111" => + dest <= 49; + src <= 54; + when "00010000" => + dest <= 19; + src <= 63; + when "00010001" => + dest <= 19; + src <= 73; + when "00010010" => + dest <= 19; + src <= 19; + when "00010011" => + dest <= 5; + src <= 19; + when "00010100" => + dest <= 84; + src <= 89; + when "00010101" => + dest <= 19; + src <= 83; + when "00010110" => + dest <= 59; + src <= 19; + when "00010111" => + dest <= 8; + src <= 19; + when "00011000" => + dest <= 73; + src <= 74; + when "00011001" => + dest <= 66; + src <= 65; + when "00011010" => + dest <= 19; + src <= 23; + when "00011011" => + dest <= 56; + src <= 20; + when "00011100" => + dest <= 16; + src <= 79; + when "00011101" => + dest <= 19; + src <= 19; + when "00011110" => + dest <= 19; + src <= 44; + when "00011111" => + dest <= 46; + src <= 19; + when "00100000" => + dest <= 21; + src <= 19; + when "00100001" => + dest <= 75; + src <= 12; + when "00100010" => + dest <= 62; + src <= 55; + when "00100011" => + dest <= 44; + src <= 62; + when "00100100" => + dest <= 19; + src <= 72; + when "00100101" => + dest <= 20; + src <= 7; + when "00100110" => + dest <= 19; + src <= 19; + when "00100111" => + dest <= 35; + src <= 82; + when "00101000" => + dest <= 19; + src <= 19; + when "00101001" => + dest <= 19; + src <= 8; + when "00101010" => + dest <= 94; + src <= 19; + when "00101011" => + dest <= 50; + src <= 53; + when "00101100" => + dest <= 95; + src <= 66; + when "00101101" => + dest <= 18; + src <= 84; + when "00101110" => + dest <= 83; + src <= 19; + when "00101111" => + dest <= 19; + src <= 93; + when "00110000" => + dest <= 19; + src <= 10; + when "00110001" => + dest <= 72; + src <= 19; + when "00110010" => + dest <= 76; + src <= 78; + when "00110011" => + dest <= 19; + src <= 34; + when "00110100" => + dest <= 57; + src <= 33; + when "00110101" => + dest <= 17; + src <= 19; + when "00110110" => + dest <= 19; + src <= 19; + when "00110111" => + dest <= 19; + src <= 45; + when "00111000" => + dest <= 52; + src <= 19; + when "00111001" => + dest <= 85; + src <= 11; + when "00111010" => + dest <= 28; + src <= 56; + when "00111011" => + dest <= 61; + src <= 52; + when "00111100" => + dest <= 19; + src <= 71; + when "00111101" => + dest <= 43; + src <= 19; + when "00111110" => + dest <= 19; + src <= 0; + when "00111111" => + dest <= 34; + src <= 19; + when "01000000" => + dest <= 19; + src <= 19; + when "01000001" => + dest <= 15; + src <= 76; + when "01000010" => + dest <= 67; + src <= 43; + when "01000011" => + dest <= 19; + src <= 19; + when "01000100" => + dest <= 27; + src <= 67; + when "01000101" => + dest <= 19; + src <= 19; + when "01000110" => + dest <= 51; + src <= 85; + when "01000111" => + dest <= 4; + src <= 13; + when "01001000" => + dest <= 86; + src <= 94; + when "01001001" => + dest <= 19; + src <= 1; + when "01001010" => + dest <= 19; + src <= 19; + when "01001011" => + dest <= 19; + src <= 61; + when "01001100" => + dest <= 93; + src <= 19; + when "01001101" => + dest <= 60; + src <= 19; + when "01001110" => + dest <= 19; + src <= 77; + when "01001111" => + dest <= 36; + src <= 24; + when "01010000" => + dest <= 19; + src <= 42; + when "01010001" => + dest <= 47; + src <= 19; + when "01010010" => + dest <= 42; + src <= 19; + when "01010011" => + dest <= 82; + src <= 19; + when "01010100" => + dest <= 19; + src <= 35; + when "01010101" => + dest <= 19; + src <= 68; + when "01010110" => + dest <= 71; + src <= 92; + when "01010111" => + dest <= 19; + src <= 19; + when "01011000" => + dest <= 58; + src <= 81; + when "01011001" => + dest <= 10; + src <= 19; + when "01011010" => + dest <= 68; + src <= 86; + when "01011011" => + dest <= 19; + src <= 46; + when "01011100" => + dest <= 33; + src <= 18; + when "01011101" => + dest <= 48; + src <= 19; + when "01011110" => + dest <= 19; + src <= 57; + when "01011111" => + dest <= 77; + src <= 70; + when "01100000" => + dest <= 24; + src <= 19; + when "01100001" => + dest <= 19; + src <= 19; + when "01100010" => + dest <= 19; + src <= 60; + when "01100011" => + dest <= 37; + src <= 80; + when "01100100" => + dest <= 3; + src <= 95; + when "01100101" => + dest <= 96; + src <= 19; + when "01100110" => + dest <= 19; + src <= 19; + when "01100111" => + dest <= 92; + src <= 51; + when "01101000" => + dest <= 38; + src <= 4; + when "01101001" => + dest <= 81; + src <= 91; + when "01101010" => + dest <= 19; + src <= 19; + when "01101011" => + dest <= 26; + src <= 25; + when "01101100" => + dest <= 70; + src <= 32; + when "01101101" => + dest <= 19; + src <= 90; + when "01101110" => + dest <= 41; + src <= 36; + when "01101111" => + dest <= 19; + src <= 19; + when "01110000" => + dest <= 78; + src <= 47; + when "01110001" => + dest <= 32; + src <= 2; + when "01110010" => + dest <= 19; + src <= 19; + when "01110011" => + dest <= 19; + src <= 58; + when "01110100" => + dest <= 87; + src <= 19; + when "01110101" => + dest <= 23; + src <= 87; + when "01110110" => + dest <= 19; + src <= 19; + when "01110111" => + dest <= 69; + src <= 50; + when "01111000" => + dest <= 19; + src <= 96; + when "01111001" => + dest <= 14; + src <= 19; + when "01111010" => + dest <= 19; + src <= 19; + when "01111011" => + dest <= 19; + src <= 41; + when "01111100" => + dest <= 6; + src <= 5; + when "01111101" => + dest <= 2; + src <= 19; + when "01111110" => + dest <= 19; + src <= 69; + when "01111111" => + dest <= 91; + src <= 19; + when "10000000" => + dest <= 19; + src <= 14; + when "10000001" => + dest <= 80; + src <= 19; + when "10000010" => + dest <= 19; + src <= 22; + when "10000011" => + dest <= 40; + src <= 26; + when "10000100" => + dest <= 88; + src <= 19; + when "10000101" => + dest <= 31; + src <= 37; + when "10000110" => + dest <= 19; + src <= 19; + when "10000111" => + dest <= 97; + src <= 48; + when "10001000" => + dest <= 22; + src <= 19; + when "10001001" => + dest <= 79; + src <= 88; + when "10001010" => + dest <= 19; + src <= 40; + when "10001011" => + dest <= 13; + src <= 97; + when "10001100" => + dest <= 19; + src <= 19; + when "10001101" => + dest <= 19; + src <= 31; + when "10001110" => + dest <= 1; + src <= 6; + when "10001111" => + dest <= 90; + src <= 59; + when "10010000" => + dest <= 19; + src <= 19; + when "10010001" => + dest <= 98; + src <= 15; + when "10010010" => + dest <= 30; + src <= 19; + when "10010011" => + dest <= 89; + src <= 27; + when "10010100" => + dest <= 12; + src <= 38; + when "10010101" => + dest <= 19; + src <= 19; + when "10010110" => + dest <= 0; + src <= 30; + when "10010111" => + dest <= 99; + src <= 98; + when "10011000" => + dest <= 11; + src <= 49; + when "10011001" => + dest <= 19; + src <= 16; + when "10011010" => + dest <= 19; + src <= 28; + when "10011011" => + dest <= 64; + src <= 39; + when "10011100" => + dest <= 53; + src <= 17; + when others => + dest <= 19; + src <= 19; + + end case; + end process; + + end generate NI_NUM19; + + NI_NUM20 : if NI_NUM = 20 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 36; + src <= 20; + when "00000001" => + dest <= 40; + src <= 20; + when "00000010" => + dest <= 20; + src <= 20; + when "00000011" => + dest <= 10; + src <= 20; + when "00000100" => + dest <= 85; + src <= 20; + when "00000101" => + dest <= 76; + src <= 0; + when "00000110" => + dest <= 20; + src <= 30; + when "00000111" => + dest <= 66; + src <= 14; + when "00001000" => + dest <= 18; + src <= 20; + when "00001001" => + dest <= 20; + src <= 86; + when "00001010" => + dest <= 20; + src <= 75; + when "00001011" => + dest <= 30; + src <= 20; + when "00001100" => + dest <= 56; + src <= 20; + when "00001101" => + dest <= 65; + src <= 32; + when "00001110" => + dest <= 74; + src <= 10; + when "00001111" => + dest <= 50; + src <= 65; + when "00010000" => + dest <= 20; + src <= 74; + when "00010001" => + dest <= 20; + src <= 84; + when "00010010" => + dest <= 20; + src <= 20; + when "00010011" => + dest <= 16; + src <= 20; + when "00010100" => + dest <= 95; + src <= 90; + when "00010101" => + dest <= 20; + src <= 94; + when "00010110" => + dest <= 60; + src <= 20; + when "00010111" => + dest <= 19; + src <= 20; + when "00011000" => + dest <= 84; + src <= 85; + when "00011001" => + dest <= 77; + src <= 76; + when "00011010" => + dest <= 20; + src <= 34; + when "00011011" => + dest <= 67; + src <= 31; + when "00011100" => + dest <= 27; + src <= 80; + when "00011101" => + dest <= 20; + src <= 20; + when "00011110" => + dest <= 20; + src <= 55; + when "00011111" => + dest <= 57; + src <= 20; + when "00100000" => + dest <= 32; + src <= 20; + when "00100001" => + dest <= 86; + src <= 23; + when "00100010" => + dest <= 73; + src <= 66; + when "00100011" => + dest <= 55; + src <= 73; + when "00100100" => + dest <= 20; + src <= 83; + when "00100101" => + dest <= 31; + src <= 18; + when "00100110" => + dest <= 20; + src <= 20; + when "00100111" => + dest <= 46; + src <= 93; + when "00101000" => + dest <= 20; + src <= 20; + when "00101001" => + dest <= 20; + src <= 19; + when "00101010" => + dest <= 5; + src <= 20; + when "00101011" => + dest <= 61; + src <= 64; + when "00101100" => + dest <= 6; + src <= 77; + when "00101101" => + dest <= 29; + src <= 95; + when "00101110" => + dest <= 94; + src <= 20; + when "00101111" => + dest <= 20; + src <= 4; + when "00110000" => + dest <= 20; + src <= 21; + when "00110001" => + dest <= 83; + src <= 20; + when "00110010" => + dest <= 87; + src <= 89; + when "00110011" => + dest <= 20; + src <= 45; + when "00110100" => + dest <= 68; + src <= 44; + when "00110101" => + dest <= 28; + src <= 20; + when "00110110" => + dest <= 20; + src <= 20; + when "00110111" => + dest <= 20; + src <= 56; + when "00111000" => + dest <= 63; + src <= 20; + when "00111001" => + dest <= 96; + src <= 22; + when "00111010" => + dest <= 39; + src <= 67; + when "00111011" => + dest <= 72; + src <= 63; + when "00111100" => + dest <= 20; + src <= 82; + when "00111101" => + dest <= 54; + src <= 20; + when "00111110" => + dest <= 20; + src <= 11; + when "00111111" => + dest <= 45; + src <= 20; + when "01000000" => + dest <= 20; + src <= 20; + when "01000001" => + dest <= 26; + src <= 87; + when "01000010" => + dest <= 78; + src <= 54; + when "01000011" => + dest <= 20; + src <= 20; + when "01000100" => + dest <= 38; + src <= 78; + when "01000101" => + dest <= 20; + src <= 20; + when "01000110" => + dest <= 62; + src <= 96; + when "01000111" => + dest <= 15; + src <= 24; + when "01001000" => + dest <= 97; + src <= 5; + when "01001001" => + dest <= 20; + src <= 12; + when "01001010" => + dest <= 20; + src <= 20; + when "01001011" => + dest <= 20; + src <= 72; + when "01001100" => + dest <= 4; + src <= 20; + when "01001101" => + dest <= 71; + src <= 20; + when "01001110" => + dest <= 20; + src <= 88; + when "01001111" => + dest <= 47; + src <= 35; + when "01010000" => + dest <= 20; + src <= 53; + when "01010001" => + dest <= 58; + src <= 20; + when "01010010" => + dest <= 53; + src <= 20; + when "01010011" => + dest <= 93; + src <= 20; + when "01010100" => + dest <= 20; + src <= 46; + when "01010101" => + dest <= 20; + src <= 79; + when "01010110" => + dest <= 82; + src <= 3; + when "01010111" => + dest <= 20; + src <= 20; + when "01011000" => + dest <= 69; + src <= 92; + when "01011001" => + dest <= 21; + src <= 20; + when "01011010" => + dest <= 79; + src <= 97; + when "01011011" => + dest <= 20; + src <= 57; + when "01011100" => + dest <= 44; + src <= 29; + when "01011101" => + dest <= 59; + src <= 20; + when "01011110" => + dest <= 20; + src <= 68; + when "01011111" => + dest <= 88; + src <= 81; + when "01100000" => + dest <= 35; + src <= 20; + when "01100001" => + dest <= 20; + src <= 20; + when "01100010" => + dest <= 20; + src <= 71; + when "01100011" => + dest <= 48; + src <= 91; + when "01100100" => + dest <= 14; + src <= 6; + when "01100101" => + dest <= 7; + src <= 20; + when "01100110" => + dest <= 20; + src <= 20; + when "01100111" => + dest <= 3; + src <= 62; + when "01101000" => + dest <= 49; + src <= 15; + when "01101001" => + dest <= 92; + src <= 2; + when "01101010" => + dest <= 20; + src <= 20; + when "01101011" => + dest <= 37; + src <= 36; + when "01101100" => + dest <= 81; + src <= 43; + when "01101101" => + dest <= 20; + src <= 1; + when "01101110" => + dest <= 52; + src <= 47; + when "01101111" => + dest <= 20; + src <= 20; + when "01110000" => + dest <= 89; + src <= 58; + when "01110001" => + dest <= 43; + src <= 13; + when "01110010" => + dest <= 20; + src <= 20; + when "01110011" => + dest <= 20; + src <= 69; + when "01110100" => + dest <= 98; + src <= 20; + when "01110101" => + dest <= 34; + src <= 98; + when "01110110" => + dest <= 20; + src <= 20; + when "01110111" => + dest <= 70; + src <= 61; + when "01111000" => + dest <= 20; + src <= 7; + when "01111001" => + dest <= 25; + src <= 20; + when "01111010" => + dest <= 20; + src <= 20; + when "01111011" => + dest <= 20; + src <= 52; + when "01111100" => + dest <= 17; + src <= 16; + when "01111101" => + dest <= 13; + src <= 20; + when "01111110" => + dest <= 20; + src <= 70; + when "01111111" => + dest <= 2; + src <= 20; + when "10000000" => + dest <= 20; + src <= 25; + when "10000001" => + dest <= 91; + src <= 20; + when "10000010" => + dest <= 20; + src <= 33; + when "10000011" => + dest <= 51; + src <= 37; + when "10000100" => + dest <= 99; + src <= 20; + when "10000101" => + dest <= 42; + src <= 48; + when "10000110" => + dest <= 20; + src <= 20; + when "10000111" => + dest <= 8; + src <= 59; + when "10001000" => + dest <= 33; + src <= 20; + when "10001001" => + dest <= 80; + src <= 99; + when "10001010" => + dest <= 20; + src <= 51; + when "10001011" => + dest <= 24; + src <= 8; + when "10001100" => + dest <= 20; + src <= 20; + when "10001101" => + dest <= 20; + src <= 42; + when "10001110" => + dest <= 12; + src <= 17; + when "10001111" => + dest <= 1; + src <= 60; + when "10010000" => + dest <= 20; + src <= 20; + when "10010001" => + dest <= 9; + src <= 26; + when "10010010" => + dest <= 41; + src <= 20; + when "10010011" => + dest <= 90; + src <= 38; + when "10010100" => + dest <= 23; + src <= 49; + when "10010101" => + dest <= 20; + src <= 20; + when "10010110" => + dest <= 11; + src <= 41; + when "10010111" => + dest <= 0; + src <= 9; + when "10011000" => + dest <= 22; + src <= 50; + when "10011001" => + dest <= 20; + src <= 27; + when "10011010" => + dest <= 20; + src <= 39; + when "10011011" => + dest <= 75; + src <= 40; + when "10011100" => + dest <= 64; + src <= 28; + when others => + dest <= 20; + src <= 20; + + end case; + end process; + + end generate NI_NUM20; + + NI_NUM21 : if NI_NUM = 21 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 37; + src <= 21; + when "00000001" => + dest <= 41; + src <= 21; + when "00000010" => + dest <= 21; + src <= 21; + when "00000011" => + dest <= 11; + src <= 21; + when "00000100" => + dest <= 86; + src <= 21; + when "00000101" => + dest <= 77; + src <= 1; + when "00000110" => + dest <= 21; + src <= 31; + when "00000111" => + dest <= 67; + src <= 15; + when "00001000" => + dest <= 19; + src <= 21; + when "00001001" => + dest <= 21; + src <= 87; + when "00001010" => + dest <= 21; + src <= 76; + when "00001011" => + dest <= 31; + src <= 21; + when "00001100" => + dest <= 57; + src <= 21; + when "00001101" => + dest <= 66; + src <= 33; + when "00001110" => + dest <= 75; + src <= 11; + when "00001111" => + dest <= 51; + src <= 66; + when "00010000" => + dest <= 21; + src <= 75; + when "00010001" => + dest <= 21; + src <= 85; + when "00010010" => + dest <= 21; + src <= 21; + when "00010011" => + dest <= 17; + src <= 21; + when "00010100" => + dest <= 96; + src <= 91; + when "00010101" => + dest <= 21; + src <= 95; + when "00010110" => + dest <= 61; + src <= 21; + when "00010111" => + dest <= 10; + src <= 21; + when "00011000" => + dest <= 85; + src <= 86; + when "00011001" => + dest <= 78; + src <= 77; + when "00011010" => + dest <= 21; + src <= 35; + when "00011011" => + dest <= 68; + src <= 32; + when "00011100" => + dest <= 28; + src <= 81; + when "00011101" => + dest <= 21; + src <= 21; + when "00011110" => + dest <= 21; + src <= 56; + when "00011111" => + dest <= 58; + src <= 21; + when "00100000" => + dest <= 33; + src <= 21; + when "00100001" => + dest <= 87; + src <= 24; + when "00100010" => + dest <= 74; + src <= 67; + when "00100011" => + dest <= 56; + src <= 74; + when "00100100" => + dest <= 21; + src <= 84; + when "00100101" => + dest <= 32; + src <= 19; + when "00100110" => + dest <= 21; + src <= 21; + when "00100111" => + dest <= 47; + src <= 94; + when "00101000" => + dest <= 21; + src <= 21; + when "00101001" => + dest <= 21; + src <= 10; + when "00101010" => + dest <= 6; + src <= 21; + when "00101011" => + dest <= 62; + src <= 65; + when "00101100" => + dest <= 7; + src <= 78; + when "00101101" => + dest <= 20; + src <= 96; + when "00101110" => + dest <= 95; + src <= 21; + when "00101111" => + dest <= 21; + src <= 5; + when "00110000" => + dest <= 21; + src <= 22; + when "00110001" => + dest <= 84; + src <= 21; + when "00110010" => + dest <= 88; + src <= 80; + when "00110011" => + dest <= 21; + src <= 46; + when "00110100" => + dest <= 69; + src <= 45; + when "00110101" => + dest <= 29; + src <= 21; + when "00110110" => + dest <= 21; + src <= 21; + when "00110111" => + dest <= 21; + src <= 57; + when "00111000" => + dest <= 64; + src <= 21; + when "00111001" => + dest <= 97; + src <= 23; + when "00111010" => + dest <= 30; + src <= 68; + when "00111011" => + dest <= 73; + src <= 64; + when "00111100" => + dest <= 21; + src <= 83; + when "00111101" => + dest <= 55; + src <= 21; + when "00111110" => + dest <= 21; + src <= 12; + when "00111111" => + dest <= 46; + src <= 21; + when "01000000" => + dest <= 21; + src <= 21; + when "01000001" => + dest <= 27; + src <= 88; + when "01000010" => + dest <= 79; + src <= 55; + when "01000011" => + dest <= 21; + src <= 21; + when "01000100" => + dest <= 39; + src <= 79; + when "01000101" => + dest <= 21; + src <= 21; + when "01000110" => + dest <= 63; + src <= 97; + when "01000111" => + dest <= 16; + src <= 25; + when "01001000" => + dest <= 98; + src <= 6; + when "01001001" => + dest <= 21; + src <= 13; + when "01001010" => + dest <= 21; + src <= 21; + when "01001011" => + dest <= 21; + src <= 73; + when "01001100" => + dest <= 5; + src <= 21; + when "01001101" => + dest <= 72; + src <= 21; + when "01001110" => + dest <= 21; + src <= 89; + when "01001111" => + dest <= 48; + src <= 36; + when "01010000" => + dest <= 21; + src <= 54; + when "01010001" => + dest <= 59; + src <= 21; + when "01010010" => + dest <= 54; + src <= 21; + when "01010011" => + dest <= 94; + src <= 21; + when "01010100" => + dest <= 21; + src <= 47; + when "01010101" => + dest <= 21; + src <= 70; + when "01010110" => + dest <= 83; + src <= 4; + when "01010111" => + dest <= 21; + src <= 21; + when "01011000" => + dest <= 60; + src <= 93; + when "01011001" => + dest <= 22; + src <= 21; + when "01011010" => + dest <= 70; + src <= 98; + when "01011011" => + dest <= 21; + src <= 58; + when "01011100" => + dest <= 45; + src <= 20; + when "01011101" => + dest <= 50; + src <= 21; + when "01011110" => + dest <= 21; + src <= 69; + when "01011111" => + dest <= 89; + src <= 82; + when "01100000" => + dest <= 36; + src <= 21; + when "01100001" => + dest <= 21; + src <= 21; + when "01100010" => + dest <= 21; + src <= 72; + when "01100011" => + dest <= 49; + src <= 92; + when "01100100" => + dest <= 15; + src <= 7; + when "01100101" => + dest <= 8; + src <= 21; + when "01100110" => + dest <= 21; + src <= 21; + when "01100111" => + dest <= 4; + src <= 63; + when "01101000" => + dest <= 40; + src <= 16; + when "01101001" => + dest <= 93; + src <= 3; + when "01101010" => + dest <= 21; + src <= 21; + when "01101011" => + dest <= 38; + src <= 37; + when "01101100" => + dest <= 82; + src <= 44; + when "01101101" => + dest <= 21; + src <= 2; + when "01101110" => + dest <= 53; + src <= 48; + when "01101111" => + dest <= 21; + src <= 21; + when "01110000" => + dest <= 80; + src <= 59; + when "01110001" => + dest <= 44; + src <= 14; + when "01110010" => + dest <= 21; + src <= 21; + when "01110011" => + dest <= 21; + src <= 60; + when "01110100" => + dest <= 99; + src <= 21; + when "01110101" => + dest <= 35; + src <= 99; + when "01110110" => + dest <= 21; + src <= 21; + when "01110111" => + dest <= 71; + src <= 62; + when "01111000" => + dest <= 21; + src <= 8; + when "01111001" => + dest <= 26; + src <= 21; + when "01111010" => + dest <= 21; + src <= 21; + when "01111011" => + dest <= 21; + src <= 53; + when "01111100" => + dest <= 18; + src <= 17; + when "01111101" => + dest <= 14; + src <= 21; + when "01111110" => + dest <= 21; + src <= 71; + when "01111111" => + dest <= 3; + src <= 21; + when "10000000" => + dest <= 21; + src <= 26; + when "10000001" => + dest <= 92; + src <= 21; + when "10000010" => + dest <= 21; + src <= 34; + when "10000011" => + dest <= 52; + src <= 38; + when "10000100" => + dest <= 90; + src <= 21; + when "10000101" => + dest <= 43; + src <= 49; + when "10000110" => + dest <= 21; + src <= 21; + when "10000111" => + dest <= 9; + src <= 50; + when "10001000" => + dest <= 34; + src <= 21; + when "10001001" => + dest <= 81; + src <= 90; + when "10001010" => + dest <= 21; + src <= 52; + when "10001011" => + dest <= 25; + src <= 9; + when "10001100" => + dest <= 21; + src <= 21; + when "10001101" => + dest <= 21; + src <= 43; + when "10001110" => + dest <= 13; + src <= 18; + when "10001111" => + dest <= 2; + src <= 61; + when "10010000" => + dest <= 21; + src <= 21; + when "10010001" => + dest <= 0; + src <= 27; + when "10010010" => + dest <= 42; + src <= 21; + when "10010011" => + dest <= 91; + src <= 39; + when "10010100" => + dest <= 24; + src <= 40; + when "10010101" => + dest <= 21; + src <= 21; + when "10010110" => + dest <= 12; + src <= 42; + when "10010111" => + dest <= 1; + src <= 0; + when "10011000" => + dest <= 23; + src <= 51; + when "10011001" => + dest <= 21; + src <= 28; + when "10011010" => + dest <= 21; + src <= 30; + when "10011011" => + dest <= 76; + src <= 41; + when "10011100" => + dest <= 65; + src <= 29; + when others => + dest <= 21; + src <= 21; + + end case; + end process; + + end generate NI_NUM21; + + NI_NUM22 : if NI_NUM = 22 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 38; + src <= 22; + when "00000001" => + dest <= 42; + src <= 22; + when "00000010" => + dest <= 22; + src <= 22; + when "00000011" => + dest <= 12; + src <= 22; + when "00000100" => + dest <= 87; + src <= 22; + when "00000101" => + dest <= 78; + src <= 2; + when "00000110" => + dest <= 22; + src <= 32; + when "00000111" => + dest <= 68; + src <= 16; + when "00001000" => + dest <= 10; + src <= 22; + when "00001001" => + dest <= 22; + src <= 88; + when "00001010" => + dest <= 22; + src <= 77; + when "00001011" => + dest <= 32; + src <= 22; + when "00001100" => + dest <= 58; + src <= 22; + when "00001101" => + dest <= 67; + src <= 34; + when "00001110" => + dest <= 76; + src <= 12; + when "00001111" => + dest <= 52; + src <= 67; + when "00010000" => + dest <= 22; + src <= 76; + when "00010001" => + dest <= 22; + src <= 86; + when "00010010" => + dest <= 22; + src <= 22; + when "00010011" => + dest <= 18; + src <= 22; + when "00010100" => + dest <= 97; + src <= 92; + when "00010101" => + dest <= 22; + src <= 96; + when "00010110" => + dest <= 62; + src <= 22; + when "00010111" => + dest <= 11; + src <= 22; + when "00011000" => + dest <= 86; + src <= 87; + when "00011001" => + dest <= 79; + src <= 78; + when "00011010" => + dest <= 22; + src <= 36; + when "00011011" => + dest <= 69; + src <= 33; + when "00011100" => + dest <= 29; + src <= 82; + when "00011101" => + dest <= 22; + src <= 22; + when "00011110" => + dest <= 22; + src <= 57; + when "00011111" => + dest <= 59; + src <= 22; + when "00100000" => + dest <= 34; + src <= 22; + when "00100001" => + dest <= 88; + src <= 25; + when "00100010" => + dest <= 75; + src <= 68; + when "00100011" => + dest <= 57; + src <= 75; + when "00100100" => + dest <= 22; + src <= 85; + when "00100101" => + dest <= 33; + src <= 10; + when "00100110" => + dest <= 22; + src <= 22; + when "00100111" => + dest <= 48; + src <= 95; + when "00101000" => + dest <= 22; + src <= 22; + when "00101001" => + dest <= 22; + src <= 11; + when "00101010" => + dest <= 7; + src <= 22; + when "00101011" => + dest <= 63; + src <= 66; + when "00101100" => + dest <= 8; + src <= 79; + when "00101101" => + dest <= 21; + src <= 97; + when "00101110" => + dest <= 96; + src <= 22; + when "00101111" => + dest <= 22; + src <= 6; + when "00110000" => + dest <= 22; + src <= 23; + when "00110001" => + dest <= 85; + src <= 22; + when "00110010" => + dest <= 89; + src <= 81; + when "00110011" => + dest <= 22; + src <= 47; + when "00110100" => + dest <= 60; + src <= 46; + when "00110101" => + dest <= 20; + src <= 22; + when "00110110" => + dest <= 22; + src <= 22; + when "00110111" => + dest <= 22; + src <= 58; + when "00111000" => + dest <= 65; + src <= 22; + when "00111001" => + dest <= 98; + src <= 24; + when "00111010" => + dest <= 31; + src <= 69; + when "00111011" => + dest <= 74; + src <= 65; + when "00111100" => + dest <= 22; + src <= 84; + when "00111101" => + dest <= 56; + src <= 22; + when "00111110" => + dest <= 22; + src <= 13; + when "00111111" => + dest <= 47; + src <= 22; + when "01000000" => + dest <= 22; + src <= 22; + when "01000001" => + dest <= 28; + src <= 89; + when "01000010" => + dest <= 70; + src <= 56; + when "01000011" => + dest <= 22; + src <= 22; + when "01000100" => + dest <= 30; + src <= 70; + when "01000101" => + dest <= 22; + src <= 22; + when "01000110" => + dest <= 64; + src <= 98; + when "01000111" => + dest <= 17; + src <= 26; + when "01001000" => + dest <= 99; + src <= 7; + when "01001001" => + dest <= 22; + src <= 14; + when "01001010" => + dest <= 22; + src <= 22; + when "01001011" => + dest <= 22; + src <= 74; + when "01001100" => + dest <= 6; + src <= 22; + when "01001101" => + dest <= 73; + src <= 22; + when "01001110" => + dest <= 22; + src <= 80; + when "01001111" => + dest <= 49; + src <= 37; + when "01010000" => + dest <= 22; + src <= 55; + when "01010001" => + dest <= 50; + src <= 22; + when "01010010" => + dest <= 55; + src <= 22; + when "01010011" => + dest <= 95; + src <= 22; + when "01010100" => + dest <= 22; + src <= 48; + when "01010101" => + dest <= 22; + src <= 71; + when "01010110" => + dest <= 84; + src <= 5; + when "01010111" => + dest <= 22; + src <= 22; + when "01011000" => + dest <= 61; + src <= 94; + when "01011001" => + dest <= 23; + src <= 22; + when "01011010" => + dest <= 71; + src <= 99; + when "01011011" => + dest <= 22; + src <= 59; + when "01011100" => + dest <= 46; + src <= 21; + when "01011101" => + dest <= 51; + src <= 22; + when "01011110" => + dest <= 22; + src <= 60; + when "01011111" => + dest <= 80; + src <= 83; + when "01100000" => + dest <= 37; + src <= 22; + when "01100001" => + dest <= 22; + src <= 22; + when "01100010" => + dest <= 22; + src <= 73; + when "01100011" => + dest <= 40; + src <= 93; + when "01100100" => + dest <= 16; + src <= 8; + when "01100101" => + dest <= 9; + src <= 22; + when "01100110" => + dest <= 22; + src <= 22; + when "01100111" => + dest <= 5; + src <= 64; + when "01101000" => + dest <= 41; + src <= 17; + when "01101001" => + dest <= 94; + src <= 4; + when "01101010" => + dest <= 22; + src <= 22; + when "01101011" => + dest <= 39; + src <= 38; + when "01101100" => + dest <= 83; + src <= 45; + when "01101101" => + dest <= 22; + src <= 3; + when "01101110" => + dest <= 54; + src <= 49; + when "01101111" => + dest <= 22; + src <= 22; + when "01110000" => + dest <= 81; + src <= 50; + when "01110001" => + dest <= 45; + src <= 15; + when "01110010" => + dest <= 22; + src <= 22; + when "01110011" => + dest <= 22; + src <= 61; + when "01110100" => + dest <= 90; + src <= 22; + when "01110101" => + dest <= 36; + src <= 90; + when "01110110" => + dest <= 22; + src <= 22; + when "01110111" => + dest <= 72; + src <= 63; + when "01111000" => + dest <= 22; + src <= 9; + when "01111001" => + dest <= 27; + src <= 22; + when "01111010" => + dest <= 22; + src <= 22; + when "01111011" => + dest <= 22; + src <= 54; + when "01111100" => + dest <= 19; + src <= 18; + when "01111101" => + dest <= 15; + src <= 22; + when "01111110" => + dest <= 22; + src <= 72; + when "01111111" => + dest <= 4; + src <= 22; + when "10000000" => + dest <= 22; + src <= 27; + when "10000001" => + dest <= 93; + src <= 22; + when "10000010" => + dest <= 22; + src <= 35; + when "10000011" => + dest <= 53; + src <= 39; + when "10000100" => + dest <= 91; + src <= 22; + when "10000101" => + dest <= 44; + src <= 40; + when "10000110" => + dest <= 22; + src <= 22; + when "10000111" => + dest <= 0; + src <= 51; + when "10001000" => + dest <= 35; + src <= 22; + when "10001001" => + dest <= 82; + src <= 91; + when "10001010" => + dest <= 22; + src <= 53; + when "10001011" => + dest <= 26; + src <= 0; + when "10001100" => + dest <= 22; + src <= 22; + when "10001101" => + dest <= 22; + src <= 44; + when "10001110" => + dest <= 14; + src <= 19; + when "10001111" => + dest <= 3; + src <= 62; + when "10010000" => + dest <= 22; + src <= 22; + when "10010001" => + dest <= 1; + src <= 28; + when "10010010" => + dest <= 43; + src <= 22; + when "10010011" => + dest <= 92; + src <= 30; + when "10010100" => + dest <= 25; + src <= 41; + when "10010101" => + dest <= 22; + src <= 22; + when "10010110" => + dest <= 13; + src <= 43; + when "10010111" => + dest <= 2; + src <= 1; + when "10011000" => + dest <= 24; + src <= 52; + when "10011001" => + dest <= 22; + src <= 29; + when "10011010" => + dest <= 22; + src <= 31; + when "10011011" => + dest <= 77; + src <= 42; + when "10011100" => + dest <= 66; + src <= 20; + when others => + dest <= 22; + src <= 22; + + end case; + end process; + + end generate NI_NUM22; + + NI_NUM23 : if NI_NUM = 23 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 39; + src <= 23; + when "00000001" => + dest <= 43; + src <= 23; + when "00000010" => + dest <= 23; + src <= 23; + when "00000011" => + dest <= 13; + src <= 23; + when "00000100" => + dest <= 88; + src <= 23; + when "00000101" => + dest <= 79; + src <= 3; + when "00000110" => + dest <= 23; + src <= 33; + when "00000111" => + dest <= 69; + src <= 17; + when "00001000" => + dest <= 11; + src <= 23; + when "00001001" => + dest <= 23; + src <= 89; + when "00001010" => + dest <= 23; + src <= 78; + when "00001011" => + dest <= 33; + src <= 23; + when "00001100" => + dest <= 59; + src <= 23; + when "00001101" => + dest <= 68; + src <= 35; + when "00001110" => + dest <= 77; + src <= 13; + when "00001111" => + dest <= 53; + src <= 68; + when "00010000" => + dest <= 23; + src <= 77; + when "00010001" => + dest <= 23; + src <= 87; + when "00010010" => + dest <= 23; + src <= 23; + when "00010011" => + dest <= 19; + src <= 23; + when "00010100" => + dest <= 98; + src <= 93; + when "00010101" => + dest <= 23; + src <= 97; + when "00010110" => + dest <= 63; + src <= 23; + when "00010111" => + dest <= 12; + src <= 23; + when "00011000" => + dest <= 87; + src <= 88; + when "00011001" => + dest <= 70; + src <= 79; + when "00011010" => + dest <= 23; + src <= 37; + when "00011011" => + dest <= 60; + src <= 34; + when "00011100" => + dest <= 20; + src <= 83; + when "00011101" => + dest <= 23; + src <= 23; + when "00011110" => + dest <= 23; + src <= 58; + when "00011111" => + dest <= 50; + src <= 23; + when "00100000" => + dest <= 35; + src <= 23; + when "00100001" => + dest <= 89; + src <= 26; + when "00100010" => + dest <= 76; + src <= 69; + when "00100011" => + dest <= 58; + src <= 76; + when "00100100" => + dest <= 23; + src <= 86; + when "00100101" => + dest <= 34; + src <= 11; + when "00100110" => + dest <= 23; + src <= 23; + when "00100111" => + dest <= 49; + src <= 96; + when "00101000" => + dest <= 23; + src <= 23; + when "00101001" => + dest <= 23; + src <= 12; + when "00101010" => + dest <= 8; + src <= 23; + when "00101011" => + dest <= 64; + src <= 67; + when "00101100" => + dest <= 9; + src <= 70; + when "00101101" => + dest <= 22; + src <= 98; + when "00101110" => + dest <= 97; + src <= 23; + when "00101111" => + dest <= 23; + src <= 7; + when "00110000" => + dest <= 23; + src <= 24; + when "00110001" => + dest <= 86; + src <= 23; + when "00110010" => + dest <= 80; + src <= 82; + when "00110011" => + dest <= 23; + src <= 48; + when "00110100" => + dest <= 61; + src <= 47; + when "00110101" => + dest <= 21; + src <= 23; + when "00110110" => + dest <= 23; + src <= 23; + when "00110111" => + dest <= 23; + src <= 59; + when "00111000" => + dest <= 66; + src <= 23; + when "00111001" => + dest <= 99; + src <= 25; + when "00111010" => + dest <= 32; + src <= 60; + when "00111011" => + dest <= 75; + src <= 66; + when "00111100" => + dest <= 23; + src <= 85; + when "00111101" => + dest <= 57; + src <= 23; + when "00111110" => + dest <= 23; + src <= 14; + when "00111111" => + dest <= 48; + src <= 23; + when "01000000" => + dest <= 23; + src <= 23; + when "01000001" => + dest <= 29; + src <= 80; + when "01000010" => + dest <= 71; + src <= 57; + when "01000011" => + dest <= 23; + src <= 23; + when "01000100" => + dest <= 31; + src <= 71; + when "01000101" => + dest <= 23; + src <= 23; + when "01000110" => + dest <= 65; + src <= 99; + when "01000111" => + dest <= 18; + src <= 27; + when "01001000" => + dest <= 90; + src <= 8; + when "01001001" => + dest <= 23; + src <= 15; + when "01001010" => + dest <= 23; + src <= 23; + when "01001011" => + dest <= 23; + src <= 75; + when "01001100" => + dest <= 7; + src <= 23; + when "01001101" => + dest <= 74; + src <= 23; + when "01001110" => + dest <= 23; + src <= 81; + when "01001111" => + dest <= 40; + src <= 38; + when "01010000" => + dest <= 23; + src <= 56; + when "01010001" => + dest <= 51; + src <= 23; + when "01010010" => + dest <= 56; + src <= 23; + when "01010011" => + dest <= 96; + src <= 23; + when "01010100" => + dest <= 23; + src <= 49; + when "01010101" => + dest <= 23; + src <= 72; + when "01010110" => + dest <= 85; + src <= 6; + when "01010111" => + dest <= 23; + src <= 23; + when "01011000" => + dest <= 62; + src <= 95; + when "01011001" => + dest <= 24; + src <= 23; + when "01011010" => + dest <= 72; + src <= 90; + when "01011011" => + dest <= 23; + src <= 50; + when "01011100" => + dest <= 47; + src <= 22; + when "01011101" => + dest <= 52; + src <= 23; + when "01011110" => + dest <= 23; + src <= 61; + when "01011111" => + dest <= 81; + src <= 84; + when "01100000" => + dest <= 38; + src <= 23; + when "01100001" => + dest <= 23; + src <= 23; + when "01100010" => + dest <= 23; + src <= 74; + when "01100011" => + dest <= 41; + src <= 94; + when "01100100" => + dest <= 17; + src <= 9; + when "01100101" => + dest <= 0; + src <= 23; + when "01100110" => + dest <= 23; + src <= 23; + when "01100111" => + dest <= 6; + src <= 65; + when "01101000" => + dest <= 42; + src <= 18; + when "01101001" => + dest <= 95; + src <= 5; + when "01101010" => + dest <= 23; + src <= 23; + when "01101011" => + dest <= 30; + src <= 39; + when "01101100" => + dest <= 84; + src <= 46; + when "01101101" => + dest <= 23; + src <= 4; + when "01101110" => + dest <= 55; + src <= 40; + when "01101111" => + dest <= 23; + src <= 23; + when "01110000" => + dest <= 82; + src <= 51; + when "01110001" => + dest <= 46; + src <= 16; + when "01110010" => + dest <= 23; + src <= 23; + when "01110011" => + dest <= 23; + src <= 62; + when "01110100" => + dest <= 91; + src <= 23; + when "01110101" => + dest <= 37; + src <= 91; + when "01110110" => + dest <= 23; + src <= 23; + when "01110111" => + dest <= 73; + src <= 64; + when "01111000" => + dest <= 23; + src <= 0; + when "01111001" => + dest <= 28; + src <= 23; + when "01111010" => + dest <= 23; + src <= 23; + when "01111011" => + dest <= 23; + src <= 55; + when "01111100" => + dest <= 10; + src <= 19; + when "01111101" => + dest <= 16; + src <= 23; + when "01111110" => + dest <= 23; + src <= 73; + when "01111111" => + dest <= 5; + src <= 23; + when "10000000" => + dest <= 23; + src <= 28; + when "10000001" => + dest <= 94; + src <= 23; + when "10000010" => + dest <= 23; + src <= 36; + when "10000011" => + dest <= 54; + src <= 30; + when "10000100" => + dest <= 92; + src <= 23; + when "10000101" => + dest <= 45; + src <= 41; + when "10000110" => + dest <= 23; + src <= 23; + when "10000111" => + dest <= 1; + src <= 52; + when "10001000" => + dest <= 36; + src <= 23; + when "10001001" => + dest <= 83; + src <= 92; + when "10001010" => + dest <= 23; + src <= 54; + when "10001011" => + dest <= 27; + src <= 1; + when "10001100" => + dest <= 23; + src <= 23; + when "10001101" => + dest <= 23; + src <= 45; + when "10001110" => + dest <= 15; + src <= 10; + when "10001111" => + dest <= 4; + src <= 63; + when "10010000" => + dest <= 23; + src <= 23; + when "10010001" => + dest <= 2; + src <= 29; + when "10010010" => + dest <= 44; + src <= 23; + when "10010011" => + dest <= 93; + src <= 31; + when "10010100" => + dest <= 26; + src <= 42; + when "10010101" => + dest <= 23; + src <= 23; + when "10010110" => + dest <= 14; + src <= 44; + when "10010111" => + dest <= 3; + src <= 2; + when "10011000" => + dest <= 25; + src <= 53; + when "10011001" => + dest <= 23; + src <= 20; + when "10011010" => + dest <= 23; + src <= 32; + when "10011011" => + dest <= 78; + src <= 43; + when "10011100" => + dest <= 67; + src <= 21; + when others => + dest <= 23; + src <= 23; + + end case; + end process; + + end generate NI_NUM23; + + NI_NUM24 : if NI_NUM = 24 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 30; + src <= 24; + when "00000001" => + dest <= 44; + src <= 24; + when "00000010" => + dest <= 24; + src <= 24; + when "00000011" => + dest <= 14; + src <= 24; + when "00000100" => + dest <= 89; + src <= 24; + when "00000101" => + dest <= 70; + src <= 4; + when "00000110" => + dest <= 24; + src <= 34; + when "00000111" => + dest <= 60; + src <= 18; + when "00001000" => + dest <= 12; + src <= 24; + when "00001001" => + dest <= 24; + src <= 80; + when "00001010" => + dest <= 24; + src <= 79; + when "00001011" => + dest <= 34; + src <= 24; + when "00001100" => + dest <= 50; + src <= 24; + when "00001101" => + dest <= 69; + src <= 36; + when "00001110" => + dest <= 78; + src <= 14; + when "00001111" => + dest <= 54; + src <= 69; + when "00010000" => + dest <= 24; + src <= 78; + when "00010001" => + dest <= 24; + src <= 88; + when "00010010" => + dest <= 24; + src <= 24; + when "00010011" => + dest <= 10; + src <= 24; + when "00010100" => + dest <= 99; + src <= 94; + when "00010101" => + dest <= 24; + src <= 98; + when "00010110" => + dest <= 64; + src <= 24; + when "00010111" => + dest <= 13; + src <= 24; + when "00011000" => + dest <= 88; + src <= 89; + when "00011001" => + dest <= 71; + src <= 70; + when "00011010" => + dest <= 24; + src <= 38; + when "00011011" => + dest <= 61; + src <= 35; + when "00011100" => + dest <= 21; + src <= 84; + when "00011101" => + dest <= 24; + src <= 24; + when "00011110" => + dest <= 24; + src <= 59; + when "00011111" => + dest <= 51; + src <= 24; + when "00100000" => + dest <= 36; + src <= 24; + when "00100001" => + dest <= 80; + src <= 27; + when "00100010" => + dest <= 77; + src <= 60; + when "00100011" => + dest <= 59; + src <= 77; + when "00100100" => + dest <= 24; + src <= 87; + when "00100101" => + dest <= 35; + src <= 12; + when "00100110" => + dest <= 24; + src <= 24; + when "00100111" => + dest <= 40; + src <= 97; + when "00101000" => + dest <= 24; + src <= 24; + when "00101001" => + dest <= 24; + src <= 13; + when "00101010" => + dest <= 9; + src <= 24; + when "00101011" => + dest <= 65; + src <= 68; + when "00101100" => + dest <= 0; + src <= 71; + when "00101101" => + dest <= 23; + src <= 99; + when "00101110" => + dest <= 98; + src <= 24; + when "00101111" => + dest <= 24; + src <= 8; + when "00110000" => + dest <= 24; + src <= 25; + when "00110001" => + dest <= 87; + src <= 24; + when "00110010" => + dest <= 81; + src <= 83; + when "00110011" => + dest <= 24; + src <= 49; + when "00110100" => + dest <= 62; + src <= 48; + when "00110101" => + dest <= 22; + src <= 24; + when "00110110" => + dest <= 24; + src <= 24; + when "00110111" => + dest <= 24; + src <= 50; + when "00111000" => + dest <= 67; + src <= 24; + when "00111001" => + dest <= 90; + src <= 26; + when "00111010" => + dest <= 33; + src <= 61; + when "00111011" => + dest <= 76; + src <= 67; + when "00111100" => + dest <= 24; + src <= 86; + when "00111101" => + dest <= 58; + src <= 24; + when "00111110" => + dest <= 24; + src <= 15; + when "00111111" => + dest <= 49; + src <= 24; + when "01000000" => + dest <= 24; + src <= 24; + when "01000001" => + dest <= 20; + src <= 81; + when "01000010" => + dest <= 72; + src <= 58; + when "01000011" => + dest <= 24; + src <= 24; + when "01000100" => + dest <= 32; + src <= 72; + when "01000101" => + dest <= 24; + src <= 24; + when "01000110" => + dest <= 66; + src <= 90; + when "01000111" => + dest <= 19; + src <= 28; + when "01001000" => + dest <= 91; + src <= 9; + when "01001001" => + dest <= 24; + src <= 16; + when "01001010" => + dest <= 24; + src <= 24; + when "01001011" => + dest <= 24; + src <= 76; + when "01001100" => + dest <= 8; + src <= 24; + when "01001101" => + dest <= 75; + src <= 24; + when "01001110" => + dest <= 24; + src <= 82; + when "01001111" => + dest <= 41; + src <= 39; + when "01010000" => + dest <= 24; + src <= 57; + when "01010001" => + dest <= 52; + src <= 24; + when "01010010" => + dest <= 57; + src <= 24; + when "01010011" => + dest <= 97; + src <= 24; + when "01010100" => + dest <= 24; + src <= 40; + when "01010101" => + dest <= 24; + src <= 73; + when "01010110" => + dest <= 86; + src <= 7; + when "01010111" => + dest <= 24; + src <= 24; + when "01011000" => + dest <= 63; + src <= 96; + when "01011001" => + dest <= 25; + src <= 24; + when "01011010" => + dest <= 73; + src <= 91; + when "01011011" => + dest <= 24; + src <= 51; + when "01011100" => + dest <= 48; + src <= 23; + when "01011101" => + dest <= 53; + src <= 24; + when "01011110" => + dest <= 24; + src <= 62; + when "01011111" => + dest <= 82; + src <= 85; + when "01100000" => + dest <= 39; + src <= 24; + when "01100001" => + dest <= 24; + src <= 24; + when "01100010" => + dest <= 24; + src <= 75; + when "01100011" => + dest <= 42; + src <= 95; + when "01100100" => + dest <= 18; + src <= 0; + when "01100101" => + dest <= 1; + src <= 24; + when "01100110" => + dest <= 24; + src <= 24; + when "01100111" => + dest <= 7; + src <= 66; + when "01101000" => + dest <= 43; + src <= 19; + when "01101001" => + dest <= 96; + src <= 6; + when "01101010" => + dest <= 24; + src <= 24; + when "01101011" => + dest <= 31; + src <= 30; + when "01101100" => + dest <= 85; + src <= 47; + when "01101101" => + dest <= 24; + src <= 5; + when "01101110" => + dest <= 56; + src <= 41; + when "01101111" => + dest <= 24; + src <= 24; + when "01110000" => + dest <= 83; + src <= 52; + when "01110001" => + dest <= 47; + src <= 17; + when "01110010" => + dest <= 24; + src <= 24; + when "01110011" => + dest <= 24; + src <= 63; + when "01110100" => + dest <= 92; + src <= 24; + when "01110101" => + dest <= 38; + src <= 92; + when "01110110" => + dest <= 24; + src <= 24; + when "01110111" => + dest <= 74; + src <= 65; + when "01111000" => + dest <= 24; + src <= 1; + when "01111001" => + dest <= 29; + src <= 24; + when "01111010" => + dest <= 24; + src <= 24; + when "01111011" => + dest <= 24; + src <= 56; + when "01111100" => + dest <= 11; + src <= 10; + when "01111101" => + dest <= 17; + src <= 24; + when "01111110" => + dest <= 24; + src <= 74; + when "01111111" => + dest <= 6; + src <= 24; + when "10000000" => + dest <= 24; + src <= 29; + when "10000001" => + dest <= 95; + src <= 24; + when "10000010" => + dest <= 24; + src <= 37; + when "10000011" => + dest <= 55; + src <= 31; + when "10000100" => + dest <= 93; + src <= 24; + when "10000101" => + dest <= 46; + src <= 42; + when "10000110" => + dest <= 24; + src <= 24; + when "10000111" => + dest <= 2; + src <= 53; + when "10001000" => + dest <= 37; + src <= 24; + when "10001001" => + dest <= 84; + src <= 93; + when "10001010" => + dest <= 24; + src <= 55; + when "10001011" => + dest <= 28; + src <= 2; + when "10001100" => + dest <= 24; + src <= 24; + when "10001101" => + dest <= 24; + src <= 46; + when "10001110" => + dest <= 16; + src <= 11; + when "10001111" => + dest <= 5; + src <= 64; + when "10010000" => + dest <= 24; + src <= 24; + when "10010001" => + dest <= 3; + src <= 20; + when "10010010" => + dest <= 45; + src <= 24; + when "10010011" => + dest <= 94; + src <= 32; + when "10010100" => + dest <= 27; + src <= 43; + when "10010101" => + dest <= 24; + src <= 24; + when "10010110" => + dest <= 15; + src <= 45; + when "10010111" => + dest <= 4; + src <= 3; + when "10011000" => + dest <= 26; + src <= 54; + when "10011001" => + dest <= 24; + src <= 21; + when "10011010" => + dest <= 24; + src <= 33; + when "10011011" => + dest <= 79; + src <= 44; + when "10011100" => + dest <= 68; + src <= 22; + when others => + dest <= 24; + src <= 24; + + end case; + end process; + + end generate NI_NUM24; + + NI_NUM25 : if NI_NUM = 25 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 31; + src <= 25; + when "00000001" => + dest <= 45; + src <= 25; + when "00000010" => + dest <= 25; + src <= 25; + when "00000011" => + dest <= 15; + src <= 25; + when "00000100" => + dest <= 80; + src <= 25; + when "00000101" => + dest <= 71; + src <= 5; + when "00000110" => + dest <= 25; + src <= 35; + when "00000111" => + dest <= 61; + src <= 19; + when "00001000" => + dest <= 13; + src <= 25; + when "00001001" => + dest <= 25; + src <= 81; + when "00001010" => + dest <= 25; + src <= 70; + when "00001011" => + dest <= 35; + src <= 25; + when "00001100" => + dest <= 51; + src <= 25; + when "00001101" => + dest <= 60; + src <= 37; + when "00001110" => + dest <= 79; + src <= 15; + when "00001111" => + dest <= 55; + src <= 60; + when "00010000" => + dest <= 25; + src <= 79; + when "00010001" => + dest <= 25; + src <= 89; + when "00010010" => + dest <= 25; + src <= 25; + when "00010011" => + dest <= 11; + src <= 25; + when "00010100" => + dest <= 90; + src <= 95; + when "00010101" => + dest <= 25; + src <= 99; + when "00010110" => + dest <= 65; + src <= 25; + when "00010111" => + dest <= 14; + src <= 25; + when "00011000" => + dest <= 89; + src <= 80; + when "00011001" => + dest <= 72; + src <= 71; + when "00011010" => + dest <= 25; + src <= 39; + when "00011011" => + dest <= 62; + src <= 36; + when "00011100" => + dest <= 22; + src <= 85; + when "00011101" => + dest <= 25; + src <= 25; + when "00011110" => + dest <= 25; + src <= 50; + when "00011111" => + dest <= 52; + src <= 25; + when "00100000" => + dest <= 37; + src <= 25; + when "00100001" => + dest <= 81; + src <= 28; + when "00100010" => + dest <= 78; + src <= 61; + when "00100011" => + dest <= 50; + src <= 78; + when "00100100" => + dest <= 25; + src <= 88; + when "00100101" => + dest <= 36; + src <= 13; + when "00100110" => + dest <= 25; + src <= 25; + when "00100111" => + dest <= 41; + src <= 98; + when "00101000" => + dest <= 25; + src <= 25; + when "00101001" => + dest <= 25; + src <= 14; + when "00101010" => + dest <= 0; + src <= 25; + when "00101011" => + dest <= 66; + src <= 69; + when "00101100" => + dest <= 1; + src <= 72; + when "00101101" => + dest <= 24; + src <= 90; + when "00101110" => + dest <= 99; + src <= 25; + when "00101111" => + dest <= 25; + src <= 9; + when "00110000" => + dest <= 25; + src <= 26; + when "00110001" => + dest <= 88; + src <= 25; + when "00110010" => + dest <= 82; + src <= 84; + when "00110011" => + dest <= 25; + src <= 40; + when "00110100" => + dest <= 63; + src <= 49; + when "00110101" => + dest <= 23; + src <= 25; + when "00110110" => + dest <= 25; + src <= 25; + when "00110111" => + dest <= 25; + src <= 51; + when "00111000" => + dest <= 68; + src <= 25; + when "00111001" => + dest <= 91; + src <= 27; + when "00111010" => + dest <= 34; + src <= 62; + when "00111011" => + dest <= 77; + src <= 68; + when "00111100" => + dest <= 25; + src <= 87; + when "00111101" => + dest <= 59; + src <= 25; + when "00111110" => + dest <= 25; + src <= 16; + when "00111111" => + dest <= 40; + src <= 25; + when "01000000" => + dest <= 25; + src <= 25; + when "01000001" => + dest <= 21; + src <= 82; + when "01000010" => + dest <= 73; + src <= 59; + when "01000011" => + dest <= 25; + src <= 25; + when "01000100" => + dest <= 33; + src <= 73; + when "01000101" => + dest <= 25; + src <= 25; + when "01000110" => + dest <= 67; + src <= 91; + when "01000111" => + dest <= 10; + src <= 29; + when "01001000" => + dest <= 92; + src <= 0; + when "01001001" => + dest <= 25; + src <= 17; + when "01001010" => + dest <= 25; + src <= 25; + when "01001011" => + dest <= 25; + src <= 77; + when "01001100" => + dest <= 9; + src <= 25; + when "01001101" => + dest <= 76; + src <= 25; + when "01001110" => + dest <= 25; + src <= 83; + when "01001111" => + dest <= 42; + src <= 30; + when "01010000" => + dest <= 25; + src <= 58; + when "01010001" => + dest <= 53; + src <= 25; + when "01010010" => + dest <= 58; + src <= 25; + when "01010011" => + dest <= 98; + src <= 25; + when "01010100" => + dest <= 25; + src <= 41; + when "01010101" => + dest <= 25; + src <= 74; + when "01010110" => + dest <= 87; + src <= 8; + when "01010111" => + dest <= 25; + src <= 25; + when "01011000" => + dest <= 64; + src <= 97; + when "01011001" => + dest <= 26; + src <= 25; + when "01011010" => + dest <= 74; + src <= 92; + when "01011011" => + dest <= 25; + src <= 52; + when "01011100" => + dest <= 49; + src <= 24; + when "01011101" => + dest <= 54; + src <= 25; + when "01011110" => + dest <= 25; + src <= 63; + when "01011111" => + dest <= 83; + src <= 86; + when "01100000" => + dest <= 30; + src <= 25; + when "01100001" => + dest <= 25; + src <= 25; + when "01100010" => + dest <= 25; + src <= 76; + when "01100011" => + dest <= 43; + src <= 96; + when "01100100" => + dest <= 19; + src <= 1; + when "01100101" => + dest <= 2; + src <= 25; + when "01100110" => + dest <= 25; + src <= 25; + when "01100111" => + dest <= 8; + src <= 67; + when "01101000" => + dest <= 44; + src <= 10; + when "01101001" => + dest <= 97; + src <= 7; + when "01101010" => + dest <= 25; + src <= 25; + when "01101011" => + dest <= 32; + src <= 31; + when "01101100" => + dest <= 86; + src <= 48; + when "01101101" => + dest <= 25; + src <= 6; + when "01101110" => + dest <= 57; + src <= 42; + when "01101111" => + dest <= 25; + src <= 25; + when "01110000" => + dest <= 84; + src <= 53; + when "01110001" => + dest <= 48; + src <= 18; + when "01110010" => + dest <= 25; + src <= 25; + when "01110011" => + dest <= 25; + src <= 64; + when "01110100" => + dest <= 93; + src <= 25; + when "01110101" => + dest <= 39; + src <= 93; + when "01110110" => + dest <= 25; + src <= 25; + when "01110111" => + dest <= 75; + src <= 66; + when "01111000" => + dest <= 25; + src <= 2; + when "01111001" => + dest <= 20; + src <= 25; + when "01111010" => + dest <= 25; + src <= 25; + when "01111011" => + dest <= 25; + src <= 57; + when "01111100" => + dest <= 12; + src <= 11; + when "01111101" => + dest <= 18; + src <= 25; + when "01111110" => + dest <= 25; + src <= 75; + when "01111111" => + dest <= 7; + src <= 25; + when "10000000" => + dest <= 25; + src <= 20; + when "10000001" => + dest <= 96; + src <= 25; + when "10000010" => + dest <= 25; + src <= 38; + when "10000011" => + dest <= 56; + src <= 32; + when "10000100" => + dest <= 94; + src <= 25; + when "10000101" => + dest <= 47; + src <= 43; + when "10000110" => + dest <= 25; + src <= 25; + when "10000111" => + dest <= 3; + src <= 54; + when "10001000" => + dest <= 38; + src <= 25; + when "10001001" => + dest <= 85; + src <= 94; + when "10001010" => + dest <= 25; + src <= 56; + when "10001011" => + dest <= 29; + src <= 3; + when "10001100" => + dest <= 25; + src <= 25; + when "10001101" => + dest <= 25; + src <= 47; + when "10001110" => + dest <= 17; + src <= 12; + when "10001111" => + dest <= 6; + src <= 65; + when "10010000" => + dest <= 25; + src <= 25; + when "10010001" => + dest <= 4; + src <= 21; + when "10010010" => + dest <= 46; + src <= 25; + when "10010011" => + dest <= 95; + src <= 33; + when "10010100" => + dest <= 28; + src <= 44; + when "10010101" => + dest <= 25; + src <= 25; + when "10010110" => + dest <= 16; + src <= 46; + when "10010111" => + dest <= 5; + src <= 4; + when "10011000" => + dest <= 27; + src <= 55; + when "10011001" => + dest <= 25; + src <= 22; + when "10011010" => + dest <= 25; + src <= 34; + when "10011011" => + dest <= 70; + src <= 45; + when "10011100" => + dest <= 69; + src <= 23; + when others => + dest <= 25; + src <= 25; + + end case; + end process; + + end generate NI_NUM25; + + NI_NUM26 : if NI_NUM = 26 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 32; + src <= 26; + when "00000001" => + dest <= 46; + src <= 26; + when "00000010" => + dest <= 26; + src <= 26; + when "00000011" => + dest <= 16; + src <= 26; + when "00000100" => + dest <= 81; + src <= 26; + when "00000101" => + dest <= 72; + src <= 6; + when "00000110" => + dest <= 26; + src <= 36; + when "00000111" => + dest <= 62; + src <= 10; + when "00001000" => + dest <= 14; + src <= 26; + when "00001001" => + dest <= 26; + src <= 82; + when "00001010" => + dest <= 26; + src <= 71; + when "00001011" => + dest <= 36; + src <= 26; + when "00001100" => + dest <= 52; + src <= 26; + when "00001101" => + dest <= 61; + src <= 38; + when "00001110" => + dest <= 70; + src <= 16; + when "00001111" => + dest <= 56; + src <= 61; + when "00010000" => + dest <= 26; + src <= 70; + when "00010001" => + dest <= 26; + src <= 80; + when "00010010" => + dest <= 26; + src <= 26; + when "00010011" => + dest <= 12; + src <= 26; + when "00010100" => + dest <= 91; + src <= 96; + when "00010101" => + dest <= 26; + src <= 90; + when "00010110" => + dest <= 66; + src <= 26; + when "00010111" => + dest <= 15; + src <= 26; + when "00011000" => + dest <= 80; + src <= 81; + when "00011001" => + dest <= 73; + src <= 72; + when "00011010" => + dest <= 26; + src <= 30; + when "00011011" => + dest <= 63; + src <= 37; + when "00011100" => + dest <= 23; + src <= 86; + when "00011101" => + dest <= 26; + src <= 26; + when "00011110" => + dest <= 26; + src <= 51; + when "00011111" => + dest <= 53; + src <= 26; + when "00100000" => + dest <= 38; + src <= 26; + when "00100001" => + dest <= 82; + src <= 29; + when "00100010" => + dest <= 79; + src <= 62; + when "00100011" => + dest <= 51; + src <= 79; + when "00100100" => + dest <= 26; + src <= 89; + when "00100101" => + dest <= 37; + src <= 14; + when "00100110" => + dest <= 26; + src <= 26; + when "00100111" => + dest <= 42; + src <= 99; + when "00101000" => + dest <= 26; + src <= 26; + when "00101001" => + dest <= 26; + src <= 15; + when "00101010" => + dest <= 1; + src <= 26; + when "00101011" => + dest <= 67; + src <= 60; + when "00101100" => + dest <= 2; + src <= 73; + when "00101101" => + dest <= 25; + src <= 91; + when "00101110" => + dest <= 90; + src <= 26; + when "00101111" => + dest <= 26; + src <= 0; + when "00110000" => + dest <= 26; + src <= 27; + when "00110001" => + dest <= 89; + src <= 26; + when "00110010" => + dest <= 83; + src <= 85; + when "00110011" => + dest <= 26; + src <= 41; + when "00110100" => + dest <= 64; + src <= 40; + when "00110101" => + dest <= 24; + src <= 26; + when "00110110" => + dest <= 26; + src <= 26; + when "00110111" => + dest <= 26; + src <= 52; + when "00111000" => + dest <= 69; + src <= 26; + when "00111001" => + dest <= 92; + src <= 28; + when "00111010" => + dest <= 35; + src <= 63; + when "00111011" => + dest <= 78; + src <= 69; + when "00111100" => + dest <= 26; + src <= 88; + when "00111101" => + dest <= 50; + src <= 26; + when "00111110" => + dest <= 26; + src <= 17; + when "00111111" => + dest <= 41; + src <= 26; + when "01000000" => + dest <= 26; + src <= 26; + when "01000001" => + dest <= 22; + src <= 83; + when "01000010" => + dest <= 74; + src <= 50; + when "01000011" => + dest <= 26; + src <= 26; + when "01000100" => + dest <= 34; + src <= 74; + when "01000101" => + dest <= 26; + src <= 26; + when "01000110" => + dest <= 68; + src <= 92; + when "01000111" => + dest <= 11; + src <= 20; + when "01001000" => + dest <= 93; + src <= 1; + when "01001001" => + dest <= 26; + src <= 18; + when "01001010" => + dest <= 26; + src <= 26; + when "01001011" => + dest <= 26; + src <= 78; + when "01001100" => + dest <= 0; + src <= 26; + when "01001101" => + dest <= 77; + src <= 26; + when "01001110" => + dest <= 26; + src <= 84; + when "01001111" => + dest <= 43; + src <= 31; + when "01010000" => + dest <= 26; + src <= 59; + when "01010001" => + dest <= 54; + src <= 26; + when "01010010" => + dest <= 59; + src <= 26; + when "01010011" => + dest <= 99; + src <= 26; + when "01010100" => + dest <= 26; + src <= 42; + when "01010101" => + dest <= 26; + src <= 75; + when "01010110" => + dest <= 88; + src <= 9; + when "01010111" => + dest <= 26; + src <= 26; + when "01011000" => + dest <= 65; + src <= 98; + when "01011001" => + dest <= 27; + src <= 26; + when "01011010" => + dest <= 75; + src <= 93; + when "01011011" => + dest <= 26; + src <= 53; + when "01011100" => + dest <= 40; + src <= 25; + when "01011101" => + dest <= 55; + src <= 26; + when "01011110" => + dest <= 26; + src <= 64; + when "01011111" => + dest <= 84; + src <= 87; + when "01100000" => + dest <= 31; + src <= 26; + when "01100001" => + dest <= 26; + src <= 26; + when "01100010" => + dest <= 26; + src <= 77; + when "01100011" => + dest <= 44; + src <= 97; + when "01100100" => + dest <= 10; + src <= 2; + when "01100101" => + dest <= 3; + src <= 26; + when "01100110" => + dest <= 26; + src <= 26; + when "01100111" => + dest <= 9; + src <= 68; + when "01101000" => + dest <= 45; + src <= 11; + when "01101001" => + dest <= 98; + src <= 8; + when "01101010" => + dest <= 26; + src <= 26; + when "01101011" => + dest <= 33; + src <= 32; + when "01101100" => + dest <= 87; + src <= 49; + when "01101101" => + dest <= 26; + src <= 7; + when "01101110" => + dest <= 58; + src <= 43; + when "01101111" => + dest <= 26; + src <= 26; + when "01110000" => + dest <= 85; + src <= 54; + when "01110001" => + dest <= 49; + src <= 19; + when "01110010" => + dest <= 26; + src <= 26; + when "01110011" => + dest <= 26; + src <= 65; + when "01110100" => + dest <= 94; + src <= 26; + when "01110101" => + dest <= 30; + src <= 94; + when "01110110" => + dest <= 26; + src <= 26; + when "01110111" => + dest <= 76; + src <= 67; + when "01111000" => + dest <= 26; + src <= 3; + when "01111001" => + dest <= 21; + src <= 26; + when "01111010" => + dest <= 26; + src <= 26; + when "01111011" => + dest <= 26; + src <= 58; + when "01111100" => + dest <= 13; + src <= 12; + when "01111101" => + dest <= 19; + src <= 26; + when "01111110" => + dest <= 26; + src <= 76; + when "01111111" => + dest <= 8; + src <= 26; + when "10000000" => + dest <= 26; + src <= 21; + when "10000001" => + dest <= 97; + src <= 26; + when "10000010" => + dest <= 26; + src <= 39; + when "10000011" => + dest <= 57; + src <= 33; + when "10000100" => + dest <= 95; + src <= 26; + when "10000101" => + dest <= 48; + src <= 44; + when "10000110" => + dest <= 26; + src <= 26; + when "10000111" => + dest <= 4; + src <= 55; + when "10001000" => + dest <= 39; + src <= 26; + when "10001001" => + dest <= 86; + src <= 95; + when "10001010" => + dest <= 26; + src <= 57; + when "10001011" => + dest <= 20; + src <= 4; + when "10001100" => + dest <= 26; + src <= 26; + when "10001101" => + dest <= 26; + src <= 48; + when "10001110" => + dest <= 18; + src <= 13; + when "10001111" => + dest <= 7; + src <= 66; + when "10010000" => + dest <= 26; + src <= 26; + when "10010001" => + dest <= 5; + src <= 22; + when "10010010" => + dest <= 47; + src <= 26; + when "10010011" => + dest <= 96; + src <= 34; + when "10010100" => + dest <= 29; + src <= 45; + when "10010101" => + dest <= 26; + src <= 26; + when "10010110" => + dest <= 17; + src <= 47; + when "10010111" => + dest <= 6; + src <= 5; + when "10011000" => + dest <= 28; + src <= 56; + when "10011001" => + dest <= 26; + src <= 23; + when "10011010" => + dest <= 26; + src <= 35; + when "10011011" => + dest <= 71; + src <= 46; + when "10011100" => + dest <= 60; + src <= 24; + when others => + dest <= 26; + src <= 26; + + end case; + end process; + + end generate NI_NUM26; + + NI_NUM27 : if NI_NUM = 27 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 33; + src <= 27; + when "00000001" => + dest <= 47; + src <= 27; + when "00000010" => + dest <= 27; + src <= 27; + when "00000011" => + dest <= 17; + src <= 27; + when "00000100" => + dest <= 82; + src <= 27; + when "00000101" => + dest <= 73; + src <= 7; + when "00000110" => + dest <= 27; + src <= 37; + when "00000111" => + dest <= 63; + src <= 11; + when "00001000" => + dest <= 15; + src <= 27; + when "00001001" => + dest <= 27; + src <= 83; + when "00001010" => + dest <= 27; + src <= 72; + when "00001011" => + dest <= 37; + src <= 27; + when "00001100" => + dest <= 53; + src <= 27; + when "00001101" => + dest <= 62; + src <= 39; + when "00001110" => + dest <= 71; + src <= 17; + when "00001111" => + dest <= 57; + src <= 62; + when "00010000" => + dest <= 27; + src <= 71; + when "00010001" => + dest <= 27; + src <= 81; + when "00010010" => + dest <= 27; + src <= 27; + when "00010011" => + dest <= 13; + src <= 27; + when "00010100" => + dest <= 92; + src <= 97; + when "00010101" => + dest <= 27; + src <= 91; + when "00010110" => + dest <= 67; + src <= 27; + when "00010111" => + dest <= 16; + src <= 27; + when "00011000" => + dest <= 81; + src <= 82; + when "00011001" => + dest <= 74; + src <= 73; + when "00011010" => + dest <= 27; + src <= 31; + when "00011011" => + dest <= 64; + src <= 38; + when "00011100" => + dest <= 24; + src <= 87; + when "00011101" => + dest <= 27; + src <= 27; + when "00011110" => + dest <= 27; + src <= 52; + when "00011111" => + dest <= 54; + src <= 27; + when "00100000" => + dest <= 39; + src <= 27; + when "00100001" => + dest <= 83; + src <= 20; + when "00100010" => + dest <= 70; + src <= 63; + when "00100011" => + dest <= 52; + src <= 70; + when "00100100" => + dest <= 27; + src <= 80; + when "00100101" => + dest <= 38; + src <= 15; + when "00100110" => + dest <= 27; + src <= 27; + when "00100111" => + dest <= 43; + src <= 90; + when "00101000" => + dest <= 27; + src <= 27; + when "00101001" => + dest <= 27; + src <= 16; + when "00101010" => + dest <= 2; + src <= 27; + when "00101011" => + dest <= 68; + src <= 61; + when "00101100" => + dest <= 3; + src <= 74; + when "00101101" => + dest <= 26; + src <= 92; + when "00101110" => + dest <= 91; + src <= 27; + when "00101111" => + dest <= 27; + src <= 1; + when "00110000" => + dest <= 27; + src <= 28; + when "00110001" => + dest <= 80; + src <= 27; + when "00110010" => + dest <= 84; + src <= 86; + when "00110011" => + dest <= 27; + src <= 42; + when "00110100" => + dest <= 65; + src <= 41; + when "00110101" => + dest <= 25; + src <= 27; + when "00110110" => + dest <= 27; + src <= 27; + when "00110111" => + dest <= 27; + src <= 53; + when "00111000" => + dest <= 60; + src <= 27; + when "00111001" => + dest <= 93; + src <= 29; + when "00111010" => + dest <= 36; + src <= 64; + when "00111011" => + dest <= 79; + src <= 60; + when "00111100" => + dest <= 27; + src <= 89; + when "00111101" => + dest <= 51; + src <= 27; + when "00111110" => + dest <= 27; + src <= 18; + when "00111111" => + dest <= 42; + src <= 27; + when "01000000" => + dest <= 27; + src <= 27; + when "01000001" => + dest <= 23; + src <= 84; + when "01000010" => + dest <= 75; + src <= 51; + when "01000011" => + dest <= 27; + src <= 27; + when "01000100" => + dest <= 35; + src <= 75; + when "01000101" => + dest <= 27; + src <= 27; + when "01000110" => + dest <= 69; + src <= 93; + when "01000111" => + dest <= 12; + src <= 21; + when "01001000" => + dest <= 94; + src <= 2; + when "01001001" => + dest <= 27; + src <= 19; + when "01001010" => + dest <= 27; + src <= 27; + when "01001011" => + dest <= 27; + src <= 79; + when "01001100" => + dest <= 1; + src <= 27; + when "01001101" => + dest <= 78; + src <= 27; + when "01001110" => + dest <= 27; + src <= 85; + when "01001111" => + dest <= 44; + src <= 32; + when "01010000" => + dest <= 27; + src <= 50; + when "01010001" => + dest <= 55; + src <= 27; + when "01010010" => + dest <= 50; + src <= 27; + when "01010011" => + dest <= 90; + src <= 27; + when "01010100" => + dest <= 27; + src <= 43; + when "01010101" => + dest <= 27; + src <= 76; + when "01010110" => + dest <= 89; + src <= 0; + when "01010111" => + dest <= 27; + src <= 27; + when "01011000" => + dest <= 66; + src <= 99; + when "01011001" => + dest <= 28; + src <= 27; + when "01011010" => + dest <= 76; + src <= 94; + when "01011011" => + dest <= 27; + src <= 54; + when "01011100" => + dest <= 41; + src <= 26; + when "01011101" => + dest <= 56; + src <= 27; + when "01011110" => + dest <= 27; + src <= 65; + when "01011111" => + dest <= 85; + src <= 88; + when "01100000" => + dest <= 32; + src <= 27; + when "01100001" => + dest <= 27; + src <= 27; + when "01100010" => + dest <= 27; + src <= 78; + when "01100011" => + dest <= 45; + src <= 98; + when "01100100" => + dest <= 11; + src <= 3; + when "01100101" => + dest <= 4; + src <= 27; + when "01100110" => + dest <= 27; + src <= 27; + when "01100111" => + dest <= 0; + src <= 69; + when "01101000" => + dest <= 46; + src <= 12; + when "01101001" => + dest <= 99; + src <= 9; + when "01101010" => + dest <= 27; + src <= 27; + when "01101011" => + dest <= 34; + src <= 33; + when "01101100" => + dest <= 88; + src <= 40; + when "01101101" => + dest <= 27; + src <= 8; + when "01101110" => + dest <= 59; + src <= 44; + when "01101111" => + dest <= 27; + src <= 27; + when "01110000" => + dest <= 86; + src <= 55; + when "01110001" => + dest <= 40; + src <= 10; + when "01110010" => + dest <= 27; + src <= 27; + when "01110011" => + dest <= 27; + src <= 66; + when "01110100" => + dest <= 95; + src <= 27; + when "01110101" => + dest <= 31; + src <= 95; + when "01110110" => + dest <= 27; + src <= 27; + when "01110111" => + dest <= 77; + src <= 68; + when "01111000" => + dest <= 27; + src <= 4; + when "01111001" => + dest <= 22; + src <= 27; + when "01111010" => + dest <= 27; + src <= 27; + when "01111011" => + dest <= 27; + src <= 59; + when "01111100" => + dest <= 14; + src <= 13; + when "01111101" => + dest <= 10; + src <= 27; + when "01111110" => + dest <= 27; + src <= 77; + when "01111111" => + dest <= 9; + src <= 27; + when "10000000" => + dest <= 27; + src <= 22; + when "10000001" => + dest <= 98; + src <= 27; + when "10000010" => + dest <= 27; + src <= 30; + when "10000011" => + dest <= 58; + src <= 34; + when "10000100" => + dest <= 96; + src <= 27; + when "10000101" => + dest <= 49; + src <= 45; + when "10000110" => + dest <= 27; + src <= 27; + when "10000111" => + dest <= 5; + src <= 56; + when "10001000" => + dest <= 30; + src <= 27; + when "10001001" => + dest <= 87; + src <= 96; + when "10001010" => + dest <= 27; + src <= 58; + when "10001011" => + dest <= 21; + src <= 5; + when "10001100" => + dest <= 27; + src <= 27; + when "10001101" => + dest <= 27; + src <= 49; + when "10001110" => + dest <= 19; + src <= 14; + when "10001111" => + dest <= 8; + src <= 67; + when "10010000" => + dest <= 27; + src <= 27; + when "10010001" => + dest <= 6; + src <= 23; + when "10010010" => + dest <= 48; + src <= 27; + when "10010011" => + dest <= 97; + src <= 35; + when "10010100" => + dest <= 20; + src <= 46; + when "10010101" => + dest <= 27; + src <= 27; + when "10010110" => + dest <= 18; + src <= 48; + when "10010111" => + dest <= 7; + src <= 6; + when "10011000" => + dest <= 29; + src <= 57; + when "10011001" => + dest <= 27; + src <= 24; + when "10011010" => + dest <= 27; + src <= 36; + when "10011011" => + dest <= 72; + src <= 47; + when "10011100" => + dest <= 61; + src <= 25; + when others => + dest <= 27; + src <= 27; + + end case; + end process; + + end generate NI_NUM27; + + NI_NUM28 : if NI_NUM = 28 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 34; + src <= 28; + when "00000001" => + dest <= 48; + src <= 28; + when "00000010" => + dest <= 28; + src <= 28; + when "00000011" => + dest <= 18; + src <= 28; + when "00000100" => + dest <= 83; + src <= 28; + when "00000101" => + dest <= 74; + src <= 8; + when "00000110" => + dest <= 28; + src <= 38; + when "00000111" => + dest <= 64; + src <= 12; + when "00001000" => + dest <= 16; + src <= 28; + when "00001001" => + dest <= 28; + src <= 84; + when "00001010" => + dest <= 28; + src <= 73; + when "00001011" => + dest <= 38; + src <= 28; + when "00001100" => + dest <= 54; + src <= 28; + when "00001101" => + dest <= 63; + src <= 30; + when "00001110" => + dest <= 72; + src <= 18; + when "00001111" => + dest <= 58; + src <= 63; + when "00010000" => + dest <= 28; + src <= 72; + when "00010001" => + dest <= 28; + src <= 82; + when "00010010" => + dest <= 28; + src <= 28; + when "00010011" => + dest <= 14; + src <= 28; + when "00010100" => + dest <= 93; + src <= 98; + when "00010101" => + dest <= 28; + src <= 92; + when "00010110" => + dest <= 68; + src <= 28; + when "00010111" => + dest <= 17; + src <= 28; + when "00011000" => + dest <= 82; + src <= 83; + when "00011001" => + dest <= 75; + src <= 74; + when "00011010" => + dest <= 28; + src <= 32; + when "00011011" => + dest <= 65; + src <= 39; + when "00011100" => + dest <= 25; + src <= 88; + when "00011101" => + dest <= 28; + src <= 28; + when "00011110" => + dest <= 28; + src <= 53; + when "00011111" => + dest <= 55; + src <= 28; + when "00100000" => + dest <= 30; + src <= 28; + when "00100001" => + dest <= 84; + src <= 21; + when "00100010" => + dest <= 71; + src <= 64; + when "00100011" => + dest <= 53; + src <= 71; + when "00100100" => + dest <= 28; + src <= 81; + when "00100101" => + dest <= 39; + src <= 16; + when "00100110" => + dest <= 28; + src <= 28; + when "00100111" => + dest <= 44; + src <= 91; + when "00101000" => + dest <= 28; + src <= 28; + when "00101001" => + dest <= 28; + src <= 17; + when "00101010" => + dest <= 3; + src <= 28; + when "00101011" => + dest <= 69; + src <= 62; + when "00101100" => + dest <= 4; + src <= 75; + when "00101101" => + dest <= 27; + src <= 93; + when "00101110" => + dest <= 92; + src <= 28; + when "00101111" => + dest <= 28; + src <= 2; + when "00110000" => + dest <= 28; + src <= 29; + when "00110001" => + dest <= 81; + src <= 28; + when "00110010" => + dest <= 85; + src <= 87; + when "00110011" => + dest <= 28; + src <= 43; + when "00110100" => + dest <= 66; + src <= 42; + when "00110101" => + dest <= 26; + src <= 28; + when "00110110" => + dest <= 28; + src <= 28; + when "00110111" => + dest <= 28; + src <= 54; + when "00111000" => + dest <= 61; + src <= 28; + when "00111001" => + dest <= 94; + src <= 20; + when "00111010" => + dest <= 37; + src <= 65; + when "00111011" => + dest <= 70; + src <= 61; + when "00111100" => + dest <= 28; + src <= 80; + when "00111101" => + dest <= 52; + src <= 28; + when "00111110" => + dest <= 28; + src <= 19; + when "00111111" => + dest <= 43; + src <= 28; + when "01000000" => + dest <= 28; + src <= 28; + when "01000001" => + dest <= 24; + src <= 85; + when "01000010" => + dest <= 76; + src <= 52; + when "01000011" => + dest <= 28; + src <= 28; + when "01000100" => + dest <= 36; + src <= 76; + when "01000101" => + dest <= 28; + src <= 28; + when "01000110" => + dest <= 60; + src <= 94; + when "01000111" => + dest <= 13; + src <= 22; + when "01001000" => + dest <= 95; + src <= 3; + when "01001001" => + dest <= 28; + src <= 10; + when "01001010" => + dest <= 28; + src <= 28; + when "01001011" => + dest <= 28; + src <= 70; + when "01001100" => + dest <= 2; + src <= 28; + when "01001101" => + dest <= 79; + src <= 28; + when "01001110" => + dest <= 28; + src <= 86; + when "01001111" => + dest <= 45; + src <= 33; + when "01010000" => + dest <= 28; + src <= 51; + when "01010001" => + dest <= 56; + src <= 28; + when "01010010" => + dest <= 51; + src <= 28; + when "01010011" => + dest <= 91; + src <= 28; + when "01010100" => + dest <= 28; + src <= 44; + when "01010101" => + dest <= 28; + src <= 77; + when "01010110" => + dest <= 80; + src <= 1; + when "01010111" => + dest <= 28; + src <= 28; + when "01011000" => + dest <= 67; + src <= 90; + when "01011001" => + dest <= 29; + src <= 28; + when "01011010" => + dest <= 77; + src <= 95; + when "01011011" => + dest <= 28; + src <= 55; + when "01011100" => + dest <= 42; + src <= 27; + when "01011101" => + dest <= 57; + src <= 28; + when "01011110" => + dest <= 28; + src <= 66; + when "01011111" => + dest <= 86; + src <= 89; + when "01100000" => + dest <= 33; + src <= 28; + when "01100001" => + dest <= 28; + src <= 28; + when "01100010" => + dest <= 28; + src <= 79; + when "01100011" => + dest <= 46; + src <= 99; + when "01100100" => + dest <= 12; + src <= 4; + when "01100101" => + dest <= 5; + src <= 28; + when "01100110" => + dest <= 28; + src <= 28; + when "01100111" => + dest <= 1; + src <= 60; + when "01101000" => + dest <= 47; + src <= 13; + when "01101001" => + dest <= 90; + src <= 0; + when "01101010" => + dest <= 28; + src <= 28; + when "01101011" => + dest <= 35; + src <= 34; + when "01101100" => + dest <= 89; + src <= 41; + when "01101101" => + dest <= 28; + src <= 9; + when "01101110" => + dest <= 50; + src <= 45; + when "01101111" => + dest <= 28; + src <= 28; + when "01110000" => + dest <= 87; + src <= 56; + when "01110001" => + dest <= 41; + src <= 11; + when "01110010" => + dest <= 28; + src <= 28; + when "01110011" => + dest <= 28; + src <= 67; + when "01110100" => + dest <= 96; + src <= 28; + when "01110101" => + dest <= 32; + src <= 96; + when "01110110" => + dest <= 28; + src <= 28; + when "01110111" => + dest <= 78; + src <= 69; + when "01111000" => + dest <= 28; + src <= 5; + when "01111001" => + dest <= 23; + src <= 28; + when "01111010" => + dest <= 28; + src <= 28; + when "01111011" => + dest <= 28; + src <= 50; + when "01111100" => + dest <= 15; + src <= 14; + when "01111101" => + dest <= 11; + src <= 28; + when "01111110" => + dest <= 28; + src <= 78; + when "01111111" => + dest <= 0; + src <= 28; + when "10000000" => + dest <= 28; + src <= 23; + when "10000001" => + dest <= 99; + src <= 28; + when "10000010" => + dest <= 28; + src <= 31; + when "10000011" => + dest <= 59; + src <= 35; + when "10000100" => + dest <= 97; + src <= 28; + when "10000101" => + dest <= 40; + src <= 46; + when "10000110" => + dest <= 28; + src <= 28; + when "10000111" => + dest <= 6; + src <= 57; + when "10001000" => + dest <= 31; + src <= 28; + when "10001001" => + dest <= 88; + src <= 97; + when "10001010" => + dest <= 28; + src <= 59; + when "10001011" => + dest <= 22; + src <= 6; + when "10001100" => + dest <= 28; + src <= 28; + when "10001101" => + dest <= 28; + src <= 40; + when "10001110" => + dest <= 10; + src <= 15; + when "10001111" => + dest <= 9; + src <= 68; + when "10010000" => + dest <= 28; + src <= 28; + when "10010001" => + dest <= 7; + src <= 24; + when "10010010" => + dest <= 49; + src <= 28; + when "10010011" => + dest <= 98; + src <= 36; + when "10010100" => + dest <= 21; + src <= 47; + when "10010101" => + dest <= 28; + src <= 28; + when "10010110" => + dest <= 19; + src <= 49; + when "10010111" => + dest <= 8; + src <= 7; + when "10011000" => + dest <= 20; + src <= 58; + when "10011001" => + dest <= 28; + src <= 25; + when "10011010" => + dest <= 28; + src <= 37; + when "10011011" => + dest <= 73; + src <= 48; + when "10011100" => + dest <= 62; + src <= 26; + when others => + dest <= 28; + src <= 28; + + end case; + end process; + + end generate NI_NUM28; + + NI_NUM29 : if NI_NUM = 29 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 35; + src <= 29; + when "00000001" => + dest <= 49; + src <= 29; + when "00000010" => + dest <= 29; + src <= 29; + when "00000011" => + dest <= 19; + src <= 29; + when "00000100" => + dest <= 84; + src <= 29; + when "00000101" => + dest <= 75; + src <= 9; + when "00000110" => + dest <= 29; + src <= 39; + when "00000111" => + dest <= 65; + src <= 13; + when "00001000" => + dest <= 17; + src <= 29; + when "00001001" => + dest <= 29; + src <= 85; + when "00001010" => + dest <= 29; + src <= 74; + when "00001011" => + dest <= 39; + src <= 29; + when "00001100" => + dest <= 55; + src <= 29; + when "00001101" => + dest <= 64; + src <= 31; + when "00001110" => + dest <= 73; + src <= 19; + when "00001111" => + dest <= 59; + src <= 64; + when "00010000" => + dest <= 29; + src <= 73; + when "00010001" => + dest <= 29; + src <= 83; + when "00010010" => + dest <= 29; + src <= 29; + when "00010011" => + dest <= 15; + src <= 29; + when "00010100" => + dest <= 94; + src <= 99; + when "00010101" => + dest <= 29; + src <= 93; + when "00010110" => + dest <= 69; + src <= 29; + when "00010111" => + dest <= 18; + src <= 29; + when "00011000" => + dest <= 83; + src <= 84; + when "00011001" => + dest <= 76; + src <= 75; + when "00011010" => + dest <= 29; + src <= 33; + when "00011011" => + dest <= 66; + src <= 30; + when "00011100" => + dest <= 26; + src <= 89; + when "00011101" => + dest <= 29; + src <= 29; + when "00011110" => + dest <= 29; + src <= 54; + when "00011111" => + dest <= 56; + src <= 29; + when "00100000" => + dest <= 31; + src <= 29; + when "00100001" => + dest <= 85; + src <= 22; + when "00100010" => + dest <= 72; + src <= 65; + when "00100011" => + dest <= 54; + src <= 72; + when "00100100" => + dest <= 29; + src <= 82; + when "00100101" => + dest <= 30; + src <= 17; + when "00100110" => + dest <= 29; + src <= 29; + when "00100111" => + dest <= 45; + src <= 92; + when "00101000" => + dest <= 29; + src <= 29; + when "00101001" => + dest <= 29; + src <= 18; + when "00101010" => + dest <= 4; + src <= 29; + when "00101011" => + dest <= 60; + src <= 63; + when "00101100" => + dest <= 5; + src <= 76; + when "00101101" => + dest <= 28; + src <= 94; + when "00101110" => + dest <= 93; + src <= 29; + when "00101111" => + dest <= 29; + src <= 3; + when "00110000" => + dest <= 29; + src <= 20; + when "00110001" => + dest <= 82; + src <= 29; + when "00110010" => + dest <= 86; + src <= 88; + when "00110011" => + dest <= 29; + src <= 44; + when "00110100" => + dest <= 67; + src <= 43; + when "00110101" => + dest <= 27; + src <= 29; + when "00110110" => + dest <= 29; + src <= 29; + when "00110111" => + dest <= 29; + src <= 55; + when "00111000" => + dest <= 62; + src <= 29; + when "00111001" => + dest <= 95; + src <= 21; + when "00111010" => + dest <= 38; + src <= 66; + when "00111011" => + dest <= 71; + src <= 62; + when "00111100" => + dest <= 29; + src <= 81; + when "00111101" => + dest <= 53; + src <= 29; + when "00111110" => + dest <= 29; + src <= 10; + when "00111111" => + dest <= 44; + src <= 29; + when "01000000" => + dest <= 29; + src <= 29; + when "01000001" => + dest <= 25; + src <= 86; + when "01000010" => + dest <= 77; + src <= 53; + when "01000011" => + dest <= 29; + src <= 29; + when "01000100" => + dest <= 37; + src <= 77; + when "01000101" => + dest <= 29; + src <= 29; + when "01000110" => + dest <= 61; + src <= 95; + when "01000111" => + dest <= 14; + src <= 23; + when "01001000" => + dest <= 96; + src <= 4; + when "01001001" => + dest <= 29; + src <= 11; + when "01001010" => + dest <= 29; + src <= 29; + when "01001011" => + dest <= 29; + src <= 71; + when "01001100" => + dest <= 3; + src <= 29; + when "01001101" => + dest <= 70; + src <= 29; + when "01001110" => + dest <= 29; + src <= 87; + when "01001111" => + dest <= 46; + src <= 34; + when "01010000" => + dest <= 29; + src <= 52; + when "01010001" => + dest <= 57; + src <= 29; + when "01010010" => + dest <= 52; + src <= 29; + when "01010011" => + dest <= 92; + src <= 29; + when "01010100" => + dest <= 29; + src <= 45; + when "01010101" => + dest <= 29; + src <= 78; + when "01010110" => + dest <= 81; + src <= 2; + when "01010111" => + dest <= 29; + src <= 29; + when "01011000" => + dest <= 68; + src <= 91; + when "01011001" => + dest <= 20; + src <= 29; + when "01011010" => + dest <= 78; + src <= 96; + when "01011011" => + dest <= 29; + src <= 56; + when "01011100" => + dest <= 43; + src <= 28; + when "01011101" => + dest <= 58; + src <= 29; + when "01011110" => + dest <= 29; + src <= 67; + when "01011111" => + dest <= 87; + src <= 80; + when "01100000" => + dest <= 34; + src <= 29; + when "01100001" => + dest <= 29; + src <= 29; + when "01100010" => + dest <= 29; + src <= 70; + when "01100011" => + dest <= 47; + src <= 90; + when "01100100" => + dest <= 13; + src <= 5; + when "01100101" => + dest <= 6; + src <= 29; + when "01100110" => + dest <= 29; + src <= 29; + when "01100111" => + dest <= 2; + src <= 61; + when "01101000" => + dest <= 48; + src <= 14; + when "01101001" => + dest <= 91; + src <= 1; + when "01101010" => + dest <= 29; + src <= 29; + when "01101011" => + dest <= 36; + src <= 35; + when "01101100" => + dest <= 80; + src <= 42; + when "01101101" => + dest <= 29; + src <= 0; + when "01101110" => + dest <= 51; + src <= 46; + when "01101111" => + dest <= 29; + src <= 29; + when "01110000" => + dest <= 88; + src <= 57; + when "01110001" => + dest <= 42; + src <= 12; + when "01110010" => + dest <= 29; + src <= 29; + when "01110011" => + dest <= 29; + src <= 68; + when "01110100" => + dest <= 97; + src <= 29; + when "01110101" => + dest <= 33; + src <= 97; + when "01110110" => + dest <= 29; + src <= 29; + when "01110111" => + dest <= 79; + src <= 60; + when "01111000" => + dest <= 29; + src <= 6; + when "01111001" => + dest <= 24; + src <= 29; + when "01111010" => + dest <= 29; + src <= 29; + when "01111011" => + dest <= 29; + src <= 51; + when "01111100" => + dest <= 16; + src <= 15; + when "01111101" => + dest <= 12; + src <= 29; + when "01111110" => + dest <= 29; + src <= 79; + when "01111111" => + dest <= 1; + src <= 29; + when "10000000" => + dest <= 29; + src <= 24; + when "10000001" => + dest <= 90; + src <= 29; + when "10000010" => + dest <= 29; + src <= 32; + when "10000011" => + dest <= 50; + src <= 36; + when "10000100" => + dest <= 98; + src <= 29; + when "10000101" => + dest <= 41; + src <= 47; + when "10000110" => + dest <= 29; + src <= 29; + when "10000111" => + dest <= 7; + src <= 58; + when "10001000" => + dest <= 32; + src <= 29; + when "10001001" => + dest <= 89; + src <= 98; + when "10001010" => + dest <= 29; + src <= 50; + when "10001011" => + dest <= 23; + src <= 7; + when "10001100" => + dest <= 29; + src <= 29; + when "10001101" => + dest <= 29; + src <= 41; + when "10001110" => + dest <= 11; + src <= 16; + when "10001111" => + dest <= 0; + src <= 69; + when "10010000" => + dest <= 29; + src <= 29; + when "10010001" => + dest <= 8; + src <= 25; + when "10010010" => + dest <= 40; + src <= 29; + when "10010011" => + dest <= 99; + src <= 37; + when "10010100" => + dest <= 22; + src <= 48; + when "10010101" => + dest <= 29; + src <= 29; + when "10010110" => + dest <= 10; + src <= 40; + when "10010111" => + dest <= 9; + src <= 8; + when "10011000" => + dest <= 21; + src <= 59; + when "10011001" => + dest <= 29; + src <= 26; + when "10011010" => + dest <= 29; + src <= 38; + when "10011011" => + dest <= 74; + src <= 49; + when "10011100" => + dest <= 63; + src <= 27; + when others => + dest <= 29; + src <= 29; + + end case; + end process; + + end generate NI_NUM29; + + NI_NUM30 : if NI_NUM = 30 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 46; + src <= 30; + when "00000001" => + dest <= 50; + src <= 30; + when "00000010" => + dest <= 30; + src <= 30; + when "00000011" => + dest <= 20; + src <= 30; + when "00000100" => + dest <= 95; + src <= 30; + when "00000101" => + dest <= 86; + src <= 10; + when "00000110" => + dest <= 30; + src <= 40; + when "00000111" => + dest <= 76; + src <= 24; + when "00001000" => + dest <= 28; + src <= 30; + when "00001001" => + dest <= 30; + src <= 96; + when "00001010" => + dest <= 30; + src <= 85; + when "00001011" => + dest <= 40; + src <= 30; + when "00001100" => + dest <= 66; + src <= 30; + when "00001101" => + dest <= 75; + src <= 42; + when "00001110" => + dest <= 84; + src <= 20; + when "00001111" => + dest <= 60; + src <= 75; + when "00010000" => + dest <= 30; + src <= 84; + when "00010001" => + dest <= 30; + src <= 94; + when "00010010" => + dest <= 30; + src <= 30; + when "00010011" => + dest <= 26; + src <= 30; + when "00010100" => + dest <= 5; + src <= 0; + when "00010101" => + dest <= 30; + src <= 4; + when "00010110" => + dest <= 70; + src <= 30; + when "00010111" => + dest <= 29; + src <= 30; + when "00011000" => + dest <= 94; + src <= 95; + when "00011001" => + dest <= 87; + src <= 86; + when "00011010" => + dest <= 30; + src <= 44; + when "00011011" => + dest <= 77; + src <= 41; + when "00011100" => + dest <= 37; + src <= 90; + when "00011101" => + dest <= 30; + src <= 30; + when "00011110" => + dest <= 30; + src <= 65; + when "00011111" => + dest <= 67; + src <= 30; + when "00100000" => + dest <= 42; + src <= 30; + when "00100001" => + dest <= 96; + src <= 33; + when "00100010" => + dest <= 83; + src <= 76; + when "00100011" => + dest <= 65; + src <= 83; + when "00100100" => + dest <= 30; + src <= 93; + when "00100101" => + dest <= 41; + src <= 28; + when "00100110" => + dest <= 30; + src <= 30; + when "00100111" => + dest <= 56; + src <= 3; + when "00101000" => + dest <= 30; + src <= 30; + when "00101001" => + dest <= 30; + src <= 29; + when "00101010" => + dest <= 15; + src <= 30; + when "00101011" => + dest <= 71; + src <= 74; + when "00101100" => + dest <= 16; + src <= 87; + when "00101101" => + dest <= 39; + src <= 5; + when "00101110" => + dest <= 4; + src <= 30; + when "00101111" => + dest <= 30; + src <= 14; + when "00110000" => + dest <= 30; + src <= 31; + when "00110001" => + dest <= 93; + src <= 30; + when "00110010" => + dest <= 97; + src <= 99; + when "00110011" => + dest <= 30; + src <= 55; + when "00110100" => + dest <= 78; + src <= 54; + when "00110101" => + dest <= 38; + src <= 30; + when "00110110" => + dest <= 30; + src <= 30; + when "00110111" => + dest <= 30; + src <= 66; + when "00111000" => + dest <= 73; + src <= 30; + when "00111001" => + dest <= 6; + src <= 32; + when "00111010" => + dest <= 49; + src <= 77; + when "00111011" => + dest <= 82; + src <= 73; + when "00111100" => + dest <= 30; + src <= 92; + when "00111101" => + dest <= 64; + src <= 30; + when "00111110" => + dest <= 30; + src <= 21; + when "00111111" => + dest <= 55; + src <= 30; + when "01000000" => + dest <= 30; + src <= 30; + when "01000001" => + dest <= 36; + src <= 97; + when "01000010" => + dest <= 88; + src <= 64; + when "01000011" => + dest <= 30; + src <= 30; + when "01000100" => + dest <= 48; + src <= 88; + when "01000101" => + dest <= 30; + src <= 30; + when "01000110" => + dest <= 72; + src <= 6; + when "01000111" => + dest <= 25; + src <= 34; + when "01001000" => + dest <= 7; + src <= 15; + when "01001001" => + dest <= 30; + src <= 22; + when "01001010" => + dest <= 30; + src <= 30; + when "01001011" => + dest <= 30; + src <= 82; + when "01001100" => + dest <= 14; + src <= 30; + when "01001101" => + dest <= 81; + src <= 30; + when "01001110" => + dest <= 30; + src <= 98; + when "01001111" => + dest <= 57; + src <= 45; + when "01010000" => + dest <= 30; + src <= 63; + when "01010001" => + dest <= 68; + src <= 30; + when "01010010" => + dest <= 63; + src <= 30; + when "01010011" => + dest <= 3; + src <= 30; + when "01010100" => + dest <= 30; + src <= 56; + when "01010101" => + dest <= 30; + src <= 89; + when "01010110" => + dest <= 92; + src <= 13; + when "01010111" => + dest <= 30; + src <= 30; + when "01011000" => + dest <= 79; + src <= 2; + when "01011001" => + dest <= 31; + src <= 30; + when "01011010" => + dest <= 89; + src <= 7; + when "01011011" => + dest <= 30; + src <= 67; + when "01011100" => + dest <= 54; + src <= 39; + when "01011101" => + dest <= 69; + src <= 30; + when "01011110" => + dest <= 30; + src <= 78; + when "01011111" => + dest <= 98; + src <= 91; + when "01100000" => + dest <= 45; + src <= 30; + when "01100001" => + dest <= 30; + src <= 30; + when "01100010" => + dest <= 30; + src <= 81; + when "01100011" => + dest <= 58; + src <= 1; + when "01100100" => + dest <= 24; + src <= 16; + when "01100101" => + dest <= 17; + src <= 30; + when "01100110" => + dest <= 30; + src <= 30; + when "01100111" => + dest <= 13; + src <= 72; + when "01101000" => + dest <= 59; + src <= 25; + when "01101001" => + dest <= 2; + src <= 12; + when "01101010" => + dest <= 30; + src <= 30; + when "01101011" => + dest <= 47; + src <= 46; + when "01101100" => + dest <= 91; + src <= 53; + when "01101101" => + dest <= 30; + src <= 11; + when "01101110" => + dest <= 62; + src <= 57; + when "01101111" => + dest <= 30; + src <= 30; + when "01110000" => + dest <= 99; + src <= 68; + when "01110001" => + dest <= 53; + src <= 23; + when "01110010" => + dest <= 30; + src <= 30; + when "01110011" => + dest <= 30; + src <= 79; + when "01110100" => + dest <= 8; + src <= 30; + when "01110101" => + dest <= 44; + src <= 8; + when "01110110" => + dest <= 30; + src <= 30; + when "01110111" => + dest <= 80; + src <= 71; + when "01111000" => + dest <= 30; + src <= 17; + when "01111001" => + dest <= 35; + src <= 30; + when "01111010" => + dest <= 30; + src <= 30; + when "01111011" => + dest <= 30; + src <= 62; + when "01111100" => + dest <= 27; + src <= 26; + when "01111101" => + dest <= 23; + src <= 30; + when "01111110" => + dest <= 30; + src <= 80; + when "01111111" => + dest <= 12; + src <= 30; + when "10000000" => + dest <= 30; + src <= 35; + when "10000001" => + dest <= 1; + src <= 30; + when "10000010" => + dest <= 30; + src <= 43; + when "10000011" => + dest <= 61; + src <= 47; + when "10000100" => + dest <= 9; + src <= 30; + when "10000101" => + dest <= 52; + src <= 58; + when "10000110" => + dest <= 30; + src <= 30; + when "10000111" => + dest <= 18; + src <= 69; + when "10001000" => + dest <= 43; + src <= 30; + when "10001001" => + dest <= 90; + src <= 9; + when "10001010" => + dest <= 30; + src <= 61; + when "10001011" => + dest <= 34; + src <= 18; + when "10001100" => + dest <= 30; + src <= 30; + when "10001101" => + dest <= 30; + src <= 52; + when "10001110" => + dest <= 22; + src <= 27; + when "10001111" => + dest <= 11; + src <= 70; + when "10010000" => + dest <= 30; + src <= 30; + when "10010001" => + dest <= 19; + src <= 36; + when "10010010" => + dest <= 51; + src <= 30; + when "10010011" => + dest <= 0; + src <= 48; + when "10010100" => + dest <= 33; + src <= 59; + when "10010101" => + dest <= 30; + src <= 30; + when "10010110" => + dest <= 21; + src <= 51; + when "10010111" => + dest <= 10; + src <= 19; + when "10011000" => + dest <= 32; + src <= 60; + when "10011001" => + dest <= 30; + src <= 37; + when "10011010" => + dest <= 30; + src <= 49; + when "10011011" => + dest <= 85; + src <= 50; + when "10011100" => + dest <= 74; + src <= 38; + when others => + dest <= 30; + src <= 30; + + end case; + end process; + + end generate NI_NUM30; + + NI_NUM31 : if NI_NUM = 31 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 47; + src <= 31; + when "00000001" => + dest <= 51; + src <= 31; + when "00000010" => + dest <= 31; + src <= 31; + when "00000011" => + dest <= 21; + src <= 31; + when "00000100" => + dest <= 96; + src <= 31; + when "00000101" => + dest <= 87; + src <= 11; + when "00000110" => + dest <= 31; + src <= 41; + when "00000111" => + dest <= 77; + src <= 25; + when "00001000" => + dest <= 29; + src <= 31; + when "00001001" => + dest <= 31; + src <= 97; + when "00001010" => + dest <= 31; + src <= 86; + when "00001011" => + dest <= 41; + src <= 31; + when "00001100" => + dest <= 67; + src <= 31; + when "00001101" => + dest <= 76; + src <= 43; + when "00001110" => + dest <= 85; + src <= 21; + when "00001111" => + dest <= 61; + src <= 76; + when "00010000" => + dest <= 31; + src <= 85; + when "00010001" => + dest <= 31; + src <= 95; + when "00010010" => + dest <= 31; + src <= 31; + when "00010011" => + dest <= 27; + src <= 31; + when "00010100" => + dest <= 6; + src <= 1; + when "00010101" => + dest <= 31; + src <= 5; + when "00010110" => + dest <= 71; + src <= 31; + when "00010111" => + dest <= 20; + src <= 31; + when "00011000" => + dest <= 95; + src <= 96; + when "00011001" => + dest <= 88; + src <= 87; + when "00011010" => + dest <= 31; + src <= 45; + when "00011011" => + dest <= 78; + src <= 42; + when "00011100" => + dest <= 38; + src <= 91; + when "00011101" => + dest <= 31; + src <= 31; + when "00011110" => + dest <= 31; + src <= 66; + when "00011111" => + dest <= 68; + src <= 31; + when "00100000" => + dest <= 43; + src <= 31; + when "00100001" => + dest <= 97; + src <= 34; + when "00100010" => + dest <= 84; + src <= 77; + when "00100011" => + dest <= 66; + src <= 84; + when "00100100" => + dest <= 31; + src <= 94; + when "00100101" => + dest <= 42; + src <= 29; + when "00100110" => + dest <= 31; + src <= 31; + when "00100111" => + dest <= 57; + src <= 4; + when "00101000" => + dest <= 31; + src <= 31; + when "00101001" => + dest <= 31; + src <= 20; + when "00101010" => + dest <= 16; + src <= 31; + when "00101011" => + dest <= 72; + src <= 75; + when "00101100" => + dest <= 17; + src <= 88; + when "00101101" => + dest <= 30; + src <= 6; + when "00101110" => + dest <= 5; + src <= 31; + when "00101111" => + dest <= 31; + src <= 15; + when "00110000" => + dest <= 31; + src <= 32; + when "00110001" => + dest <= 94; + src <= 31; + when "00110010" => + dest <= 98; + src <= 90; + when "00110011" => + dest <= 31; + src <= 56; + when "00110100" => + dest <= 79; + src <= 55; + when "00110101" => + dest <= 39; + src <= 31; + when "00110110" => + dest <= 31; + src <= 31; + when "00110111" => + dest <= 31; + src <= 67; + when "00111000" => + dest <= 74; + src <= 31; + when "00111001" => + dest <= 7; + src <= 33; + when "00111010" => + dest <= 40; + src <= 78; + when "00111011" => + dest <= 83; + src <= 74; + when "00111100" => + dest <= 31; + src <= 93; + when "00111101" => + dest <= 65; + src <= 31; + when "00111110" => + dest <= 31; + src <= 22; + when "00111111" => + dest <= 56; + src <= 31; + when "01000000" => + dest <= 31; + src <= 31; + when "01000001" => + dest <= 37; + src <= 98; + when "01000010" => + dest <= 89; + src <= 65; + when "01000011" => + dest <= 31; + src <= 31; + when "01000100" => + dest <= 49; + src <= 89; + when "01000101" => + dest <= 31; + src <= 31; + when "01000110" => + dest <= 73; + src <= 7; + when "01000111" => + dest <= 26; + src <= 35; + when "01001000" => + dest <= 8; + src <= 16; + when "01001001" => + dest <= 31; + src <= 23; + when "01001010" => + dest <= 31; + src <= 31; + when "01001011" => + dest <= 31; + src <= 83; + when "01001100" => + dest <= 15; + src <= 31; + when "01001101" => + dest <= 82; + src <= 31; + when "01001110" => + dest <= 31; + src <= 99; + when "01001111" => + dest <= 58; + src <= 46; + when "01010000" => + dest <= 31; + src <= 64; + when "01010001" => + dest <= 69; + src <= 31; + when "01010010" => + dest <= 64; + src <= 31; + when "01010011" => + dest <= 4; + src <= 31; + when "01010100" => + dest <= 31; + src <= 57; + when "01010101" => + dest <= 31; + src <= 80; + when "01010110" => + dest <= 93; + src <= 14; + when "01010111" => + dest <= 31; + src <= 31; + when "01011000" => + dest <= 70; + src <= 3; + when "01011001" => + dest <= 32; + src <= 31; + when "01011010" => + dest <= 80; + src <= 8; + when "01011011" => + dest <= 31; + src <= 68; + when "01011100" => + dest <= 55; + src <= 30; + when "01011101" => + dest <= 60; + src <= 31; + when "01011110" => + dest <= 31; + src <= 79; + when "01011111" => + dest <= 99; + src <= 92; + when "01100000" => + dest <= 46; + src <= 31; + when "01100001" => + dest <= 31; + src <= 31; + when "01100010" => + dest <= 31; + src <= 82; + when "01100011" => + dest <= 59; + src <= 2; + when "01100100" => + dest <= 25; + src <= 17; + when "01100101" => + dest <= 18; + src <= 31; + when "01100110" => + dest <= 31; + src <= 31; + when "01100111" => + dest <= 14; + src <= 73; + when "01101000" => + dest <= 50; + src <= 26; + when "01101001" => + dest <= 3; + src <= 13; + when "01101010" => + dest <= 31; + src <= 31; + when "01101011" => + dest <= 48; + src <= 47; + when "01101100" => + dest <= 92; + src <= 54; + when "01101101" => + dest <= 31; + src <= 12; + when "01101110" => + dest <= 63; + src <= 58; + when "01101111" => + dest <= 31; + src <= 31; + when "01110000" => + dest <= 90; + src <= 69; + when "01110001" => + dest <= 54; + src <= 24; + when "01110010" => + dest <= 31; + src <= 31; + when "01110011" => + dest <= 31; + src <= 70; + when "01110100" => + dest <= 9; + src <= 31; + when "01110101" => + dest <= 45; + src <= 9; + when "01110110" => + dest <= 31; + src <= 31; + when "01110111" => + dest <= 81; + src <= 72; + when "01111000" => + dest <= 31; + src <= 18; + when "01111001" => + dest <= 36; + src <= 31; + when "01111010" => + dest <= 31; + src <= 31; + when "01111011" => + dest <= 31; + src <= 63; + when "01111100" => + dest <= 28; + src <= 27; + when "01111101" => + dest <= 24; + src <= 31; + when "01111110" => + dest <= 31; + src <= 81; + when "01111111" => + dest <= 13; + src <= 31; + when "10000000" => + dest <= 31; + src <= 36; + when "10000001" => + dest <= 2; + src <= 31; + when "10000010" => + dest <= 31; + src <= 44; + when "10000011" => + dest <= 62; + src <= 48; + when "10000100" => + dest <= 0; + src <= 31; + when "10000101" => + dest <= 53; + src <= 59; + when "10000110" => + dest <= 31; + src <= 31; + when "10000111" => + dest <= 19; + src <= 60; + when "10001000" => + dest <= 44; + src <= 31; + when "10001001" => + dest <= 91; + src <= 0; + when "10001010" => + dest <= 31; + src <= 62; + when "10001011" => + dest <= 35; + src <= 19; + when "10001100" => + dest <= 31; + src <= 31; + when "10001101" => + dest <= 31; + src <= 53; + when "10001110" => + dest <= 23; + src <= 28; + when "10001111" => + dest <= 12; + src <= 71; + when "10010000" => + dest <= 31; + src <= 31; + when "10010001" => + dest <= 10; + src <= 37; + when "10010010" => + dest <= 52; + src <= 31; + when "10010011" => + dest <= 1; + src <= 49; + when "10010100" => + dest <= 34; + src <= 50; + when "10010101" => + dest <= 31; + src <= 31; + when "10010110" => + dest <= 22; + src <= 52; + when "10010111" => + dest <= 11; + src <= 10; + when "10011000" => + dest <= 33; + src <= 61; + when "10011001" => + dest <= 31; + src <= 38; + when "10011010" => + dest <= 31; + src <= 40; + when "10011011" => + dest <= 86; + src <= 51; + when "10011100" => + dest <= 75; + src <= 39; + when others => + dest <= 31; + src <= 31; + + end case; + end process; + + end generate NI_NUM31; + + NI_NUM32 : if NI_NUM = 32 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 48; + src <= 32; + when "00000001" => + dest <= 52; + src <= 32; + when "00000010" => + dest <= 32; + src <= 32; + when "00000011" => + dest <= 22; + src <= 32; + when "00000100" => + dest <= 97; + src <= 32; + when "00000101" => + dest <= 88; + src <= 12; + when "00000110" => + dest <= 32; + src <= 42; + when "00000111" => + dest <= 78; + src <= 26; + when "00001000" => + dest <= 20; + src <= 32; + when "00001001" => + dest <= 32; + src <= 98; + when "00001010" => + dest <= 32; + src <= 87; + when "00001011" => + dest <= 42; + src <= 32; + when "00001100" => + dest <= 68; + src <= 32; + when "00001101" => + dest <= 77; + src <= 44; + when "00001110" => + dest <= 86; + src <= 22; + when "00001111" => + dest <= 62; + src <= 77; + when "00010000" => + dest <= 32; + src <= 86; + when "00010001" => + dest <= 32; + src <= 96; + when "00010010" => + dest <= 32; + src <= 32; + when "00010011" => + dest <= 28; + src <= 32; + when "00010100" => + dest <= 7; + src <= 2; + when "00010101" => + dest <= 32; + src <= 6; + when "00010110" => + dest <= 72; + src <= 32; + when "00010111" => + dest <= 21; + src <= 32; + when "00011000" => + dest <= 96; + src <= 97; + when "00011001" => + dest <= 89; + src <= 88; + when "00011010" => + dest <= 32; + src <= 46; + when "00011011" => + dest <= 79; + src <= 43; + when "00011100" => + dest <= 39; + src <= 92; + when "00011101" => + dest <= 32; + src <= 32; + when "00011110" => + dest <= 32; + src <= 67; + when "00011111" => + dest <= 69; + src <= 32; + when "00100000" => + dest <= 44; + src <= 32; + when "00100001" => + dest <= 98; + src <= 35; + when "00100010" => + dest <= 85; + src <= 78; + when "00100011" => + dest <= 67; + src <= 85; + when "00100100" => + dest <= 32; + src <= 95; + when "00100101" => + dest <= 43; + src <= 20; + when "00100110" => + dest <= 32; + src <= 32; + when "00100111" => + dest <= 58; + src <= 5; + when "00101000" => + dest <= 32; + src <= 32; + when "00101001" => + dest <= 32; + src <= 21; + when "00101010" => + dest <= 17; + src <= 32; + when "00101011" => + dest <= 73; + src <= 76; + when "00101100" => + dest <= 18; + src <= 89; + when "00101101" => + dest <= 31; + src <= 7; + when "00101110" => + dest <= 6; + src <= 32; + when "00101111" => + dest <= 32; + src <= 16; + when "00110000" => + dest <= 32; + src <= 33; + when "00110001" => + dest <= 95; + src <= 32; + when "00110010" => + dest <= 99; + src <= 91; + when "00110011" => + dest <= 32; + src <= 57; + when "00110100" => + dest <= 70; + src <= 56; + when "00110101" => + dest <= 30; + src <= 32; + when "00110110" => + dest <= 32; + src <= 32; + when "00110111" => + dest <= 32; + src <= 68; + when "00111000" => + dest <= 75; + src <= 32; + when "00111001" => + dest <= 8; + src <= 34; + when "00111010" => + dest <= 41; + src <= 79; + when "00111011" => + dest <= 84; + src <= 75; + when "00111100" => + dest <= 32; + src <= 94; + when "00111101" => + dest <= 66; + src <= 32; + when "00111110" => + dest <= 32; + src <= 23; + when "00111111" => + dest <= 57; + src <= 32; + when "01000000" => + dest <= 32; + src <= 32; + when "01000001" => + dest <= 38; + src <= 99; + when "01000010" => + dest <= 80; + src <= 66; + when "01000011" => + dest <= 32; + src <= 32; + when "01000100" => + dest <= 40; + src <= 80; + when "01000101" => + dest <= 32; + src <= 32; + when "01000110" => + dest <= 74; + src <= 8; + when "01000111" => + dest <= 27; + src <= 36; + when "01001000" => + dest <= 9; + src <= 17; + when "01001001" => + dest <= 32; + src <= 24; + when "01001010" => + dest <= 32; + src <= 32; + when "01001011" => + dest <= 32; + src <= 84; + when "01001100" => + dest <= 16; + src <= 32; + when "01001101" => + dest <= 83; + src <= 32; + when "01001110" => + dest <= 32; + src <= 90; + when "01001111" => + dest <= 59; + src <= 47; + when "01010000" => + dest <= 32; + src <= 65; + when "01010001" => + dest <= 60; + src <= 32; + when "01010010" => + dest <= 65; + src <= 32; + when "01010011" => + dest <= 5; + src <= 32; + when "01010100" => + dest <= 32; + src <= 58; + when "01010101" => + dest <= 32; + src <= 81; + when "01010110" => + dest <= 94; + src <= 15; + when "01010111" => + dest <= 32; + src <= 32; + when "01011000" => + dest <= 71; + src <= 4; + when "01011001" => + dest <= 33; + src <= 32; + when "01011010" => + dest <= 81; + src <= 9; + when "01011011" => + dest <= 32; + src <= 69; + when "01011100" => + dest <= 56; + src <= 31; + when "01011101" => + dest <= 61; + src <= 32; + when "01011110" => + dest <= 32; + src <= 70; + when "01011111" => + dest <= 90; + src <= 93; + when "01100000" => + dest <= 47; + src <= 32; + when "01100001" => + dest <= 32; + src <= 32; + when "01100010" => + dest <= 32; + src <= 83; + when "01100011" => + dest <= 50; + src <= 3; + when "01100100" => + dest <= 26; + src <= 18; + when "01100101" => + dest <= 19; + src <= 32; + when "01100110" => + dest <= 32; + src <= 32; + when "01100111" => + dest <= 15; + src <= 74; + when "01101000" => + dest <= 51; + src <= 27; + when "01101001" => + dest <= 4; + src <= 14; + when "01101010" => + dest <= 32; + src <= 32; + when "01101011" => + dest <= 49; + src <= 48; + when "01101100" => + dest <= 93; + src <= 55; + when "01101101" => + dest <= 32; + src <= 13; + when "01101110" => + dest <= 64; + src <= 59; + when "01101111" => + dest <= 32; + src <= 32; + when "01110000" => + dest <= 91; + src <= 60; + when "01110001" => + dest <= 55; + src <= 25; + when "01110010" => + dest <= 32; + src <= 32; + when "01110011" => + dest <= 32; + src <= 71; + when "01110100" => + dest <= 0; + src <= 32; + when "01110101" => + dest <= 46; + src <= 0; + when "01110110" => + dest <= 32; + src <= 32; + when "01110111" => + dest <= 82; + src <= 73; + when "01111000" => + dest <= 32; + src <= 19; + when "01111001" => + dest <= 37; + src <= 32; + when "01111010" => + dest <= 32; + src <= 32; + when "01111011" => + dest <= 32; + src <= 64; + when "01111100" => + dest <= 29; + src <= 28; + when "01111101" => + dest <= 25; + src <= 32; + when "01111110" => + dest <= 32; + src <= 82; + when "01111111" => + dest <= 14; + src <= 32; + when "10000000" => + dest <= 32; + src <= 37; + when "10000001" => + dest <= 3; + src <= 32; + when "10000010" => + dest <= 32; + src <= 45; + when "10000011" => + dest <= 63; + src <= 49; + when "10000100" => + dest <= 1; + src <= 32; + when "10000101" => + dest <= 54; + src <= 50; + when "10000110" => + dest <= 32; + src <= 32; + when "10000111" => + dest <= 10; + src <= 61; + when "10001000" => + dest <= 45; + src <= 32; + when "10001001" => + dest <= 92; + src <= 1; + when "10001010" => + dest <= 32; + src <= 63; + when "10001011" => + dest <= 36; + src <= 10; + when "10001100" => + dest <= 32; + src <= 32; + when "10001101" => + dest <= 32; + src <= 54; + when "10001110" => + dest <= 24; + src <= 29; + when "10001111" => + dest <= 13; + src <= 72; + when "10010000" => + dest <= 32; + src <= 32; + when "10010001" => + dest <= 11; + src <= 38; + when "10010010" => + dest <= 53; + src <= 32; + when "10010011" => + dest <= 2; + src <= 40; + when "10010100" => + dest <= 35; + src <= 51; + when "10010101" => + dest <= 32; + src <= 32; + when "10010110" => + dest <= 23; + src <= 53; + when "10010111" => + dest <= 12; + src <= 11; + when "10011000" => + dest <= 34; + src <= 62; + when "10011001" => + dest <= 32; + src <= 39; + when "10011010" => + dest <= 32; + src <= 41; + when "10011011" => + dest <= 87; + src <= 52; + when "10011100" => + dest <= 76; + src <= 30; + when others => + dest <= 32; + src <= 32; + + end case; + end process; + + end generate NI_NUM32; + + NI_NUM33 : if NI_NUM = 33 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 49; + src <= 33; + when "00000001" => + dest <= 53; + src <= 33; + when "00000010" => + dest <= 33; + src <= 33; + when "00000011" => + dest <= 23; + src <= 33; + when "00000100" => + dest <= 98; + src <= 33; + when "00000101" => + dest <= 89; + src <= 13; + when "00000110" => + dest <= 33; + src <= 43; + when "00000111" => + dest <= 79; + src <= 27; + when "00001000" => + dest <= 21; + src <= 33; + when "00001001" => + dest <= 33; + src <= 99; + when "00001010" => + dest <= 33; + src <= 88; + when "00001011" => + dest <= 43; + src <= 33; + when "00001100" => + dest <= 69; + src <= 33; + when "00001101" => + dest <= 78; + src <= 45; + when "00001110" => + dest <= 87; + src <= 23; + when "00001111" => + dest <= 63; + src <= 78; + when "00010000" => + dest <= 33; + src <= 87; + when "00010001" => + dest <= 33; + src <= 97; + when "00010010" => + dest <= 33; + src <= 33; + when "00010011" => + dest <= 29; + src <= 33; + when "00010100" => + dest <= 8; + src <= 3; + when "00010101" => + dest <= 33; + src <= 7; + when "00010110" => + dest <= 73; + src <= 33; + when "00010111" => + dest <= 22; + src <= 33; + when "00011000" => + dest <= 97; + src <= 98; + when "00011001" => + dest <= 80; + src <= 89; + when "00011010" => + dest <= 33; + src <= 47; + when "00011011" => + dest <= 70; + src <= 44; + when "00011100" => + dest <= 30; + src <= 93; + when "00011101" => + dest <= 33; + src <= 33; + when "00011110" => + dest <= 33; + src <= 68; + when "00011111" => + dest <= 60; + src <= 33; + when "00100000" => + dest <= 45; + src <= 33; + when "00100001" => + dest <= 99; + src <= 36; + when "00100010" => + dest <= 86; + src <= 79; + when "00100011" => + dest <= 68; + src <= 86; + when "00100100" => + dest <= 33; + src <= 96; + when "00100101" => + dest <= 44; + src <= 21; + when "00100110" => + dest <= 33; + src <= 33; + when "00100111" => + dest <= 59; + src <= 6; + when "00101000" => + dest <= 33; + src <= 33; + when "00101001" => + dest <= 33; + src <= 22; + when "00101010" => + dest <= 18; + src <= 33; + when "00101011" => + dest <= 74; + src <= 77; + when "00101100" => + dest <= 19; + src <= 80; + when "00101101" => + dest <= 32; + src <= 8; + when "00101110" => + dest <= 7; + src <= 33; + when "00101111" => + dest <= 33; + src <= 17; + when "00110000" => + dest <= 33; + src <= 34; + when "00110001" => + dest <= 96; + src <= 33; + when "00110010" => + dest <= 90; + src <= 92; + when "00110011" => + dest <= 33; + src <= 58; + when "00110100" => + dest <= 71; + src <= 57; + when "00110101" => + dest <= 31; + src <= 33; + when "00110110" => + dest <= 33; + src <= 33; + when "00110111" => + dest <= 33; + src <= 69; + when "00111000" => + dest <= 76; + src <= 33; + when "00111001" => + dest <= 9; + src <= 35; + when "00111010" => + dest <= 42; + src <= 70; + when "00111011" => + dest <= 85; + src <= 76; + when "00111100" => + dest <= 33; + src <= 95; + when "00111101" => + dest <= 67; + src <= 33; + when "00111110" => + dest <= 33; + src <= 24; + when "00111111" => + dest <= 58; + src <= 33; + when "01000000" => + dest <= 33; + src <= 33; + when "01000001" => + dest <= 39; + src <= 90; + when "01000010" => + dest <= 81; + src <= 67; + when "01000011" => + dest <= 33; + src <= 33; + when "01000100" => + dest <= 41; + src <= 81; + when "01000101" => + dest <= 33; + src <= 33; + when "01000110" => + dest <= 75; + src <= 9; + when "01000111" => + dest <= 28; + src <= 37; + when "01001000" => + dest <= 0; + src <= 18; + when "01001001" => + dest <= 33; + src <= 25; + when "01001010" => + dest <= 33; + src <= 33; + when "01001011" => + dest <= 33; + src <= 85; + when "01001100" => + dest <= 17; + src <= 33; + when "01001101" => + dest <= 84; + src <= 33; + when "01001110" => + dest <= 33; + src <= 91; + when "01001111" => + dest <= 50; + src <= 48; + when "01010000" => + dest <= 33; + src <= 66; + when "01010001" => + dest <= 61; + src <= 33; + when "01010010" => + dest <= 66; + src <= 33; + when "01010011" => + dest <= 6; + src <= 33; + when "01010100" => + dest <= 33; + src <= 59; + when "01010101" => + dest <= 33; + src <= 82; + when "01010110" => + dest <= 95; + src <= 16; + when "01010111" => + dest <= 33; + src <= 33; + when "01011000" => + dest <= 72; + src <= 5; + when "01011001" => + dest <= 34; + src <= 33; + when "01011010" => + dest <= 82; + src <= 0; + when "01011011" => + dest <= 33; + src <= 60; + when "01011100" => + dest <= 57; + src <= 32; + when "01011101" => + dest <= 62; + src <= 33; + when "01011110" => + dest <= 33; + src <= 71; + when "01011111" => + dest <= 91; + src <= 94; + when "01100000" => + dest <= 48; + src <= 33; + when "01100001" => + dest <= 33; + src <= 33; + when "01100010" => + dest <= 33; + src <= 84; + when "01100011" => + dest <= 51; + src <= 4; + when "01100100" => + dest <= 27; + src <= 19; + when "01100101" => + dest <= 10; + src <= 33; + when "01100110" => + dest <= 33; + src <= 33; + when "01100111" => + dest <= 16; + src <= 75; + when "01101000" => + dest <= 52; + src <= 28; + when "01101001" => + dest <= 5; + src <= 15; + when "01101010" => + dest <= 33; + src <= 33; + when "01101011" => + dest <= 40; + src <= 49; + when "01101100" => + dest <= 94; + src <= 56; + when "01101101" => + dest <= 33; + src <= 14; + when "01101110" => + dest <= 65; + src <= 50; + when "01101111" => + dest <= 33; + src <= 33; + when "01110000" => + dest <= 92; + src <= 61; + when "01110001" => + dest <= 56; + src <= 26; + when "01110010" => + dest <= 33; + src <= 33; + when "01110011" => + dest <= 33; + src <= 72; + when "01110100" => + dest <= 1; + src <= 33; + when "01110101" => + dest <= 47; + src <= 1; + when "01110110" => + dest <= 33; + src <= 33; + when "01110111" => + dest <= 83; + src <= 74; + when "01111000" => + dest <= 33; + src <= 10; + when "01111001" => + dest <= 38; + src <= 33; + when "01111010" => + dest <= 33; + src <= 33; + when "01111011" => + dest <= 33; + src <= 65; + when "01111100" => + dest <= 20; + src <= 29; + when "01111101" => + dest <= 26; + src <= 33; + when "01111110" => + dest <= 33; + src <= 83; + when "01111111" => + dest <= 15; + src <= 33; + when "10000000" => + dest <= 33; + src <= 38; + when "10000001" => + dest <= 4; + src <= 33; + when "10000010" => + dest <= 33; + src <= 46; + when "10000011" => + dest <= 64; + src <= 40; + when "10000100" => + dest <= 2; + src <= 33; + when "10000101" => + dest <= 55; + src <= 51; + when "10000110" => + dest <= 33; + src <= 33; + when "10000111" => + dest <= 11; + src <= 62; + when "10001000" => + dest <= 46; + src <= 33; + when "10001001" => + dest <= 93; + src <= 2; + when "10001010" => + dest <= 33; + src <= 64; + when "10001011" => + dest <= 37; + src <= 11; + when "10001100" => + dest <= 33; + src <= 33; + when "10001101" => + dest <= 33; + src <= 55; + when "10001110" => + dest <= 25; + src <= 20; + when "10001111" => + dest <= 14; + src <= 73; + when "10010000" => + dest <= 33; + src <= 33; + when "10010001" => + dest <= 12; + src <= 39; + when "10010010" => + dest <= 54; + src <= 33; + when "10010011" => + dest <= 3; + src <= 41; + when "10010100" => + dest <= 36; + src <= 52; + when "10010101" => + dest <= 33; + src <= 33; + when "10010110" => + dest <= 24; + src <= 54; + when "10010111" => + dest <= 13; + src <= 12; + when "10011000" => + dest <= 35; + src <= 63; + when "10011001" => + dest <= 33; + src <= 30; + when "10011010" => + dest <= 33; + src <= 42; + when "10011011" => + dest <= 88; + src <= 53; + when "10011100" => + dest <= 77; + src <= 31; + when others => + dest <= 33; + src <= 33; + + end case; + end process; + + end generate NI_NUM33; + + NI_NUM34 : if NI_NUM = 34 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 40; + src <= 34; + when "00000001" => + dest <= 54; + src <= 34; + when "00000010" => + dest <= 34; + src <= 34; + when "00000011" => + dest <= 24; + src <= 34; + when "00000100" => + dest <= 99; + src <= 34; + when "00000101" => + dest <= 80; + src <= 14; + when "00000110" => + dest <= 34; + src <= 44; + when "00000111" => + dest <= 70; + src <= 28; + when "00001000" => + dest <= 22; + src <= 34; + when "00001001" => + dest <= 34; + src <= 90; + when "00001010" => + dest <= 34; + src <= 89; + when "00001011" => + dest <= 44; + src <= 34; + when "00001100" => + dest <= 60; + src <= 34; + when "00001101" => + dest <= 79; + src <= 46; + when "00001110" => + dest <= 88; + src <= 24; + when "00001111" => + dest <= 64; + src <= 79; + when "00010000" => + dest <= 34; + src <= 88; + when "00010001" => + dest <= 34; + src <= 98; + when "00010010" => + dest <= 34; + src <= 34; + when "00010011" => + dest <= 20; + src <= 34; + when "00010100" => + dest <= 9; + src <= 4; + when "00010101" => + dest <= 34; + src <= 8; + when "00010110" => + dest <= 74; + src <= 34; + when "00010111" => + dest <= 23; + src <= 34; + when "00011000" => + dest <= 98; + src <= 99; + when "00011001" => + dest <= 81; + src <= 80; + when "00011010" => + dest <= 34; + src <= 48; + when "00011011" => + dest <= 71; + src <= 45; + when "00011100" => + dest <= 31; + src <= 94; + when "00011101" => + dest <= 34; + src <= 34; + when "00011110" => + dest <= 34; + src <= 69; + when "00011111" => + dest <= 61; + src <= 34; + when "00100000" => + dest <= 46; + src <= 34; + when "00100001" => + dest <= 90; + src <= 37; + when "00100010" => + dest <= 87; + src <= 70; + when "00100011" => + dest <= 69; + src <= 87; + when "00100100" => + dest <= 34; + src <= 97; + when "00100101" => + dest <= 45; + src <= 22; + when "00100110" => + dest <= 34; + src <= 34; + when "00100111" => + dest <= 50; + src <= 7; + when "00101000" => + dest <= 34; + src <= 34; + when "00101001" => + dest <= 34; + src <= 23; + when "00101010" => + dest <= 19; + src <= 34; + when "00101011" => + dest <= 75; + src <= 78; + when "00101100" => + dest <= 10; + src <= 81; + when "00101101" => + dest <= 33; + src <= 9; + when "00101110" => + dest <= 8; + src <= 34; + when "00101111" => + dest <= 34; + src <= 18; + when "00110000" => + dest <= 34; + src <= 35; + when "00110001" => + dest <= 97; + src <= 34; + when "00110010" => + dest <= 91; + src <= 93; + when "00110011" => + dest <= 34; + src <= 59; + when "00110100" => + dest <= 72; + src <= 58; + when "00110101" => + dest <= 32; + src <= 34; + when "00110110" => + dest <= 34; + src <= 34; + when "00110111" => + dest <= 34; + src <= 60; + when "00111000" => + dest <= 77; + src <= 34; + when "00111001" => + dest <= 0; + src <= 36; + when "00111010" => + dest <= 43; + src <= 71; + when "00111011" => + dest <= 86; + src <= 77; + when "00111100" => + dest <= 34; + src <= 96; + when "00111101" => + dest <= 68; + src <= 34; + when "00111110" => + dest <= 34; + src <= 25; + when "00111111" => + dest <= 59; + src <= 34; + when "01000000" => + dest <= 34; + src <= 34; + when "01000001" => + dest <= 30; + src <= 91; + when "01000010" => + dest <= 82; + src <= 68; + when "01000011" => + dest <= 34; + src <= 34; + when "01000100" => + dest <= 42; + src <= 82; + when "01000101" => + dest <= 34; + src <= 34; + when "01000110" => + dest <= 76; + src <= 0; + when "01000111" => + dest <= 29; + src <= 38; + when "01001000" => + dest <= 1; + src <= 19; + when "01001001" => + dest <= 34; + src <= 26; + when "01001010" => + dest <= 34; + src <= 34; + when "01001011" => + dest <= 34; + src <= 86; + when "01001100" => + dest <= 18; + src <= 34; + when "01001101" => + dest <= 85; + src <= 34; + when "01001110" => + dest <= 34; + src <= 92; + when "01001111" => + dest <= 51; + src <= 49; + when "01010000" => + dest <= 34; + src <= 67; + when "01010001" => + dest <= 62; + src <= 34; + when "01010010" => + dest <= 67; + src <= 34; + when "01010011" => + dest <= 7; + src <= 34; + when "01010100" => + dest <= 34; + src <= 50; + when "01010101" => + dest <= 34; + src <= 83; + when "01010110" => + dest <= 96; + src <= 17; + when "01010111" => + dest <= 34; + src <= 34; + when "01011000" => + dest <= 73; + src <= 6; + when "01011001" => + dest <= 35; + src <= 34; + when "01011010" => + dest <= 83; + src <= 1; + when "01011011" => + dest <= 34; + src <= 61; + when "01011100" => + dest <= 58; + src <= 33; + when "01011101" => + dest <= 63; + src <= 34; + when "01011110" => + dest <= 34; + src <= 72; + when "01011111" => + dest <= 92; + src <= 95; + when "01100000" => + dest <= 49; + src <= 34; + when "01100001" => + dest <= 34; + src <= 34; + when "01100010" => + dest <= 34; + src <= 85; + when "01100011" => + dest <= 52; + src <= 5; + when "01100100" => + dest <= 28; + src <= 10; + when "01100101" => + dest <= 11; + src <= 34; + when "01100110" => + dest <= 34; + src <= 34; + when "01100111" => + dest <= 17; + src <= 76; + when "01101000" => + dest <= 53; + src <= 29; + when "01101001" => + dest <= 6; + src <= 16; + when "01101010" => + dest <= 34; + src <= 34; + when "01101011" => + dest <= 41; + src <= 40; + when "01101100" => + dest <= 95; + src <= 57; + when "01101101" => + dest <= 34; + src <= 15; + when "01101110" => + dest <= 66; + src <= 51; + when "01101111" => + dest <= 34; + src <= 34; + when "01110000" => + dest <= 93; + src <= 62; + when "01110001" => + dest <= 57; + src <= 27; + when "01110010" => + dest <= 34; + src <= 34; + when "01110011" => + dest <= 34; + src <= 73; + when "01110100" => + dest <= 2; + src <= 34; + when "01110101" => + dest <= 48; + src <= 2; + when "01110110" => + dest <= 34; + src <= 34; + when "01110111" => + dest <= 84; + src <= 75; + when "01111000" => + dest <= 34; + src <= 11; + when "01111001" => + dest <= 39; + src <= 34; + when "01111010" => + dest <= 34; + src <= 34; + when "01111011" => + dest <= 34; + src <= 66; + when "01111100" => + dest <= 21; + src <= 20; + when "01111101" => + dest <= 27; + src <= 34; + when "01111110" => + dest <= 34; + src <= 84; + when "01111111" => + dest <= 16; + src <= 34; + when "10000000" => + dest <= 34; + src <= 39; + when "10000001" => + dest <= 5; + src <= 34; + when "10000010" => + dest <= 34; + src <= 47; + when "10000011" => + dest <= 65; + src <= 41; + when "10000100" => + dest <= 3; + src <= 34; + when "10000101" => + dest <= 56; + src <= 52; + when "10000110" => + dest <= 34; + src <= 34; + when "10000111" => + dest <= 12; + src <= 63; + when "10001000" => + dest <= 47; + src <= 34; + when "10001001" => + dest <= 94; + src <= 3; + when "10001010" => + dest <= 34; + src <= 65; + when "10001011" => + dest <= 38; + src <= 12; + when "10001100" => + dest <= 34; + src <= 34; + when "10001101" => + dest <= 34; + src <= 56; + when "10001110" => + dest <= 26; + src <= 21; + when "10001111" => + dest <= 15; + src <= 74; + when "10010000" => + dest <= 34; + src <= 34; + when "10010001" => + dest <= 13; + src <= 30; + when "10010010" => + dest <= 55; + src <= 34; + when "10010011" => + dest <= 4; + src <= 42; + when "10010100" => + dest <= 37; + src <= 53; + when "10010101" => + dest <= 34; + src <= 34; + when "10010110" => + dest <= 25; + src <= 55; + when "10010111" => + dest <= 14; + src <= 13; + when "10011000" => + dest <= 36; + src <= 64; + when "10011001" => + dest <= 34; + src <= 31; + when "10011010" => + dest <= 34; + src <= 43; + when "10011011" => + dest <= 89; + src <= 54; + when "10011100" => + dest <= 78; + src <= 32; + when others => + dest <= 34; + src <= 34; + + end case; + end process; + + end generate NI_NUM34; + + NI_NUM35 : if NI_NUM = 35 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 41; + src <= 35; + when "00000001" => + dest <= 55; + src <= 35; + when "00000010" => + dest <= 35; + src <= 35; + when "00000011" => + dest <= 25; + src <= 35; + when "00000100" => + dest <= 90; + src <= 35; + when "00000101" => + dest <= 81; + src <= 15; + when "00000110" => + dest <= 35; + src <= 45; + when "00000111" => + dest <= 71; + src <= 29; + when "00001000" => + dest <= 23; + src <= 35; + when "00001001" => + dest <= 35; + src <= 91; + when "00001010" => + dest <= 35; + src <= 80; + when "00001011" => + dest <= 45; + src <= 35; + when "00001100" => + dest <= 61; + src <= 35; + when "00001101" => + dest <= 70; + src <= 47; + when "00001110" => + dest <= 89; + src <= 25; + when "00001111" => + dest <= 65; + src <= 70; + when "00010000" => + dest <= 35; + src <= 89; + when "00010001" => + dest <= 35; + src <= 99; + when "00010010" => + dest <= 35; + src <= 35; + when "00010011" => + dest <= 21; + src <= 35; + when "00010100" => + dest <= 0; + src <= 5; + when "00010101" => + dest <= 35; + src <= 9; + when "00010110" => + dest <= 75; + src <= 35; + when "00010111" => + dest <= 24; + src <= 35; + when "00011000" => + dest <= 99; + src <= 90; + when "00011001" => + dest <= 82; + src <= 81; + when "00011010" => + dest <= 35; + src <= 49; + when "00011011" => + dest <= 72; + src <= 46; + when "00011100" => + dest <= 32; + src <= 95; + when "00011101" => + dest <= 35; + src <= 35; + when "00011110" => + dest <= 35; + src <= 60; + when "00011111" => + dest <= 62; + src <= 35; + when "00100000" => + dest <= 47; + src <= 35; + when "00100001" => + dest <= 91; + src <= 38; + when "00100010" => + dest <= 88; + src <= 71; + when "00100011" => + dest <= 60; + src <= 88; + when "00100100" => + dest <= 35; + src <= 98; + when "00100101" => + dest <= 46; + src <= 23; + when "00100110" => + dest <= 35; + src <= 35; + when "00100111" => + dest <= 51; + src <= 8; + when "00101000" => + dest <= 35; + src <= 35; + when "00101001" => + dest <= 35; + src <= 24; + when "00101010" => + dest <= 10; + src <= 35; + when "00101011" => + dest <= 76; + src <= 79; + when "00101100" => + dest <= 11; + src <= 82; + when "00101101" => + dest <= 34; + src <= 0; + when "00101110" => + dest <= 9; + src <= 35; + when "00101111" => + dest <= 35; + src <= 19; + when "00110000" => + dest <= 35; + src <= 36; + when "00110001" => + dest <= 98; + src <= 35; + when "00110010" => + dest <= 92; + src <= 94; + when "00110011" => + dest <= 35; + src <= 50; + when "00110100" => + dest <= 73; + src <= 59; + when "00110101" => + dest <= 33; + src <= 35; + when "00110110" => + dest <= 35; + src <= 35; + when "00110111" => + dest <= 35; + src <= 61; + when "00111000" => + dest <= 78; + src <= 35; + when "00111001" => + dest <= 1; + src <= 37; + when "00111010" => + dest <= 44; + src <= 72; + when "00111011" => + dest <= 87; + src <= 78; + when "00111100" => + dest <= 35; + src <= 97; + when "00111101" => + dest <= 69; + src <= 35; + when "00111110" => + dest <= 35; + src <= 26; + when "00111111" => + dest <= 50; + src <= 35; + when "01000000" => + dest <= 35; + src <= 35; + when "01000001" => + dest <= 31; + src <= 92; + when "01000010" => + dest <= 83; + src <= 69; + when "01000011" => + dest <= 35; + src <= 35; + when "01000100" => + dest <= 43; + src <= 83; + when "01000101" => + dest <= 35; + src <= 35; + when "01000110" => + dest <= 77; + src <= 1; + when "01000111" => + dest <= 20; + src <= 39; + when "01001000" => + dest <= 2; + src <= 10; + when "01001001" => + dest <= 35; + src <= 27; + when "01001010" => + dest <= 35; + src <= 35; + when "01001011" => + dest <= 35; + src <= 87; + when "01001100" => + dest <= 19; + src <= 35; + when "01001101" => + dest <= 86; + src <= 35; + when "01001110" => + dest <= 35; + src <= 93; + when "01001111" => + dest <= 52; + src <= 40; + when "01010000" => + dest <= 35; + src <= 68; + when "01010001" => + dest <= 63; + src <= 35; + when "01010010" => + dest <= 68; + src <= 35; + when "01010011" => + dest <= 8; + src <= 35; + when "01010100" => + dest <= 35; + src <= 51; + when "01010101" => + dest <= 35; + src <= 84; + when "01010110" => + dest <= 97; + src <= 18; + when "01010111" => + dest <= 35; + src <= 35; + when "01011000" => + dest <= 74; + src <= 7; + when "01011001" => + dest <= 36; + src <= 35; + when "01011010" => + dest <= 84; + src <= 2; + when "01011011" => + dest <= 35; + src <= 62; + when "01011100" => + dest <= 59; + src <= 34; + when "01011101" => + dest <= 64; + src <= 35; + when "01011110" => + dest <= 35; + src <= 73; + when "01011111" => + dest <= 93; + src <= 96; + when "01100000" => + dest <= 40; + src <= 35; + when "01100001" => + dest <= 35; + src <= 35; + when "01100010" => + dest <= 35; + src <= 86; + when "01100011" => + dest <= 53; + src <= 6; + when "01100100" => + dest <= 29; + src <= 11; + when "01100101" => + dest <= 12; + src <= 35; + when "01100110" => + dest <= 35; + src <= 35; + when "01100111" => + dest <= 18; + src <= 77; + when "01101000" => + dest <= 54; + src <= 20; + when "01101001" => + dest <= 7; + src <= 17; + when "01101010" => + dest <= 35; + src <= 35; + when "01101011" => + dest <= 42; + src <= 41; + when "01101100" => + dest <= 96; + src <= 58; + when "01101101" => + dest <= 35; + src <= 16; + when "01101110" => + dest <= 67; + src <= 52; + when "01101111" => + dest <= 35; + src <= 35; + when "01110000" => + dest <= 94; + src <= 63; + when "01110001" => + dest <= 58; + src <= 28; + when "01110010" => + dest <= 35; + src <= 35; + when "01110011" => + dest <= 35; + src <= 74; + when "01110100" => + dest <= 3; + src <= 35; + when "01110101" => + dest <= 49; + src <= 3; + when "01110110" => + dest <= 35; + src <= 35; + when "01110111" => + dest <= 85; + src <= 76; + when "01111000" => + dest <= 35; + src <= 12; + when "01111001" => + dest <= 30; + src <= 35; + when "01111010" => + dest <= 35; + src <= 35; + when "01111011" => + dest <= 35; + src <= 67; + when "01111100" => + dest <= 22; + src <= 21; + when "01111101" => + dest <= 28; + src <= 35; + when "01111110" => + dest <= 35; + src <= 85; + when "01111111" => + dest <= 17; + src <= 35; + when "10000000" => + dest <= 35; + src <= 30; + when "10000001" => + dest <= 6; + src <= 35; + when "10000010" => + dest <= 35; + src <= 48; + when "10000011" => + dest <= 66; + src <= 42; + when "10000100" => + dest <= 4; + src <= 35; + when "10000101" => + dest <= 57; + src <= 53; + when "10000110" => + dest <= 35; + src <= 35; + when "10000111" => + dest <= 13; + src <= 64; + when "10001000" => + dest <= 48; + src <= 35; + when "10001001" => + dest <= 95; + src <= 4; + when "10001010" => + dest <= 35; + src <= 66; + when "10001011" => + dest <= 39; + src <= 13; + when "10001100" => + dest <= 35; + src <= 35; + when "10001101" => + dest <= 35; + src <= 57; + when "10001110" => + dest <= 27; + src <= 22; + when "10001111" => + dest <= 16; + src <= 75; + when "10010000" => + dest <= 35; + src <= 35; + when "10010001" => + dest <= 14; + src <= 31; + when "10010010" => + dest <= 56; + src <= 35; + when "10010011" => + dest <= 5; + src <= 43; + when "10010100" => + dest <= 38; + src <= 54; + when "10010101" => + dest <= 35; + src <= 35; + when "10010110" => + dest <= 26; + src <= 56; + when "10010111" => + dest <= 15; + src <= 14; + when "10011000" => + dest <= 37; + src <= 65; + when "10011001" => + dest <= 35; + src <= 32; + when "10011010" => + dest <= 35; + src <= 44; + when "10011011" => + dest <= 80; + src <= 55; + when "10011100" => + dest <= 79; + src <= 33; + when others => + dest <= 35; + src <= 35; + + end case; + end process; + + end generate NI_NUM35; + + NI_NUM36 : if NI_NUM = 36 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 42; + src <= 36; + when "00000001" => + dest <= 56; + src <= 36; + when "00000010" => + dest <= 36; + src <= 36; + when "00000011" => + dest <= 26; + src <= 36; + when "00000100" => + dest <= 91; + src <= 36; + when "00000101" => + dest <= 82; + src <= 16; + when "00000110" => + dest <= 36; + src <= 46; + when "00000111" => + dest <= 72; + src <= 20; + when "00001000" => + dest <= 24; + src <= 36; + when "00001001" => + dest <= 36; + src <= 92; + when "00001010" => + dest <= 36; + src <= 81; + when "00001011" => + dest <= 46; + src <= 36; + when "00001100" => + dest <= 62; + src <= 36; + when "00001101" => + dest <= 71; + src <= 48; + when "00001110" => + dest <= 80; + src <= 26; + when "00001111" => + dest <= 66; + src <= 71; + when "00010000" => + dest <= 36; + src <= 80; + when "00010001" => + dest <= 36; + src <= 90; + when "00010010" => + dest <= 36; + src <= 36; + when "00010011" => + dest <= 22; + src <= 36; + when "00010100" => + dest <= 1; + src <= 6; + when "00010101" => + dest <= 36; + src <= 0; + when "00010110" => + dest <= 76; + src <= 36; + when "00010111" => + dest <= 25; + src <= 36; + when "00011000" => + dest <= 90; + src <= 91; + when "00011001" => + dest <= 83; + src <= 82; + when "00011010" => + dest <= 36; + src <= 40; + when "00011011" => + dest <= 73; + src <= 47; + when "00011100" => + dest <= 33; + src <= 96; + when "00011101" => + dest <= 36; + src <= 36; + when "00011110" => + dest <= 36; + src <= 61; + when "00011111" => + dest <= 63; + src <= 36; + when "00100000" => + dest <= 48; + src <= 36; + when "00100001" => + dest <= 92; + src <= 39; + when "00100010" => + dest <= 89; + src <= 72; + when "00100011" => + dest <= 61; + src <= 89; + when "00100100" => + dest <= 36; + src <= 99; + when "00100101" => + dest <= 47; + src <= 24; + when "00100110" => + dest <= 36; + src <= 36; + when "00100111" => + dest <= 52; + src <= 9; + when "00101000" => + dest <= 36; + src <= 36; + when "00101001" => + dest <= 36; + src <= 25; + when "00101010" => + dest <= 11; + src <= 36; + when "00101011" => + dest <= 77; + src <= 70; + when "00101100" => + dest <= 12; + src <= 83; + when "00101101" => + dest <= 35; + src <= 1; + when "00101110" => + dest <= 0; + src <= 36; + when "00101111" => + dest <= 36; + src <= 10; + when "00110000" => + dest <= 36; + src <= 37; + when "00110001" => + dest <= 99; + src <= 36; + when "00110010" => + dest <= 93; + src <= 95; + when "00110011" => + dest <= 36; + src <= 51; + when "00110100" => + dest <= 74; + src <= 50; + when "00110101" => + dest <= 34; + src <= 36; + when "00110110" => + dest <= 36; + src <= 36; + when "00110111" => + dest <= 36; + src <= 62; + when "00111000" => + dest <= 79; + src <= 36; + when "00111001" => + dest <= 2; + src <= 38; + when "00111010" => + dest <= 45; + src <= 73; + when "00111011" => + dest <= 88; + src <= 79; + when "00111100" => + dest <= 36; + src <= 98; + when "00111101" => + dest <= 60; + src <= 36; + when "00111110" => + dest <= 36; + src <= 27; + when "00111111" => + dest <= 51; + src <= 36; + when "01000000" => + dest <= 36; + src <= 36; + when "01000001" => + dest <= 32; + src <= 93; + when "01000010" => + dest <= 84; + src <= 60; + when "01000011" => + dest <= 36; + src <= 36; + when "01000100" => + dest <= 44; + src <= 84; + when "01000101" => + dest <= 36; + src <= 36; + when "01000110" => + dest <= 78; + src <= 2; + when "01000111" => + dest <= 21; + src <= 30; + when "01001000" => + dest <= 3; + src <= 11; + when "01001001" => + dest <= 36; + src <= 28; + when "01001010" => + dest <= 36; + src <= 36; + when "01001011" => + dest <= 36; + src <= 88; + when "01001100" => + dest <= 10; + src <= 36; + when "01001101" => + dest <= 87; + src <= 36; + when "01001110" => + dest <= 36; + src <= 94; + when "01001111" => + dest <= 53; + src <= 41; + when "01010000" => + dest <= 36; + src <= 69; + when "01010001" => + dest <= 64; + src <= 36; + when "01010010" => + dest <= 69; + src <= 36; + when "01010011" => + dest <= 9; + src <= 36; + when "01010100" => + dest <= 36; + src <= 52; + when "01010101" => + dest <= 36; + src <= 85; + when "01010110" => + dest <= 98; + src <= 19; + when "01010111" => + dest <= 36; + src <= 36; + when "01011000" => + dest <= 75; + src <= 8; + when "01011001" => + dest <= 37; + src <= 36; + when "01011010" => + dest <= 85; + src <= 3; + when "01011011" => + dest <= 36; + src <= 63; + when "01011100" => + dest <= 50; + src <= 35; + when "01011101" => + dest <= 65; + src <= 36; + when "01011110" => + dest <= 36; + src <= 74; + when "01011111" => + dest <= 94; + src <= 97; + when "01100000" => + dest <= 41; + src <= 36; + when "01100001" => + dest <= 36; + src <= 36; + when "01100010" => + dest <= 36; + src <= 87; + when "01100011" => + dest <= 54; + src <= 7; + when "01100100" => + dest <= 20; + src <= 12; + when "01100101" => + dest <= 13; + src <= 36; + when "01100110" => + dest <= 36; + src <= 36; + when "01100111" => + dest <= 19; + src <= 78; + when "01101000" => + dest <= 55; + src <= 21; + when "01101001" => + dest <= 8; + src <= 18; + when "01101010" => + dest <= 36; + src <= 36; + when "01101011" => + dest <= 43; + src <= 42; + when "01101100" => + dest <= 97; + src <= 59; + when "01101101" => + dest <= 36; + src <= 17; + when "01101110" => + dest <= 68; + src <= 53; + when "01101111" => + dest <= 36; + src <= 36; + when "01110000" => + dest <= 95; + src <= 64; + when "01110001" => + dest <= 59; + src <= 29; + when "01110010" => + dest <= 36; + src <= 36; + when "01110011" => + dest <= 36; + src <= 75; + when "01110100" => + dest <= 4; + src <= 36; + when "01110101" => + dest <= 40; + src <= 4; + when "01110110" => + dest <= 36; + src <= 36; + when "01110111" => + dest <= 86; + src <= 77; + when "01111000" => + dest <= 36; + src <= 13; + when "01111001" => + dest <= 31; + src <= 36; + when "01111010" => + dest <= 36; + src <= 36; + when "01111011" => + dest <= 36; + src <= 68; + when "01111100" => + dest <= 23; + src <= 22; + when "01111101" => + dest <= 29; + src <= 36; + when "01111110" => + dest <= 36; + src <= 86; + when "01111111" => + dest <= 18; + src <= 36; + when "10000000" => + dest <= 36; + src <= 31; + when "10000001" => + dest <= 7; + src <= 36; + when "10000010" => + dest <= 36; + src <= 49; + when "10000011" => + dest <= 67; + src <= 43; + when "10000100" => + dest <= 5; + src <= 36; + when "10000101" => + dest <= 58; + src <= 54; + when "10000110" => + dest <= 36; + src <= 36; + when "10000111" => + dest <= 14; + src <= 65; + when "10001000" => + dest <= 49; + src <= 36; + when "10001001" => + dest <= 96; + src <= 5; + when "10001010" => + dest <= 36; + src <= 67; + when "10001011" => + dest <= 30; + src <= 14; + when "10001100" => + dest <= 36; + src <= 36; + when "10001101" => + dest <= 36; + src <= 58; + when "10001110" => + dest <= 28; + src <= 23; + when "10001111" => + dest <= 17; + src <= 76; + when "10010000" => + dest <= 36; + src <= 36; + when "10010001" => + dest <= 15; + src <= 32; + when "10010010" => + dest <= 57; + src <= 36; + when "10010011" => + dest <= 6; + src <= 44; + when "10010100" => + dest <= 39; + src <= 55; + when "10010101" => + dest <= 36; + src <= 36; + when "10010110" => + dest <= 27; + src <= 57; + when "10010111" => + dest <= 16; + src <= 15; + when "10011000" => + dest <= 38; + src <= 66; + when "10011001" => + dest <= 36; + src <= 33; + when "10011010" => + dest <= 36; + src <= 45; + when "10011011" => + dest <= 81; + src <= 56; + when "10011100" => + dest <= 70; + src <= 34; + when others => + dest <= 36; + src <= 36; + + end case; + end process; + + end generate NI_NUM36; + + NI_NUM37 : if NI_NUM = 37 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 43; + src <= 37; + when "00000001" => + dest <= 57; + src <= 37; + when "00000010" => + dest <= 37; + src <= 37; + when "00000011" => + dest <= 27; + src <= 37; + when "00000100" => + dest <= 92; + src <= 37; + when "00000101" => + dest <= 83; + src <= 17; + when "00000110" => + dest <= 37; + src <= 47; + when "00000111" => + dest <= 73; + src <= 21; + when "00001000" => + dest <= 25; + src <= 37; + when "00001001" => + dest <= 37; + src <= 93; + when "00001010" => + dest <= 37; + src <= 82; + when "00001011" => + dest <= 47; + src <= 37; + when "00001100" => + dest <= 63; + src <= 37; + when "00001101" => + dest <= 72; + src <= 49; + when "00001110" => + dest <= 81; + src <= 27; + when "00001111" => + dest <= 67; + src <= 72; + when "00010000" => + dest <= 37; + src <= 81; + when "00010001" => + dest <= 37; + src <= 91; + when "00010010" => + dest <= 37; + src <= 37; + when "00010011" => + dest <= 23; + src <= 37; + when "00010100" => + dest <= 2; + src <= 7; + when "00010101" => + dest <= 37; + src <= 1; + when "00010110" => + dest <= 77; + src <= 37; + when "00010111" => + dest <= 26; + src <= 37; + when "00011000" => + dest <= 91; + src <= 92; + when "00011001" => + dest <= 84; + src <= 83; + when "00011010" => + dest <= 37; + src <= 41; + when "00011011" => + dest <= 74; + src <= 48; + when "00011100" => + dest <= 34; + src <= 97; + when "00011101" => + dest <= 37; + src <= 37; + when "00011110" => + dest <= 37; + src <= 62; + when "00011111" => + dest <= 64; + src <= 37; + when "00100000" => + dest <= 49; + src <= 37; + when "00100001" => + dest <= 93; + src <= 30; + when "00100010" => + dest <= 80; + src <= 73; + when "00100011" => + dest <= 62; + src <= 80; + when "00100100" => + dest <= 37; + src <= 90; + when "00100101" => + dest <= 48; + src <= 25; + when "00100110" => + dest <= 37; + src <= 37; + when "00100111" => + dest <= 53; + src <= 0; + when "00101000" => + dest <= 37; + src <= 37; + when "00101001" => + dest <= 37; + src <= 26; + when "00101010" => + dest <= 12; + src <= 37; + when "00101011" => + dest <= 78; + src <= 71; + when "00101100" => + dest <= 13; + src <= 84; + when "00101101" => + dest <= 36; + src <= 2; + when "00101110" => + dest <= 1; + src <= 37; + when "00101111" => + dest <= 37; + src <= 11; + when "00110000" => + dest <= 37; + src <= 38; + when "00110001" => + dest <= 90; + src <= 37; + when "00110010" => + dest <= 94; + src <= 96; + when "00110011" => + dest <= 37; + src <= 52; + when "00110100" => + dest <= 75; + src <= 51; + when "00110101" => + dest <= 35; + src <= 37; + when "00110110" => + dest <= 37; + src <= 37; + when "00110111" => + dest <= 37; + src <= 63; + when "00111000" => + dest <= 70; + src <= 37; + when "00111001" => + dest <= 3; + src <= 39; + when "00111010" => + dest <= 46; + src <= 74; + when "00111011" => + dest <= 89; + src <= 70; + when "00111100" => + dest <= 37; + src <= 99; + when "00111101" => + dest <= 61; + src <= 37; + when "00111110" => + dest <= 37; + src <= 28; + when "00111111" => + dest <= 52; + src <= 37; + when "01000000" => + dest <= 37; + src <= 37; + when "01000001" => + dest <= 33; + src <= 94; + when "01000010" => + dest <= 85; + src <= 61; + when "01000011" => + dest <= 37; + src <= 37; + when "01000100" => + dest <= 45; + src <= 85; + when "01000101" => + dest <= 37; + src <= 37; + when "01000110" => + dest <= 79; + src <= 3; + when "01000111" => + dest <= 22; + src <= 31; + when "01001000" => + dest <= 4; + src <= 12; + when "01001001" => + dest <= 37; + src <= 29; + when "01001010" => + dest <= 37; + src <= 37; + when "01001011" => + dest <= 37; + src <= 89; + when "01001100" => + dest <= 11; + src <= 37; + when "01001101" => + dest <= 88; + src <= 37; + when "01001110" => + dest <= 37; + src <= 95; + when "01001111" => + dest <= 54; + src <= 42; + when "01010000" => + dest <= 37; + src <= 60; + when "01010001" => + dest <= 65; + src <= 37; + when "01010010" => + dest <= 60; + src <= 37; + when "01010011" => + dest <= 0; + src <= 37; + when "01010100" => + dest <= 37; + src <= 53; + when "01010101" => + dest <= 37; + src <= 86; + when "01010110" => + dest <= 99; + src <= 10; + when "01010111" => + dest <= 37; + src <= 37; + when "01011000" => + dest <= 76; + src <= 9; + when "01011001" => + dest <= 38; + src <= 37; + when "01011010" => + dest <= 86; + src <= 4; + when "01011011" => + dest <= 37; + src <= 64; + when "01011100" => + dest <= 51; + src <= 36; + when "01011101" => + dest <= 66; + src <= 37; + when "01011110" => + dest <= 37; + src <= 75; + when "01011111" => + dest <= 95; + src <= 98; + when "01100000" => + dest <= 42; + src <= 37; + when "01100001" => + dest <= 37; + src <= 37; + when "01100010" => + dest <= 37; + src <= 88; + when "01100011" => + dest <= 55; + src <= 8; + when "01100100" => + dest <= 21; + src <= 13; + when "01100101" => + dest <= 14; + src <= 37; + when "01100110" => + dest <= 37; + src <= 37; + when "01100111" => + dest <= 10; + src <= 79; + when "01101000" => + dest <= 56; + src <= 22; + when "01101001" => + dest <= 9; + src <= 19; + when "01101010" => + dest <= 37; + src <= 37; + when "01101011" => + dest <= 44; + src <= 43; + when "01101100" => + dest <= 98; + src <= 50; + when "01101101" => + dest <= 37; + src <= 18; + when "01101110" => + dest <= 69; + src <= 54; + when "01101111" => + dest <= 37; + src <= 37; + when "01110000" => + dest <= 96; + src <= 65; + when "01110001" => + dest <= 50; + src <= 20; + when "01110010" => + dest <= 37; + src <= 37; + when "01110011" => + dest <= 37; + src <= 76; + when "01110100" => + dest <= 5; + src <= 37; + when "01110101" => + dest <= 41; + src <= 5; + when "01110110" => + dest <= 37; + src <= 37; + when "01110111" => + dest <= 87; + src <= 78; + when "01111000" => + dest <= 37; + src <= 14; + when "01111001" => + dest <= 32; + src <= 37; + when "01111010" => + dest <= 37; + src <= 37; + when "01111011" => + dest <= 37; + src <= 69; + when "01111100" => + dest <= 24; + src <= 23; + when "01111101" => + dest <= 20; + src <= 37; + when "01111110" => + dest <= 37; + src <= 87; + when "01111111" => + dest <= 19; + src <= 37; + when "10000000" => + dest <= 37; + src <= 32; + when "10000001" => + dest <= 8; + src <= 37; + when "10000010" => + dest <= 37; + src <= 40; + when "10000011" => + dest <= 68; + src <= 44; + when "10000100" => + dest <= 6; + src <= 37; + when "10000101" => + dest <= 59; + src <= 55; + when "10000110" => + dest <= 37; + src <= 37; + when "10000111" => + dest <= 15; + src <= 66; + when "10001000" => + dest <= 40; + src <= 37; + when "10001001" => + dest <= 97; + src <= 6; + when "10001010" => + dest <= 37; + src <= 68; + when "10001011" => + dest <= 31; + src <= 15; + when "10001100" => + dest <= 37; + src <= 37; + when "10001101" => + dest <= 37; + src <= 59; + when "10001110" => + dest <= 29; + src <= 24; + when "10001111" => + dest <= 18; + src <= 77; + when "10010000" => + dest <= 37; + src <= 37; + when "10010001" => + dest <= 16; + src <= 33; + when "10010010" => + dest <= 58; + src <= 37; + when "10010011" => + dest <= 7; + src <= 45; + when "10010100" => + dest <= 30; + src <= 56; + when "10010101" => + dest <= 37; + src <= 37; + when "10010110" => + dest <= 28; + src <= 58; + when "10010111" => + dest <= 17; + src <= 16; + when "10011000" => + dest <= 39; + src <= 67; + when "10011001" => + dest <= 37; + src <= 34; + when "10011010" => + dest <= 37; + src <= 46; + when "10011011" => + dest <= 82; + src <= 57; + when "10011100" => + dest <= 71; + src <= 35; + when others => + dest <= 37; + src <= 37; + + end case; + end process; + + end generate NI_NUM37; + + NI_NUM38 : if NI_NUM = 38 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 44; + src <= 38; + when "00000001" => + dest <= 58; + src <= 38; + when "00000010" => + dest <= 38; + src <= 38; + when "00000011" => + dest <= 28; + src <= 38; + when "00000100" => + dest <= 93; + src <= 38; + when "00000101" => + dest <= 84; + src <= 18; + when "00000110" => + dest <= 38; + src <= 48; + when "00000111" => + dest <= 74; + src <= 22; + when "00001000" => + dest <= 26; + src <= 38; + when "00001001" => + dest <= 38; + src <= 94; + when "00001010" => + dest <= 38; + src <= 83; + when "00001011" => + dest <= 48; + src <= 38; + when "00001100" => + dest <= 64; + src <= 38; + when "00001101" => + dest <= 73; + src <= 40; + when "00001110" => + dest <= 82; + src <= 28; + when "00001111" => + dest <= 68; + src <= 73; + when "00010000" => + dest <= 38; + src <= 82; + when "00010001" => + dest <= 38; + src <= 92; + when "00010010" => + dest <= 38; + src <= 38; + when "00010011" => + dest <= 24; + src <= 38; + when "00010100" => + dest <= 3; + src <= 8; + when "00010101" => + dest <= 38; + src <= 2; + when "00010110" => + dest <= 78; + src <= 38; + when "00010111" => + dest <= 27; + src <= 38; + when "00011000" => + dest <= 92; + src <= 93; + when "00011001" => + dest <= 85; + src <= 84; + when "00011010" => + dest <= 38; + src <= 42; + when "00011011" => + dest <= 75; + src <= 49; + when "00011100" => + dest <= 35; + src <= 98; + when "00011101" => + dest <= 38; + src <= 38; + when "00011110" => + dest <= 38; + src <= 63; + when "00011111" => + dest <= 65; + src <= 38; + when "00100000" => + dest <= 40; + src <= 38; + when "00100001" => + dest <= 94; + src <= 31; + when "00100010" => + dest <= 81; + src <= 74; + when "00100011" => + dest <= 63; + src <= 81; + when "00100100" => + dest <= 38; + src <= 91; + when "00100101" => + dest <= 49; + src <= 26; + when "00100110" => + dest <= 38; + src <= 38; + when "00100111" => + dest <= 54; + src <= 1; + when "00101000" => + dest <= 38; + src <= 38; + when "00101001" => + dest <= 38; + src <= 27; + when "00101010" => + dest <= 13; + src <= 38; + when "00101011" => + dest <= 79; + src <= 72; + when "00101100" => + dest <= 14; + src <= 85; + when "00101101" => + dest <= 37; + src <= 3; + when "00101110" => + dest <= 2; + src <= 38; + when "00101111" => + dest <= 38; + src <= 12; + when "00110000" => + dest <= 38; + src <= 39; + when "00110001" => + dest <= 91; + src <= 38; + when "00110010" => + dest <= 95; + src <= 97; + when "00110011" => + dest <= 38; + src <= 53; + when "00110100" => + dest <= 76; + src <= 52; + when "00110101" => + dest <= 36; + src <= 38; + when "00110110" => + dest <= 38; + src <= 38; + when "00110111" => + dest <= 38; + src <= 64; + when "00111000" => + dest <= 71; + src <= 38; + when "00111001" => + dest <= 4; + src <= 30; + when "00111010" => + dest <= 47; + src <= 75; + when "00111011" => + dest <= 80; + src <= 71; + when "00111100" => + dest <= 38; + src <= 90; + when "00111101" => + dest <= 62; + src <= 38; + when "00111110" => + dest <= 38; + src <= 29; + when "00111111" => + dest <= 53; + src <= 38; + when "01000000" => + dest <= 38; + src <= 38; + when "01000001" => + dest <= 34; + src <= 95; + when "01000010" => + dest <= 86; + src <= 62; + when "01000011" => + dest <= 38; + src <= 38; + when "01000100" => + dest <= 46; + src <= 86; + when "01000101" => + dest <= 38; + src <= 38; + when "01000110" => + dest <= 70; + src <= 4; + when "01000111" => + dest <= 23; + src <= 32; + when "01001000" => + dest <= 5; + src <= 13; + when "01001001" => + dest <= 38; + src <= 20; + when "01001010" => + dest <= 38; + src <= 38; + when "01001011" => + dest <= 38; + src <= 80; + when "01001100" => + dest <= 12; + src <= 38; + when "01001101" => + dest <= 89; + src <= 38; + when "01001110" => + dest <= 38; + src <= 96; + when "01001111" => + dest <= 55; + src <= 43; + when "01010000" => + dest <= 38; + src <= 61; + when "01010001" => + dest <= 66; + src <= 38; + when "01010010" => + dest <= 61; + src <= 38; + when "01010011" => + dest <= 1; + src <= 38; + when "01010100" => + dest <= 38; + src <= 54; + when "01010101" => + dest <= 38; + src <= 87; + when "01010110" => + dest <= 90; + src <= 11; + when "01010111" => + dest <= 38; + src <= 38; + when "01011000" => + dest <= 77; + src <= 0; + when "01011001" => + dest <= 39; + src <= 38; + when "01011010" => + dest <= 87; + src <= 5; + when "01011011" => + dest <= 38; + src <= 65; + when "01011100" => + dest <= 52; + src <= 37; + when "01011101" => + dest <= 67; + src <= 38; + when "01011110" => + dest <= 38; + src <= 76; + when "01011111" => + dest <= 96; + src <= 99; + when "01100000" => + dest <= 43; + src <= 38; + when "01100001" => + dest <= 38; + src <= 38; + when "01100010" => + dest <= 38; + src <= 89; + when "01100011" => + dest <= 56; + src <= 9; + when "01100100" => + dest <= 22; + src <= 14; + when "01100101" => + dest <= 15; + src <= 38; + when "01100110" => + dest <= 38; + src <= 38; + when "01100111" => + dest <= 11; + src <= 70; + when "01101000" => + dest <= 57; + src <= 23; + when "01101001" => + dest <= 0; + src <= 10; + when "01101010" => + dest <= 38; + src <= 38; + when "01101011" => + dest <= 45; + src <= 44; + when "01101100" => + dest <= 99; + src <= 51; + when "01101101" => + dest <= 38; + src <= 19; + when "01101110" => + dest <= 60; + src <= 55; + when "01101111" => + dest <= 38; + src <= 38; + when "01110000" => + dest <= 97; + src <= 66; + when "01110001" => + dest <= 51; + src <= 21; + when "01110010" => + dest <= 38; + src <= 38; + when "01110011" => + dest <= 38; + src <= 77; + when "01110100" => + dest <= 6; + src <= 38; + when "01110101" => + dest <= 42; + src <= 6; + when "01110110" => + dest <= 38; + src <= 38; + when "01110111" => + dest <= 88; + src <= 79; + when "01111000" => + dest <= 38; + src <= 15; + when "01111001" => + dest <= 33; + src <= 38; + when "01111010" => + dest <= 38; + src <= 38; + when "01111011" => + dest <= 38; + src <= 60; + when "01111100" => + dest <= 25; + src <= 24; + when "01111101" => + dest <= 21; + src <= 38; + when "01111110" => + dest <= 38; + src <= 88; + when "01111111" => + dest <= 10; + src <= 38; + when "10000000" => + dest <= 38; + src <= 33; + when "10000001" => + dest <= 9; + src <= 38; + when "10000010" => + dest <= 38; + src <= 41; + when "10000011" => + dest <= 69; + src <= 45; + when "10000100" => + dest <= 7; + src <= 38; + when "10000101" => + dest <= 50; + src <= 56; + when "10000110" => + dest <= 38; + src <= 38; + when "10000111" => + dest <= 16; + src <= 67; + when "10001000" => + dest <= 41; + src <= 38; + when "10001001" => + dest <= 98; + src <= 7; + when "10001010" => + dest <= 38; + src <= 69; + when "10001011" => + dest <= 32; + src <= 16; + when "10001100" => + dest <= 38; + src <= 38; + when "10001101" => + dest <= 38; + src <= 50; + when "10001110" => + dest <= 20; + src <= 25; + when "10001111" => + dest <= 19; + src <= 78; + when "10010000" => + dest <= 38; + src <= 38; + when "10010001" => + dest <= 17; + src <= 34; + when "10010010" => + dest <= 59; + src <= 38; + when "10010011" => + dest <= 8; + src <= 46; + when "10010100" => + dest <= 31; + src <= 57; + when "10010101" => + dest <= 38; + src <= 38; + when "10010110" => + dest <= 29; + src <= 59; + when "10010111" => + dest <= 18; + src <= 17; + when "10011000" => + dest <= 30; + src <= 68; + when "10011001" => + dest <= 38; + src <= 35; + when "10011010" => + dest <= 38; + src <= 47; + when "10011011" => + dest <= 83; + src <= 58; + when "10011100" => + dest <= 72; + src <= 36; + when others => + dest <= 38; + src <= 38; + + end case; + end process; + + end generate NI_NUM38; + + NI_NUM39 : if NI_NUM = 39 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 45; + src <= 39; + when "00000001" => + dest <= 59; + src <= 39; + when "00000010" => + dest <= 39; + src <= 39; + when "00000011" => + dest <= 29; + src <= 39; + when "00000100" => + dest <= 94; + src <= 39; + when "00000101" => + dest <= 85; + src <= 19; + when "00000110" => + dest <= 39; + src <= 49; + when "00000111" => + dest <= 75; + src <= 23; + when "00001000" => + dest <= 27; + src <= 39; + when "00001001" => + dest <= 39; + src <= 95; + when "00001010" => + dest <= 39; + src <= 84; + when "00001011" => + dest <= 49; + src <= 39; + when "00001100" => + dest <= 65; + src <= 39; + when "00001101" => + dest <= 74; + src <= 41; + when "00001110" => + dest <= 83; + src <= 29; + when "00001111" => + dest <= 69; + src <= 74; + when "00010000" => + dest <= 39; + src <= 83; + when "00010001" => + dest <= 39; + src <= 93; + when "00010010" => + dest <= 39; + src <= 39; + when "00010011" => + dest <= 25; + src <= 39; + when "00010100" => + dest <= 4; + src <= 9; + when "00010101" => + dest <= 39; + src <= 3; + when "00010110" => + dest <= 79; + src <= 39; + when "00010111" => + dest <= 28; + src <= 39; + when "00011000" => + dest <= 93; + src <= 94; + when "00011001" => + dest <= 86; + src <= 85; + when "00011010" => + dest <= 39; + src <= 43; + when "00011011" => + dest <= 76; + src <= 40; + when "00011100" => + dest <= 36; + src <= 99; + when "00011101" => + dest <= 39; + src <= 39; + when "00011110" => + dest <= 39; + src <= 64; + when "00011111" => + dest <= 66; + src <= 39; + when "00100000" => + dest <= 41; + src <= 39; + when "00100001" => + dest <= 95; + src <= 32; + when "00100010" => + dest <= 82; + src <= 75; + when "00100011" => + dest <= 64; + src <= 82; + when "00100100" => + dest <= 39; + src <= 92; + when "00100101" => + dest <= 40; + src <= 27; + when "00100110" => + dest <= 39; + src <= 39; + when "00100111" => + dest <= 55; + src <= 2; + when "00101000" => + dest <= 39; + src <= 39; + when "00101001" => + dest <= 39; + src <= 28; + when "00101010" => + dest <= 14; + src <= 39; + when "00101011" => + dest <= 70; + src <= 73; + when "00101100" => + dest <= 15; + src <= 86; + when "00101101" => + dest <= 38; + src <= 4; + when "00101110" => + dest <= 3; + src <= 39; + when "00101111" => + dest <= 39; + src <= 13; + when "00110000" => + dest <= 39; + src <= 30; + when "00110001" => + dest <= 92; + src <= 39; + when "00110010" => + dest <= 96; + src <= 98; + when "00110011" => + dest <= 39; + src <= 54; + when "00110100" => + dest <= 77; + src <= 53; + when "00110101" => + dest <= 37; + src <= 39; + when "00110110" => + dest <= 39; + src <= 39; + when "00110111" => + dest <= 39; + src <= 65; + when "00111000" => + dest <= 72; + src <= 39; + when "00111001" => + dest <= 5; + src <= 31; + when "00111010" => + dest <= 48; + src <= 76; + when "00111011" => + dest <= 81; + src <= 72; + when "00111100" => + dest <= 39; + src <= 91; + when "00111101" => + dest <= 63; + src <= 39; + when "00111110" => + dest <= 39; + src <= 20; + when "00111111" => + dest <= 54; + src <= 39; + when "01000000" => + dest <= 39; + src <= 39; + when "01000001" => + dest <= 35; + src <= 96; + when "01000010" => + dest <= 87; + src <= 63; + when "01000011" => + dest <= 39; + src <= 39; + when "01000100" => + dest <= 47; + src <= 87; + when "01000101" => + dest <= 39; + src <= 39; + when "01000110" => + dest <= 71; + src <= 5; + when "01000111" => + dest <= 24; + src <= 33; + when "01001000" => + dest <= 6; + src <= 14; + when "01001001" => + dest <= 39; + src <= 21; + when "01001010" => + dest <= 39; + src <= 39; + when "01001011" => + dest <= 39; + src <= 81; + when "01001100" => + dest <= 13; + src <= 39; + when "01001101" => + dest <= 80; + src <= 39; + when "01001110" => + dest <= 39; + src <= 97; + when "01001111" => + dest <= 56; + src <= 44; + when "01010000" => + dest <= 39; + src <= 62; + when "01010001" => + dest <= 67; + src <= 39; + when "01010010" => + dest <= 62; + src <= 39; + when "01010011" => + dest <= 2; + src <= 39; + when "01010100" => + dest <= 39; + src <= 55; + when "01010101" => + dest <= 39; + src <= 88; + when "01010110" => + dest <= 91; + src <= 12; + when "01010111" => + dest <= 39; + src <= 39; + when "01011000" => + dest <= 78; + src <= 1; + when "01011001" => + dest <= 30; + src <= 39; + when "01011010" => + dest <= 88; + src <= 6; + when "01011011" => + dest <= 39; + src <= 66; + when "01011100" => + dest <= 53; + src <= 38; + when "01011101" => + dest <= 68; + src <= 39; + when "01011110" => + dest <= 39; + src <= 77; + when "01011111" => + dest <= 97; + src <= 90; + when "01100000" => + dest <= 44; + src <= 39; + when "01100001" => + dest <= 39; + src <= 39; + when "01100010" => + dest <= 39; + src <= 80; + when "01100011" => + dest <= 57; + src <= 0; + when "01100100" => + dest <= 23; + src <= 15; + when "01100101" => + dest <= 16; + src <= 39; + when "01100110" => + dest <= 39; + src <= 39; + when "01100111" => + dest <= 12; + src <= 71; + when "01101000" => + dest <= 58; + src <= 24; + when "01101001" => + dest <= 1; + src <= 11; + when "01101010" => + dest <= 39; + src <= 39; + when "01101011" => + dest <= 46; + src <= 45; + when "01101100" => + dest <= 90; + src <= 52; + when "01101101" => + dest <= 39; + src <= 10; + when "01101110" => + dest <= 61; + src <= 56; + when "01101111" => + dest <= 39; + src <= 39; + when "01110000" => + dest <= 98; + src <= 67; + when "01110001" => + dest <= 52; + src <= 22; + when "01110010" => + dest <= 39; + src <= 39; + when "01110011" => + dest <= 39; + src <= 78; + when "01110100" => + dest <= 7; + src <= 39; + when "01110101" => + dest <= 43; + src <= 7; + when "01110110" => + dest <= 39; + src <= 39; + when "01110111" => + dest <= 89; + src <= 70; + when "01111000" => + dest <= 39; + src <= 16; + when "01111001" => + dest <= 34; + src <= 39; + when "01111010" => + dest <= 39; + src <= 39; + when "01111011" => + dest <= 39; + src <= 61; + when "01111100" => + dest <= 26; + src <= 25; + when "01111101" => + dest <= 22; + src <= 39; + when "01111110" => + dest <= 39; + src <= 89; + when "01111111" => + dest <= 11; + src <= 39; + when "10000000" => + dest <= 39; + src <= 34; + when "10000001" => + dest <= 0; + src <= 39; + when "10000010" => + dest <= 39; + src <= 42; + when "10000011" => + dest <= 60; + src <= 46; + when "10000100" => + dest <= 8; + src <= 39; + when "10000101" => + dest <= 51; + src <= 57; + when "10000110" => + dest <= 39; + src <= 39; + when "10000111" => + dest <= 17; + src <= 68; + when "10001000" => + dest <= 42; + src <= 39; + when "10001001" => + dest <= 99; + src <= 8; + when "10001010" => + dest <= 39; + src <= 60; + when "10001011" => + dest <= 33; + src <= 17; + when "10001100" => + dest <= 39; + src <= 39; + when "10001101" => + dest <= 39; + src <= 51; + when "10001110" => + dest <= 21; + src <= 26; + when "10001111" => + dest <= 10; + src <= 79; + when "10010000" => + dest <= 39; + src <= 39; + when "10010001" => + dest <= 18; + src <= 35; + when "10010010" => + dest <= 50; + src <= 39; + when "10010011" => + dest <= 9; + src <= 47; + when "10010100" => + dest <= 32; + src <= 58; + when "10010101" => + dest <= 39; + src <= 39; + when "10010110" => + dest <= 20; + src <= 50; + when "10010111" => + dest <= 19; + src <= 18; + when "10011000" => + dest <= 31; + src <= 69; + when "10011001" => + dest <= 39; + src <= 36; + when "10011010" => + dest <= 39; + src <= 48; + when "10011011" => + dest <= 84; + src <= 59; + when "10011100" => + dest <= 73; + src <= 37; + when others => + dest <= 39; + src <= 39; + + end case; + end process; + + end generate NI_NUM39; + + NI_NUM40 : if NI_NUM = 40 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 56; + src <= 40; + when "00000001" => + dest <= 60; + src <= 40; + when "00000010" => + dest <= 40; + src <= 40; + when "00000011" => + dest <= 30; + src <= 40; + when "00000100" => + dest <= 5; + src <= 40; + when "00000101" => + dest <= 96; + src <= 20; + when "00000110" => + dest <= 40; + src <= 50; + when "00000111" => + dest <= 86; + src <= 34; + when "00001000" => + dest <= 38; + src <= 40; + when "00001001" => + dest <= 40; + src <= 6; + when "00001010" => + dest <= 40; + src <= 95; + when "00001011" => + dest <= 50; + src <= 40; + when "00001100" => + dest <= 76; + src <= 40; + when "00001101" => + dest <= 85; + src <= 52; + when "00001110" => + dest <= 94; + src <= 30; + when "00001111" => + dest <= 70; + src <= 85; + when "00010000" => + dest <= 40; + src <= 94; + when "00010001" => + dest <= 40; + src <= 4; + when "00010010" => + dest <= 40; + src <= 40; + when "00010011" => + dest <= 36; + src <= 40; + when "00010100" => + dest <= 15; + src <= 10; + when "00010101" => + dest <= 40; + src <= 14; + when "00010110" => + dest <= 80; + src <= 40; + when "00010111" => + dest <= 39; + src <= 40; + when "00011000" => + dest <= 4; + src <= 5; + when "00011001" => + dest <= 97; + src <= 96; + when "00011010" => + dest <= 40; + src <= 54; + when "00011011" => + dest <= 87; + src <= 51; + when "00011100" => + dest <= 47; + src <= 0; + when "00011101" => + dest <= 40; + src <= 40; + when "00011110" => + dest <= 40; + src <= 75; + when "00011111" => + dest <= 77; + src <= 40; + when "00100000" => + dest <= 52; + src <= 40; + when "00100001" => + dest <= 6; + src <= 43; + when "00100010" => + dest <= 93; + src <= 86; + when "00100011" => + dest <= 75; + src <= 93; + when "00100100" => + dest <= 40; + src <= 3; + when "00100101" => + dest <= 51; + src <= 38; + when "00100110" => + dest <= 40; + src <= 40; + when "00100111" => + dest <= 66; + src <= 13; + when "00101000" => + dest <= 40; + src <= 40; + when "00101001" => + dest <= 40; + src <= 39; + when "00101010" => + dest <= 25; + src <= 40; + when "00101011" => + dest <= 81; + src <= 84; + when "00101100" => + dest <= 26; + src <= 97; + when "00101101" => + dest <= 49; + src <= 15; + when "00101110" => + dest <= 14; + src <= 40; + when "00101111" => + dest <= 40; + src <= 24; + when "00110000" => + dest <= 40; + src <= 41; + when "00110001" => + dest <= 3; + src <= 40; + when "00110010" => + dest <= 7; + src <= 9; + when "00110011" => + dest <= 40; + src <= 65; + when "00110100" => + dest <= 88; + src <= 64; + when "00110101" => + dest <= 48; + src <= 40; + when "00110110" => + dest <= 40; + src <= 40; + when "00110111" => + dest <= 40; + src <= 76; + when "00111000" => + dest <= 83; + src <= 40; + when "00111001" => + dest <= 16; + src <= 42; + when "00111010" => + dest <= 59; + src <= 87; + when "00111011" => + dest <= 92; + src <= 83; + when "00111100" => + dest <= 40; + src <= 2; + when "00111101" => + dest <= 74; + src <= 40; + when "00111110" => + dest <= 40; + src <= 31; + when "00111111" => + dest <= 65; + src <= 40; + when "01000000" => + dest <= 40; + src <= 40; + when "01000001" => + dest <= 46; + src <= 7; + when "01000010" => + dest <= 98; + src <= 74; + when "01000011" => + dest <= 40; + src <= 40; + when "01000100" => + dest <= 58; + src <= 98; + when "01000101" => + dest <= 40; + src <= 40; + when "01000110" => + dest <= 82; + src <= 16; + when "01000111" => + dest <= 35; + src <= 44; + when "01001000" => + dest <= 17; + src <= 25; + when "01001001" => + dest <= 40; + src <= 32; + when "01001010" => + dest <= 40; + src <= 40; + when "01001011" => + dest <= 40; + src <= 92; + when "01001100" => + dest <= 24; + src <= 40; + when "01001101" => + dest <= 91; + src <= 40; + when "01001110" => + dest <= 40; + src <= 8; + when "01001111" => + dest <= 67; + src <= 55; + when "01010000" => + dest <= 40; + src <= 73; + when "01010001" => + dest <= 78; + src <= 40; + when "01010010" => + dest <= 73; + src <= 40; + when "01010011" => + dest <= 13; + src <= 40; + when "01010100" => + dest <= 40; + src <= 66; + when "01010101" => + dest <= 40; + src <= 99; + when "01010110" => + dest <= 2; + src <= 23; + when "01010111" => + dest <= 40; + src <= 40; + when "01011000" => + dest <= 89; + src <= 12; + when "01011001" => + dest <= 41; + src <= 40; + when "01011010" => + dest <= 99; + src <= 17; + when "01011011" => + dest <= 40; + src <= 77; + when "01011100" => + dest <= 64; + src <= 49; + when "01011101" => + dest <= 79; + src <= 40; + when "01011110" => + dest <= 40; + src <= 88; + when "01011111" => + dest <= 8; + src <= 1; + when "01100000" => + dest <= 55; + src <= 40; + when "01100001" => + dest <= 40; + src <= 40; + when "01100010" => + dest <= 40; + src <= 91; + when "01100011" => + dest <= 68; + src <= 11; + when "01100100" => + dest <= 34; + src <= 26; + when "01100101" => + dest <= 27; + src <= 40; + when "01100110" => + dest <= 40; + src <= 40; + when "01100111" => + dest <= 23; + src <= 82; + when "01101000" => + dest <= 69; + src <= 35; + when "01101001" => + dest <= 12; + src <= 22; + when "01101010" => + dest <= 40; + src <= 40; + when "01101011" => + dest <= 57; + src <= 56; + when "01101100" => + dest <= 1; + src <= 63; + when "01101101" => + dest <= 40; + src <= 21; + when "01101110" => + dest <= 72; + src <= 67; + when "01101111" => + dest <= 40; + src <= 40; + when "01110000" => + dest <= 9; + src <= 78; + when "01110001" => + dest <= 63; + src <= 33; + when "01110010" => + dest <= 40; + src <= 40; + when "01110011" => + dest <= 40; + src <= 89; + when "01110100" => + dest <= 18; + src <= 40; + when "01110101" => + dest <= 54; + src <= 18; + when "01110110" => + dest <= 40; + src <= 40; + when "01110111" => + dest <= 90; + src <= 81; + when "01111000" => + dest <= 40; + src <= 27; + when "01111001" => + dest <= 45; + src <= 40; + when "01111010" => + dest <= 40; + src <= 40; + when "01111011" => + dest <= 40; + src <= 72; + when "01111100" => + dest <= 37; + src <= 36; + when "01111101" => + dest <= 33; + src <= 40; + when "01111110" => + dest <= 40; + src <= 90; + when "01111111" => + dest <= 22; + src <= 40; + when "10000000" => + dest <= 40; + src <= 45; + when "10000001" => + dest <= 11; + src <= 40; + when "10000010" => + dest <= 40; + src <= 53; + when "10000011" => + dest <= 71; + src <= 57; + when "10000100" => + dest <= 19; + src <= 40; + when "10000101" => + dest <= 62; + src <= 68; + when "10000110" => + dest <= 40; + src <= 40; + when "10000111" => + dest <= 28; + src <= 79; + when "10001000" => + dest <= 53; + src <= 40; + when "10001001" => + dest <= 0; + src <= 19; + when "10001010" => + dest <= 40; + src <= 71; + when "10001011" => + dest <= 44; + src <= 28; + when "10001100" => + dest <= 40; + src <= 40; + when "10001101" => + dest <= 40; + src <= 62; + when "10001110" => + dest <= 32; + src <= 37; + when "10001111" => + dest <= 21; + src <= 80; + when "10010000" => + dest <= 40; + src <= 40; + when "10010001" => + dest <= 29; + src <= 46; + when "10010010" => + dest <= 61; + src <= 40; + when "10010011" => + dest <= 10; + src <= 58; + when "10010100" => + dest <= 43; + src <= 69; + when "10010101" => + dest <= 40; + src <= 40; + when "10010110" => + dest <= 31; + src <= 61; + when "10010111" => + dest <= 20; + src <= 29; + when "10011000" => + dest <= 42; + src <= 70; + when "10011001" => + dest <= 40; + src <= 47; + when "10011010" => + dest <= 40; + src <= 59; + when "10011011" => + dest <= 95; + src <= 60; + when "10011100" => + dest <= 84; + src <= 48; + when others => + dest <= 40; + src <= 40; + + end case; + end process; + + end generate NI_NUM40; + + NI_NUM41 : if NI_NUM = 41 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 57; + src <= 41; + when "00000001" => + dest <= 61; + src <= 41; + when "00000010" => + dest <= 41; + src <= 41; + when "00000011" => + dest <= 31; + src <= 41; + when "00000100" => + dest <= 6; + src <= 41; + when "00000101" => + dest <= 97; + src <= 21; + when "00000110" => + dest <= 41; + src <= 51; + when "00000111" => + dest <= 87; + src <= 35; + when "00001000" => + dest <= 39; + src <= 41; + when "00001001" => + dest <= 41; + src <= 7; + when "00001010" => + dest <= 41; + src <= 96; + when "00001011" => + dest <= 51; + src <= 41; + when "00001100" => + dest <= 77; + src <= 41; + when "00001101" => + dest <= 86; + src <= 53; + when "00001110" => + dest <= 95; + src <= 31; + when "00001111" => + dest <= 71; + src <= 86; + when "00010000" => + dest <= 41; + src <= 95; + when "00010001" => + dest <= 41; + src <= 5; + when "00010010" => + dest <= 41; + src <= 41; + when "00010011" => + dest <= 37; + src <= 41; + when "00010100" => + dest <= 16; + src <= 11; + when "00010101" => + dest <= 41; + src <= 15; + when "00010110" => + dest <= 81; + src <= 41; + when "00010111" => + dest <= 30; + src <= 41; + when "00011000" => + dest <= 5; + src <= 6; + when "00011001" => + dest <= 98; + src <= 97; + when "00011010" => + dest <= 41; + src <= 55; + when "00011011" => + dest <= 88; + src <= 52; + when "00011100" => + dest <= 48; + src <= 1; + when "00011101" => + dest <= 41; + src <= 41; + when "00011110" => + dest <= 41; + src <= 76; + when "00011111" => + dest <= 78; + src <= 41; + when "00100000" => + dest <= 53; + src <= 41; + when "00100001" => + dest <= 7; + src <= 44; + when "00100010" => + dest <= 94; + src <= 87; + when "00100011" => + dest <= 76; + src <= 94; + when "00100100" => + dest <= 41; + src <= 4; + when "00100101" => + dest <= 52; + src <= 39; + when "00100110" => + dest <= 41; + src <= 41; + when "00100111" => + dest <= 67; + src <= 14; + when "00101000" => + dest <= 41; + src <= 41; + when "00101001" => + dest <= 41; + src <= 30; + when "00101010" => + dest <= 26; + src <= 41; + when "00101011" => + dest <= 82; + src <= 85; + when "00101100" => + dest <= 27; + src <= 98; + when "00101101" => + dest <= 40; + src <= 16; + when "00101110" => + dest <= 15; + src <= 41; + when "00101111" => + dest <= 41; + src <= 25; + when "00110000" => + dest <= 41; + src <= 42; + when "00110001" => + dest <= 4; + src <= 41; + when "00110010" => + dest <= 8; + src <= 0; + when "00110011" => + dest <= 41; + src <= 66; + when "00110100" => + dest <= 89; + src <= 65; + when "00110101" => + dest <= 49; + src <= 41; + when "00110110" => + dest <= 41; + src <= 41; + when "00110111" => + dest <= 41; + src <= 77; + when "00111000" => + dest <= 84; + src <= 41; + when "00111001" => + dest <= 17; + src <= 43; + when "00111010" => + dest <= 50; + src <= 88; + when "00111011" => + dest <= 93; + src <= 84; + when "00111100" => + dest <= 41; + src <= 3; + when "00111101" => + dest <= 75; + src <= 41; + when "00111110" => + dest <= 41; + src <= 32; + when "00111111" => + dest <= 66; + src <= 41; + when "01000000" => + dest <= 41; + src <= 41; + when "01000001" => + dest <= 47; + src <= 8; + when "01000010" => + dest <= 99; + src <= 75; + when "01000011" => + dest <= 41; + src <= 41; + when "01000100" => + dest <= 59; + src <= 99; + when "01000101" => + dest <= 41; + src <= 41; + when "01000110" => + dest <= 83; + src <= 17; + when "01000111" => + dest <= 36; + src <= 45; + when "01001000" => + dest <= 18; + src <= 26; + when "01001001" => + dest <= 41; + src <= 33; + when "01001010" => + dest <= 41; + src <= 41; + when "01001011" => + dest <= 41; + src <= 93; + when "01001100" => + dest <= 25; + src <= 41; + when "01001101" => + dest <= 92; + src <= 41; + when "01001110" => + dest <= 41; + src <= 9; + when "01001111" => + dest <= 68; + src <= 56; + when "01010000" => + dest <= 41; + src <= 74; + when "01010001" => + dest <= 79; + src <= 41; + when "01010010" => + dest <= 74; + src <= 41; + when "01010011" => + dest <= 14; + src <= 41; + when "01010100" => + dest <= 41; + src <= 67; + when "01010101" => + dest <= 41; + src <= 90; + when "01010110" => + dest <= 3; + src <= 24; + when "01010111" => + dest <= 41; + src <= 41; + when "01011000" => + dest <= 80; + src <= 13; + when "01011001" => + dest <= 42; + src <= 41; + when "01011010" => + dest <= 90; + src <= 18; + when "01011011" => + dest <= 41; + src <= 78; + when "01011100" => + dest <= 65; + src <= 40; + when "01011101" => + dest <= 70; + src <= 41; + when "01011110" => + dest <= 41; + src <= 89; + when "01011111" => + dest <= 9; + src <= 2; + when "01100000" => + dest <= 56; + src <= 41; + when "01100001" => + dest <= 41; + src <= 41; + when "01100010" => + dest <= 41; + src <= 92; + when "01100011" => + dest <= 69; + src <= 12; + when "01100100" => + dest <= 35; + src <= 27; + when "01100101" => + dest <= 28; + src <= 41; + when "01100110" => + dest <= 41; + src <= 41; + when "01100111" => + dest <= 24; + src <= 83; + when "01101000" => + dest <= 60; + src <= 36; + when "01101001" => + dest <= 13; + src <= 23; + when "01101010" => + dest <= 41; + src <= 41; + when "01101011" => + dest <= 58; + src <= 57; + when "01101100" => + dest <= 2; + src <= 64; + when "01101101" => + dest <= 41; + src <= 22; + when "01101110" => + dest <= 73; + src <= 68; + when "01101111" => + dest <= 41; + src <= 41; + when "01110000" => + dest <= 0; + src <= 79; + when "01110001" => + dest <= 64; + src <= 34; + when "01110010" => + dest <= 41; + src <= 41; + when "01110011" => + dest <= 41; + src <= 80; + when "01110100" => + dest <= 19; + src <= 41; + when "01110101" => + dest <= 55; + src <= 19; + when "01110110" => + dest <= 41; + src <= 41; + when "01110111" => + dest <= 91; + src <= 82; + when "01111000" => + dest <= 41; + src <= 28; + when "01111001" => + dest <= 46; + src <= 41; + when "01111010" => + dest <= 41; + src <= 41; + when "01111011" => + dest <= 41; + src <= 73; + when "01111100" => + dest <= 38; + src <= 37; + when "01111101" => + dest <= 34; + src <= 41; + when "01111110" => + dest <= 41; + src <= 91; + when "01111111" => + dest <= 23; + src <= 41; + when "10000000" => + dest <= 41; + src <= 46; + when "10000001" => + dest <= 12; + src <= 41; + when "10000010" => + dest <= 41; + src <= 54; + when "10000011" => + dest <= 72; + src <= 58; + when "10000100" => + dest <= 10; + src <= 41; + when "10000101" => + dest <= 63; + src <= 69; + when "10000110" => + dest <= 41; + src <= 41; + when "10000111" => + dest <= 29; + src <= 70; + when "10001000" => + dest <= 54; + src <= 41; + when "10001001" => + dest <= 1; + src <= 10; + when "10001010" => + dest <= 41; + src <= 72; + when "10001011" => + dest <= 45; + src <= 29; + when "10001100" => + dest <= 41; + src <= 41; + when "10001101" => + dest <= 41; + src <= 63; + when "10001110" => + dest <= 33; + src <= 38; + when "10001111" => + dest <= 22; + src <= 81; + when "10010000" => + dest <= 41; + src <= 41; + when "10010001" => + dest <= 20; + src <= 47; + when "10010010" => + dest <= 62; + src <= 41; + when "10010011" => + dest <= 11; + src <= 59; + when "10010100" => + dest <= 44; + src <= 60; + when "10010101" => + dest <= 41; + src <= 41; + when "10010110" => + dest <= 32; + src <= 62; + when "10010111" => + dest <= 21; + src <= 20; + when "10011000" => + dest <= 43; + src <= 71; + when "10011001" => + dest <= 41; + src <= 48; + when "10011010" => + dest <= 41; + src <= 50; + when "10011011" => + dest <= 96; + src <= 61; + when "10011100" => + dest <= 85; + src <= 49; + when others => + dest <= 41; + src <= 41; + + end case; + end process; + + end generate NI_NUM41; + + NI_NUM42 : if NI_NUM = 42 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 58; + src <= 42; + when "00000001" => + dest <= 62; + src <= 42; + when "00000010" => + dest <= 42; + src <= 42; + when "00000011" => + dest <= 32; + src <= 42; + when "00000100" => + dest <= 7; + src <= 42; + when "00000101" => + dest <= 98; + src <= 22; + when "00000110" => + dest <= 42; + src <= 52; + when "00000111" => + dest <= 88; + src <= 36; + when "00001000" => + dest <= 30; + src <= 42; + when "00001001" => + dest <= 42; + src <= 8; + when "00001010" => + dest <= 42; + src <= 97; + when "00001011" => + dest <= 52; + src <= 42; + when "00001100" => + dest <= 78; + src <= 42; + when "00001101" => + dest <= 87; + src <= 54; + when "00001110" => + dest <= 96; + src <= 32; + when "00001111" => + dest <= 72; + src <= 87; + when "00010000" => + dest <= 42; + src <= 96; + when "00010001" => + dest <= 42; + src <= 6; + when "00010010" => + dest <= 42; + src <= 42; + when "00010011" => + dest <= 38; + src <= 42; + when "00010100" => + dest <= 17; + src <= 12; + when "00010101" => + dest <= 42; + src <= 16; + when "00010110" => + dest <= 82; + src <= 42; + when "00010111" => + dest <= 31; + src <= 42; + when "00011000" => + dest <= 6; + src <= 7; + when "00011001" => + dest <= 99; + src <= 98; + when "00011010" => + dest <= 42; + src <= 56; + when "00011011" => + dest <= 89; + src <= 53; + when "00011100" => + dest <= 49; + src <= 2; + when "00011101" => + dest <= 42; + src <= 42; + when "00011110" => + dest <= 42; + src <= 77; + when "00011111" => + dest <= 79; + src <= 42; + when "00100000" => + dest <= 54; + src <= 42; + when "00100001" => + dest <= 8; + src <= 45; + when "00100010" => + dest <= 95; + src <= 88; + when "00100011" => + dest <= 77; + src <= 95; + when "00100100" => + dest <= 42; + src <= 5; + when "00100101" => + dest <= 53; + src <= 30; + when "00100110" => + dest <= 42; + src <= 42; + when "00100111" => + dest <= 68; + src <= 15; + when "00101000" => + dest <= 42; + src <= 42; + when "00101001" => + dest <= 42; + src <= 31; + when "00101010" => + dest <= 27; + src <= 42; + when "00101011" => + dest <= 83; + src <= 86; + when "00101100" => + dest <= 28; + src <= 99; + when "00101101" => + dest <= 41; + src <= 17; + when "00101110" => + dest <= 16; + src <= 42; + when "00101111" => + dest <= 42; + src <= 26; + when "00110000" => + dest <= 42; + src <= 43; + when "00110001" => + dest <= 5; + src <= 42; + when "00110010" => + dest <= 9; + src <= 1; + when "00110011" => + dest <= 42; + src <= 67; + when "00110100" => + dest <= 80; + src <= 66; + when "00110101" => + dest <= 40; + src <= 42; + when "00110110" => + dest <= 42; + src <= 42; + when "00110111" => + dest <= 42; + src <= 78; + when "00111000" => + dest <= 85; + src <= 42; + when "00111001" => + dest <= 18; + src <= 44; + when "00111010" => + dest <= 51; + src <= 89; + when "00111011" => + dest <= 94; + src <= 85; + when "00111100" => + dest <= 42; + src <= 4; + when "00111101" => + dest <= 76; + src <= 42; + when "00111110" => + dest <= 42; + src <= 33; + when "00111111" => + dest <= 67; + src <= 42; + when "01000000" => + dest <= 42; + src <= 42; + when "01000001" => + dest <= 48; + src <= 9; + when "01000010" => + dest <= 90; + src <= 76; + when "01000011" => + dest <= 42; + src <= 42; + when "01000100" => + dest <= 50; + src <= 90; + when "01000101" => + dest <= 42; + src <= 42; + when "01000110" => + dest <= 84; + src <= 18; + when "01000111" => + dest <= 37; + src <= 46; + when "01001000" => + dest <= 19; + src <= 27; + when "01001001" => + dest <= 42; + src <= 34; + when "01001010" => + dest <= 42; + src <= 42; + when "01001011" => + dest <= 42; + src <= 94; + when "01001100" => + dest <= 26; + src <= 42; + when "01001101" => + dest <= 93; + src <= 42; + when "01001110" => + dest <= 42; + src <= 0; + when "01001111" => + dest <= 69; + src <= 57; + when "01010000" => + dest <= 42; + src <= 75; + when "01010001" => + dest <= 70; + src <= 42; + when "01010010" => + dest <= 75; + src <= 42; + when "01010011" => + dest <= 15; + src <= 42; + when "01010100" => + dest <= 42; + src <= 68; + when "01010101" => + dest <= 42; + src <= 91; + when "01010110" => + dest <= 4; + src <= 25; + when "01010111" => + dest <= 42; + src <= 42; + when "01011000" => + dest <= 81; + src <= 14; + when "01011001" => + dest <= 43; + src <= 42; + when "01011010" => + dest <= 91; + src <= 19; + when "01011011" => + dest <= 42; + src <= 79; + when "01011100" => + dest <= 66; + src <= 41; + when "01011101" => + dest <= 71; + src <= 42; + when "01011110" => + dest <= 42; + src <= 80; + when "01011111" => + dest <= 0; + src <= 3; + when "01100000" => + dest <= 57; + src <= 42; + when "01100001" => + dest <= 42; + src <= 42; + when "01100010" => + dest <= 42; + src <= 93; + when "01100011" => + dest <= 60; + src <= 13; + when "01100100" => + dest <= 36; + src <= 28; + when "01100101" => + dest <= 29; + src <= 42; + when "01100110" => + dest <= 42; + src <= 42; + when "01100111" => + dest <= 25; + src <= 84; + when "01101000" => + dest <= 61; + src <= 37; + when "01101001" => + dest <= 14; + src <= 24; + when "01101010" => + dest <= 42; + src <= 42; + when "01101011" => + dest <= 59; + src <= 58; + when "01101100" => + dest <= 3; + src <= 65; + when "01101101" => + dest <= 42; + src <= 23; + when "01101110" => + dest <= 74; + src <= 69; + when "01101111" => + dest <= 42; + src <= 42; + when "01110000" => + dest <= 1; + src <= 70; + when "01110001" => + dest <= 65; + src <= 35; + when "01110010" => + dest <= 42; + src <= 42; + when "01110011" => + dest <= 42; + src <= 81; + when "01110100" => + dest <= 10; + src <= 42; + when "01110101" => + dest <= 56; + src <= 10; + when "01110110" => + dest <= 42; + src <= 42; + when "01110111" => + dest <= 92; + src <= 83; + when "01111000" => + dest <= 42; + src <= 29; + when "01111001" => + dest <= 47; + src <= 42; + when "01111010" => + dest <= 42; + src <= 42; + when "01111011" => + dest <= 42; + src <= 74; + when "01111100" => + dest <= 39; + src <= 38; + when "01111101" => + dest <= 35; + src <= 42; + when "01111110" => + dest <= 42; + src <= 92; + when "01111111" => + dest <= 24; + src <= 42; + when "10000000" => + dest <= 42; + src <= 47; + when "10000001" => + dest <= 13; + src <= 42; + when "10000010" => + dest <= 42; + src <= 55; + when "10000011" => + dest <= 73; + src <= 59; + when "10000100" => + dest <= 11; + src <= 42; + when "10000101" => + dest <= 64; + src <= 60; + when "10000110" => + dest <= 42; + src <= 42; + when "10000111" => + dest <= 20; + src <= 71; + when "10001000" => + dest <= 55; + src <= 42; + when "10001001" => + dest <= 2; + src <= 11; + when "10001010" => + dest <= 42; + src <= 73; + when "10001011" => + dest <= 46; + src <= 20; + when "10001100" => + dest <= 42; + src <= 42; + when "10001101" => + dest <= 42; + src <= 64; + when "10001110" => + dest <= 34; + src <= 39; + when "10001111" => + dest <= 23; + src <= 82; + when "10010000" => + dest <= 42; + src <= 42; + when "10010001" => + dest <= 21; + src <= 48; + when "10010010" => + dest <= 63; + src <= 42; + when "10010011" => + dest <= 12; + src <= 50; + when "10010100" => + dest <= 45; + src <= 61; + when "10010101" => + dest <= 42; + src <= 42; + when "10010110" => + dest <= 33; + src <= 63; + when "10010111" => + dest <= 22; + src <= 21; + when "10011000" => + dest <= 44; + src <= 72; + when "10011001" => + dest <= 42; + src <= 49; + when "10011010" => + dest <= 42; + src <= 51; + when "10011011" => + dest <= 97; + src <= 62; + when "10011100" => + dest <= 86; + src <= 40; + when others => + dest <= 42; + src <= 42; + + end case; + end process; + + end generate NI_NUM42; + + NI_NUM43 : if NI_NUM = 43 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 59; + src <= 43; + when "00000001" => + dest <= 63; + src <= 43; + when "00000010" => + dest <= 43; + src <= 43; + when "00000011" => + dest <= 33; + src <= 43; + when "00000100" => + dest <= 8; + src <= 43; + when "00000101" => + dest <= 99; + src <= 23; + when "00000110" => + dest <= 43; + src <= 53; + when "00000111" => + dest <= 89; + src <= 37; + when "00001000" => + dest <= 31; + src <= 43; + when "00001001" => + dest <= 43; + src <= 9; + when "00001010" => + dest <= 43; + src <= 98; + when "00001011" => + dest <= 53; + src <= 43; + when "00001100" => + dest <= 79; + src <= 43; + when "00001101" => + dest <= 88; + src <= 55; + when "00001110" => + dest <= 97; + src <= 33; + when "00001111" => + dest <= 73; + src <= 88; + when "00010000" => + dest <= 43; + src <= 97; + when "00010001" => + dest <= 43; + src <= 7; + when "00010010" => + dest <= 43; + src <= 43; + when "00010011" => + dest <= 39; + src <= 43; + when "00010100" => + dest <= 18; + src <= 13; + when "00010101" => + dest <= 43; + src <= 17; + when "00010110" => + dest <= 83; + src <= 43; + when "00010111" => + dest <= 32; + src <= 43; + when "00011000" => + dest <= 7; + src <= 8; + when "00011001" => + dest <= 90; + src <= 99; + when "00011010" => + dest <= 43; + src <= 57; + when "00011011" => + dest <= 80; + src <= 54; + when "00011100" => + dest <= 40; + src <= 3; + when "00011101" => + dest <= 43; + src <= 43; + when "00011110" => + dest <= 43; + src <= 78; + when "00011111" => + dest <= 70; + src <= 43; + when "00100000" => + dest <= 55; + src <= 43; + when "00100001" => + dest <= 9; + src <= 46; + when "00100010" => + dest <= 96; + src <= 89; + when "00100011" => + dest <= 78; + src <= 96; + when "00100100" => + dest <= 43; + src <= 6; + when "00100101" => + dest <= 54; + src <= 31; + when "00100110" => + dest <= 43; + src <= 43; + when "00100111" => + dest <= 69; + src <= 16; + when "00101000" => + dest <= 43; + src <= 43; + when "00101001" => + dest <= 43; + src <= 32; + when "00101010" => + dest <= 28; + src <= 43; + when "00101011" => + dest <= 84; + src <= 87; + when "00101100" => + dest <= 29; + src <= 90; + when "00101101" => + dest <= 42; + src <= 18; + when "00101110" => + dest <= 17; + src <= 43; + when "00101111" => + dest <= 43; + src <= 27; + when "00110000" => + dest <= 43; + src <= 44; + when "00110001" => + dest <= 6; + src <= 43; + when "00110010" => + dest <= 0; + src <= 2; + when "00110011" => + dest <= 43; + src <= 68; + when "00110100" => + dest <= 81; + src <= 67; + when "00110101" => + dest <= 41; + src <= 43; + when "00110110" => + dest <= 43; + src <= 43; + when "00110111" => + dest <= 43; + src <= 79; + when "00111000" => + dest <= 86; + src <= 43; + when "00111001" => + dest <= 19; + src <= 45; + when "00111010" => + dest <= 52; + src <= 80; + when "00111011" => + dest <= 95; + src <= 86; + when "00111100" => + dest <= 43; + src <= 5; + when "00111101" => + dest <= 77; + src <= 43; + when "00111110" => + dest <= 43; + src <= 34; + when "00111111" => + dest <= 68; + src <= 43; + when "01000000" => + dest <= 43; + src <= 43; + when "01000001" => + dest <= 49; + src <= 0; + when "01000010" => + dest <= 91; + src <= 77; + when "01000011" => + dest <= 43; + src <= 43; + when "01000100" => + dest <= 51; + src <= 91; + when "01000101" => + dest <= 43; + src <= 43; + when "01000110" => + dest <= 85; + src <= 19; + when "01000111" => + dest <= 38; + src <= 47; + when "01001000" => + dest <= 10; + src <= 28; + when "01001001" => + dest <= 43; + src <= 35; + when "01001010" => + dest <= 43; + src <= 43; + when "01001011" => + dest <= 43; + src <= 95; + when "01001100" => + dest <= 27; + src <= 43; + when "01001101" => + dest <= 94; + src <= 43; + when "01001110" => + dest <= 43; + src <= 1; + when "01001111" => + dest <= 60; + src <= 58; + when "01010000" => + dest <= 43; + src <= 76; + when "01010001" => + dest <= 71; + src <= 43; + when "01010010" => + dest <= 76; + src <= 43; + when "01010011" => + dest <= 16; + src <= 43; + when "01010100" => + dest <= 43; + src <= 69; + when "01010101" => + dest <= 43; + src <= 92; + when "01010110" => + dest <= 5; + src <= 26; + when "01010111" => + dest <= 43; + src <= 43; + when "01011000" => + dest <= 82; + src <= 15; + when "01011001" => + dest <= 44; + src <= 43; + when "01011010" => + dest <= 92; + src <= 10; + when "01011011" => + dest <= 43; + src <= 70; + when "01011100" => + dest <= 67; + src <= 42; + when "01011101" => + dest <= 72; + src <= 43; + when "01011110" => + dest <= 43; + src <= 81; + when "01011111" => + dest <= 1; + src <= 4; + when "01100000" => + dest <= 58; + src <= 43; + when "01100001" => + dest <= 43; + src <= 43; + when "01100010" => + dest <= 43; + src <= 94; + when "01100011" => + dest <= 61; + src <= 14; + when "01100100" => + dest <= 37; + src <= 29; + when "01100101" => + dest <= 20; + src <= 43; + when "01100110" => + dest <= 43; + src <= 43; + when "01100111" => + dest <= 26; + src <= 85; + when "01101000" => + dest <= 62; + src <= 38; + when "01101001" => + dest <= 15; + src <= 25; + when "01101010" => + dest <= 43; + src <= 43; + when "01101011" => + dest <= 50; + src <= 59; + when "01101100" => + dest <= 4; + src <= 66; + when "01101101" => + dest <= 43; + src <= 24; + when "01101110" => + dest <= 75; + src <= 60; + when "01101111" => + dest <= 43; + src <= 43; + when "01110000" => + dest <= 2; + src <= 71; + when "01110001" => + dest <= 66; + src <= 36; + when "01110010" => + dest <= 43; + src <= 43; + when "01110011" => + dest <= 43; + src <= 82; + when "01110100" => + dest <= 11; + src <= 43; + when "01110101" => + dest <= 57; + src <= 11; + when "01110110" => + dest <= 43; + src <= 43; + when "01110111" => + dest <= 93; + src <= 84; + when "01111000" => + dest <= 43; + src <= 20; + when "01111001" => + dest <= 48; + src <= 43; + when "01111010" => + dest <= 43; + src <= 43; + when "01111011" => + dest <= 43; + src <= 75; + when "01111100" => + dest <= 30; + src <= 39; + when "01111101" => + dest <= 36; + src <= 43; + when "01111110" => + dest <= 43; + src <= 93; + when "01111111" => + dest <= 25; + src <= 43; + when "10000000" => + dest <= 43; + src <= 48; + when "10000001" => + dest <= 14; + src <= 43; + when "10000010" => + dest <= 43; + src <= 56; + when "10000011" => + dest <= 74; + src <= 50; + when "10000100" => + dest <= 12; + src <= 43; + when "10000101" => + dest <= 65; + src <= 61; + when "10000110" => + dest <= 43; + src <= 43; + when "10000111" => + dest <= 21; + src <= 72; + when "10001000" => + dest <= 56; + src <= 43; + when "10001001" => + dest <= 3; + src <= 12; + when "10001010" => + dest <= 43; + src <= 74; + when "10001011" => + dest <= 47; + src <= 21; + when "10001100" => + dest <= 43; + src <= 43; + when "10001101" => + dest <= 43; + src <= 65; + when "10001110" => + dest <= 35; + src <= 30; + when "10001111" => + dest <= 24; + src <= 83; + when "10010000" => + dest <= 43; + src <= 43; + when "10010001" => + dest <= 22; + src <= 49; + when "10010010" => + dest <= 64; + src <= 43; + when "10010011" => + dest <= 13; + src <= 51; + when "10010100" => + dest <= 46; + src <= 62; + when "10010101" => + dest <= 43; + src <= 43; + when "10010110" => + dest <= 34; + src <= 64; + when "10010111" => + dest <= 23; + src <= 22; + when "10011000" => + dest <= 45; + src <= 73; + when "10011001" => + dest <= 43; + src <= 40; + when "10011010" => + dest <= 43; + src <= 52; + when "10011011" => + dest <= 98; + src <= 63; + when "10011100" => + dest <= 87; + src <= 41; + when others => + dest <= 43; + src <= 43; + + end case; + end process; + + end generate NI_NUM43; + + NI_NUM44 : if NI_NUM = 44 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 50; + src <= 44; + when "00000001" => + dest <= 64; + src <= 44; + when "00000010" => + dest <= 44; + src <= 44; + when "00000011" => + dest <= 34; + src <= 44; + when "00000100" => + dest <= 9; + src <= 44; + when "00000101" => + dest <= 90; + src <= 24; + when "00000110" => + dest <= 44; + src <= 54; + when "00000111" => + dest <= 80; + src <= 38; + when "00001000" => + dest <= 32; + src <= 44; + when "00001001" => + dest <= 44; + src <= 0; + when "00001010" => + dest <= 44; + src <= 99; + when "00001011" => + dest <= 54; + src <= 44; + when "00001100" => + dest <= 70; + src <= 44; + when "00001101" => + dest <= 89; + src <= 56; + when "00001110" => + dest <= 98; + src <= 34; + when "00001111" => + dest <= 74; + src <= 89; + when "00010000" => + dest <= 44; + src <= 98; + when "00010001" => + dest <= 44; + src <= 8; + when "00010010" => + dest <= 44; + src <= 44; + when "00010011" => + dest <= 30; + src <= 44; + when "00010100" => + dest <= 19; + src <= 14; + when "00010101" => + dest <= 44; + src <= 18; + when "00010110" => + dest <= 84; + src <= 44; + when "00010111" => + dest <= 33; + src <= 44; + when "00011000" => + dest <= 8; + src <= 9; + when "00011001" => + dest <= 91; + src <= 90; + when "00011010" => + dest <= 44; + src <= 58; + when "00011011" => + dest <= 81; + src <= 55; + when "00011100" => + dest <= 41; + src <= 4; + when "00011101" => + dest <= 44; + src <= 44; + when "00011110" => + dest <= 44; + src <= 79; + when "00011111" => + dest <= 71; + src <= 44; + when "00100000" => + dest <= 56; + src <= 44; + when "00100001" => + dest <= 0; + src <= 47; + when "00100010" => + dest <= 97; + src <= 80; + when "00100011" => + dest <= 79; + src <= 97; + when "00100100" => + dest <= 44; + src <= 7; + when "00100101" => + dest <= 55; + src <= 32; + when "00100110" => + dest <= 44; + src <= 44; + when "00100111" => + dest <= 60; + src <= 17; + when "00101000" => + dest <= 44; + src <= 44; + when "00101001" => + dest <= 44; + src <= 33; + when "00101010" => + dest <= 29; + src <= 44; + when "00101011" => + dest <= 85; + src <= 88; + when "00101100" => + dest <= 20; + src <= 91; + when "00101101" => + dest <= 43; + src <= 19; + when "00101110" => + dest <= 18; + src <= 44; + when "00101111" => + dest <= 44; + src <= 28; + when "00110000" => + dest <= 44; + src <= 45; + when "00110001" => + dest <= 7; + src <= 44; + when "00110010" => + dest <= 1; + src <= 3; + when "00110011" => + dest <= 44; + src <= 69; + when "00110100" => + dest <= 82; + src <= 68; + when "00110101" => + dest <= 42; + src <= 44; + when "00110110" => + dest <= 44; + src <= 44; + when "00110111" => + dest <= 44; + src <= 70; + when "00111000" => + dest <= 87; + src <= 44; + when "00111001" => + dest <= 10; + src <= 46; + when "00111010" => + dest <= 53; + src <= 81; + when "00111011" => + dest <= 96; + src <= 87; + when "00111100" => + dest <= 44; + src <= 6; + when "00111101" => + dest <= 78; + src <= 44; + when "00111110" => + dest <= 44; + src <= 35; + when "00111111" => + dest <= 69; + src <= 44; + when "01000000" => + dest <= 44; + src <= 44; + when "01000001" => + dest <= 40; + src <= 1; + when "01000010" => + dest <= 92; + src <= 78; + when "01000011" => + dest <= 44; + src <= 44; + when "01000100" => + dest <= 52; + src <= 92; + when "01000101" => + dest <= 44; + src <= 44; + when "01000110" => + dest <= 86; + src <= 10; + when "01000111" => + dest <= 39; + src <= 48; + when "01001000" => + dest <= 11; + src <= 29; + when "01001001" => + dest <= 44; + src <= 36; + when "01001010" => + dest <= 44; + src <= 44; + when "01001011" => + dest <= 44; + src <= 96; + when "01001100" => + dest <= 28; + src <= 44; + when "01001101" => + dest <= 95; + src <= 44; + when "01001110" => + dest <= 44; + src <= 2; + when "01001111" => + dest <= 61; + src <= 59; + when "01010000" => + dest <= 44; + src <= 77; + when "01010001" => + dest <= 72; + src <= 44; + when "01010010" => + dest <= 77; + src <= 44; + when "01010011" => + dest <= 17; + src <= 44; + when "01010100" => + dest <= 44; + src <= 60; + when "01010101" => + dest <= 44; + src <= 93; + when "01010110" => + dest <= 6; + src <= 27; + when "01010111" => + dest <= 44; + src <= 44; + when "01011000" => + dest <= 83; + src <= 16; + when "01011001" => + dest <= 45; + src <= 44; + when "01011010" => + dest <= 93; + src <= 11; + when "01011011" => + dest <= 44; + src <= 71; + when "01011100" => + dest <= 68; + src <= 43; + when "01011101" => + dest <= 73; + src <= 44; + when "01011110" => + dest <= 44; + src <= 82; + when "01011111" => + dest <= 2; + src <= 5; + when "01100000" => + dest <= 59; + src <= 44; + when "01100001" => + dest <= 44; + src <= 44; + when "01100010" => + dest <= 44; + src <= 95; + when "01100011" => + dest <= 62; + src <= 15; + when "01100100" => + dest <= 38; + src <= 20; + when "01100101" => + dest <= 21; + src <= 44; + when "01100110" => + dest <= 44; + src <= 44; + when "01100111" => + dest <= 27; + src <= 86; + when "01101000" => + dest <= 63; + src <= 39; + when "01101001" => + dest <= 16; + src <= 26; + when "01101010" => + dest <= 44; + src <= 44; + when "01101011" => + dest <= 51; + src <= 50; + when "01101100" => + dest <= 5; + src <= 67; + when "01101101" => + dest <= 44; + src <= 25; + when "01101110" => + dest <= 76; + src <= 61; + when "01101111" => + dest <= 44; + src <= 44; + when "01110000" => + dest <= 3; + src <= 72; + when "01110001" => + dest <= 67; + src <= 37; + when "01110010" => + dest <= 44; + src <= 44; + when "01110011" => + dest <= 44; + src <= 83; + when "01110100" => + dest <= 12; + src <= 44; + when "01110101" => + dest <= 58; + src <= 12; + when "01110110" => + dest <= 44; + src <= 44; + when "01110111" => + dest <= 94; + src <= 85; + when "01111000" => + dest <= 44; + src <= 21; + when "01111001" => + dest <= 49; + src <= 44; + when "01111010" => + dest <= 44; + src <= 44; + when "01111011" => + dest <= 44; + src <= 76; + when "01111100" => + dest <= 31; + src <= 30; + when "01111101" => + dest <= 37; + src <= 44; + when "01111110" => + dest <= 44; + src <= 94; + when "01111111" => + dest <= 26; + src <= 44; + when "10000000" => + dest <= 44; + src <= 49; + when "10000001" => + dest <= 15; + src <= 44; + when "10000010" => + dest <= 44; + src <= 57; + when "10000011" => + dest <= 75; + src <= 51; + when "10000100" => + dest <= 13; + src <= 44; + when "10000101" => + dest <= 66; + src <= 62; + when "10000110" => + dest <= 44; + src <= 44; + when "10000111" => + dest <= 22; + src <= 73; + when "10001000" => + dest <= 57; + src <= 44; + when "10001001" => + dest <= 4; + src <= 13; + when "10001010" => + dest <= 44; + src <= 75; + when "10001011" => + dest <= 48; + src <= 22; + when "10001100" => + dest <= 44; + src <= 44; + when "10001101" => + dest <= 44; + src <= 66; + when "10001110" => + dest <= 36; + src <= 31; + when "10001111" => + dest <= 25; + src <= 84; + when "10010000" => + dest <= 44; + src <= 44; + when "10010001" => + dest <= 23; + src <= 40; + when "10010010" => + dest <= 65; + src <= 44; + when "10010011" => + dest <= 14; + src <= 52; + when "10010100" => + dest <= 47; + src <= 63; + when "10010101" => + dest <= 44; + src <= 44; + when "10010110" => + dest <= 35; + src <= 65; + when "10010111" => + dest <= 24; + src <= 23; + when "10011000" => + dest <= 46; + src <= 74; + when "10011001" => + dest <= 44; + src <= 41; + when "10011010" => + dest <= 44; + src <= 53; + when "10011011" => + dest <= 99; + src <= 64; + when "10011100" => + dest <= 88; + src <= 42; + when others => + dest <= 44; + src <= 44; + + end case; + end process; + + end generate NI_NUM44; + + NI_NUM45 : if NI_NUM = 45 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 51; + src <= 45; + when "00000001" => + dest <= 65; + src <= 45; + when "00000010" => + dest <= 45; + src <= 45; + when "00000011" => + dest <= 35; + src <= 45; + when "00000100" => + dest <= 0; + src <= 45; + when "00000101" => + dest <= 91; + src <= 25; + when "00000110" => + dest <= 45; + src <= 55; + when "00000111" => + dest <= 81; + src <= 39; + when "00001000" => + dest <= 33; + src <= 45; + when "00001001" => + dest <= 45; + src <= 1; + when "00001010" => + dest <= 45; + src <= 90; + when "00001011" => + dest <= 55; + src <= 45; + when "00001100" => + dest <= 71; + src <= 45; + when "00001101" => + dest <= 80; + src <= 57; + when "00001110" => + dest <= 99; + src <= 35; + when "00001111" => + dest <= 75; + src <= 80; + when "00010000" => + dest <= 45; + src <= 99; + when "00010001" => + dest <= 45; + src <= 9; + when "00010010" => + dest <= 45; + src <= 45; + when "00010011" => + dest <= 31; + src <= 45; + when "00010100" => + dest <= 10; + src <= 15; + when "00010101" => + dest <= 45; + src <= 19; + when "00010110" => + dest <= 85; + src <= 45; + when "00010111" => + dest <= 34; + src <= 45; + when "00011000" => + dest <= 9; + src <= 0; + when "00011001" => + dest <= 92; + src <= 91; + when "00011010" => + dest <= 45; + src <= 59; + when "00011011" => + dest <= 82; + src <= 56; + when "00011100" => + dest <= 42; + src <= 5; + when "00011101" => + dest <= 45; + src <= 45; + when "00011110" => + dest <= 45; + src <= 70; + when "00011111" => + dest <= 72; + src <= 45; + when "00100000" => + dest <= 57; + src <= 45; + when "00100001" => + dest <= 1; + src <= 48; + when "00100010" => + dest <= 98; + src <= 81; + when "00100011" => + dest <= 70; + src <= 98; + when "00100100" => + dest <= 45; + src <= 8; + when "00100101" => + dest <= 56; + src <= 33; + when "00100110" => + dest <= 45; + src <= 45; + when "00100111" => + dest <= 61; + src <= 18; + when "00101000" => + dest <= 45; + src <= 45; + when "00101001" => + dest <= 45; + src <= 34; + when "00101010" => + dest <= 20; + src <= 45; + when "00101011" => + dest <= 86; + src <= 89; + when "00101100" => + dest <= 21; + src <= 92; + when "00101101" => + dest <= 44; + src <= 10; + when "00101110" => + dest <= 19; + src <= 45; + when "00101111" => + dest <= 45; + src <= 29; + when "00110000" => + dest <= 45; + src <= 46; + when "00110001" => + dest <= 8; + src <= 45; + when "00110010" => + dest <= 2; + src <= 4; + when "00110011" => + dest <= 45; + src <= 60; + when "00110100" => + dest <= 83; + src <= 69; + when "00110101" => + dest <= 43; + src <= 45; + when "00110110" => + dest <= 45; + src <= 45; + when "00110111" => + dest <= 45; + src <= 71; + when "00111000" => + dest <= 88; + src <= 45; + when "00111001" => + dest <= 11; + src <= 47; + when "00111010" => + dest <= 54; + src <= 82; + when "00111011" => + dest <= 97; + src <= 88; + when "00111100" => + dest <= 45; + src <= 7; + when "00111101" => + dest <= 79; + src <= 45; + when "00111110" => + dest <= 45; + src <= 36; + when "00111111" => + dest <= 60; + src <= 45; + when "01000000" => + dest <= 45; + src <= 45; + when "01000001" => + dest <= 41; + src <= 2; + when "01000010" => + dest <= 93; + src <= 79; + when "01000011" => + dest <= 45; + src <= 45; + when "01000100" => + dest <= 53; + src <= 93; + when "01000101" => + dest <= 45; + src <= 45; + when "01000110" => + dest <= 87; + src <= 11; + when "01000111" => + dest <= 30; + src <= 49; + when "01001000" => + dest <= 12; + src <= 20; + when "01001001" => + dest <= 45; + src <= 37; + when "01001010" => + dest <= 45; + src <= 45; + when "01001011" => + dest <= 45; + src <= 97; + when "01001100" => + dest <= 29; + src <= 45; + when "01001101" => + dest <= 96; + src <= 45; + when "01001110" => + dest <= 45; + src <= 3; + when "01001111" => + dest <= 62; + src <= 50; + when "01010000" => + dest <= 45; + src <= 78; + when "01010001" => + dest <= 73; + src <= 45; + when "01010010" => + dest <= 78; + src <= 45; + when "01010011" => + dest <= 18; + src <= 45; + when "01010100" => + dest <= 45; + src <= 61; + when "01010101" => + dest <= 45; + src <= 94; + when "01010110" => + dest <= 7; + src <= 28; + when "01010111" => + dest <= 45; + src <= 45; + when "01011000" => + dest <= 84; + src <= 17; + when "01011001" => + dest <= 46; + src <= 45; + when "01011010" => + dest <= 94; + src <= 12; + when "01011011" => + dest <= 45; + src <= 72; + when "01011100" => + dest <= 69; + src <= 44; + when "01011101" => + dest <= 74; + src <= 45; + when "01011110" => + dest <= 45; + src <= 83; + when "01011111" => + dest <= 3; + src <= 6; + when "01100000" => + dest <= 50; + src <= 45; + when "01100001" => + dest <= 45; + src <= 45; + when "01100010" => + dest <= 45; + src <= 96; + when "01100011" => + dest <= 63; + src <= 16; + when "01100100" => + dest <= 39; + src <= 21; + when "01100101" => + dest <= 22; + src <= 45; + when "01100110" => + dest <= 45; + src <= 45; + when "01100111" => + dest <= 28; + src <= 87; + when "01101000" => + dest <= 64; + src <= 30; + when "01101001" => + dest <= 17; + src <= 27; + when "01101010" => + dest <= 45; + src <= 45; + when "01101011" => + dest <= 52; + src <= 51; + when "01101100" => + dest <= 6; + src <= 68; + when "01101101" => + dest <= 45; + src <= 26; + when "01101110" => + dest <= 77; + src <= 62; + when "01101111" => + dest <= 45; + src <= 45; + when "01110000" => + dest <= 4; + src <= 73; + when "01110001" => + dest <= 68; + src <= 38; + when "01110010" => + dest <= 45; + src <= 45; + when "01110011" => + dest <= 45; + src <= 84; + when "01110100" => + dest <= 13; + src <= 45; + when "01110101" => + dest <= 59; + src <= 13; + when "01110110" => + dest <= 45; + src <= 45; + when "01110111" => + dest <= 95; + src <= 86; + when "01111000" => + dest <= 45; + src <= 22; + when "01111001" => + dest <= 40; + src <= 45; + when "01111010" => + dest <= 45; + src <= 45; + when "01111011" => + dest <= 45; + src <= 77; + when "01111100" => + dest <= 32; + src <= 31; + when "01111101" => + dest <= 38; + src <= 45; + when "01111110" => + dest <= 45; + src <= 95; + when "01111111" => + dest <= 27; + src <= 45; + when "10000000" => + dest <= 45; + src <= 40; + when "10000001" => + dest <= 16; + src <= 45; + when "10000010" => + dest <= 45; + src <= 58; + when "10000011" => + dest <= 76; + src <= 52; + when "10000100" => + dest <= 14; + src <= 45; + when "10000101" => + dest <= 67; + src <= 63; + when "10000110" => + dest <= 45; + src <= 45; + when "10000111" => + dest <= 23; + src <= 74; + when "10001000" => + dest <= 58; + src <= 45; + when "10001001" => + dest <= 5; + src <= 14; + when "10001010" => + dest <= 45; + src <= 76; + when "10001011" => + dest <= 49; + src <= 23; + when "10001100" => + dest <= 45; + src <= 45; + when "10001101" => + dest <= 45; + src <= 67; + when "10001110" => + dest <= 37; + src <= 32; + when "10001111" => + dest <= 26; + src <= 85; + when "10010000" => + dest <= 45; + src <= 45; + when "10010001" => + dest <= 24; + src <= 41; + when "10010010" => + dest <= 66; + src <= 45; + when "10010011" => + dest <= 15; + src <= 53; + when "10010100" => + dest <= 48; + src <= 64; + when "10010101" => + dest <= 45; + src <= 45; + when "10010110" => + dest <= 36; + src <= 66; + when "10010111" => + dest <= 25; + src <= 24; + when "10011000" => + dest <= 47; + src <= 75; + when "10011001" => + dest <= 45; + src <= 42; + when "10011010" => + dest <= 45; + src <= 54; + when "10011011" => + dest <= 90; + src <= 65; + when "10011100" => + dest <= 89; + src <= 43; + when others => + dest <= 45; + src <= 45; + + end case; + end process; + + end generate NI_NUM45; + + NI_NUM46 : if NI_NUM = 46 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 52; + src <= 46; + when "00000001" => + dest <= 66; + src <= 46; + when "00000010" => + dest <= 46; + src <= 46; + when "00000011" => + dest <= 36; + src <= 46; + when "00000100" => + dest <= 1; + src <= 46; + when "00000101" => + dest <= 92; + src <= 26; + when "00000110" => + dest <= 46; + src <= 56; + when "00000111" => + dest <= 82; + src <= 30; + when "00001000" => + dest <= 34; + src <= 46; + when "00001001" => + dest <= 46; + src <= 2; + when "00001010" => + dest <= 46; + src <= 91; + when "00001011" => + dest <= 56; + src <= 46; + when "00001100" => + dest <= 72; + src <= 46; + when "00001101" => + dest <= 81; + src <= 58; + when "00001110" => + dest <= 90; + src <= 36; + when "00001111" => + dest <= 76; + src <= 81; + when "00010000" => + dest <= 46; + src <= 90; + when "00010001" => + dest <= 46; + src <= 0; + when "00010010" => + dest <= 46; + src <= 46; + when "00010011" => + dest <= 32; + src <= 46; + when "00010100" => + dest <= 11; + src <= 16; + when "00010101" => + dest <= 46; + src <= 10; + when "00010110" => + dest <= 86; + src <= 46; + when "00010111" => + dest <= 35; + src <= 46; + when "00011000" => + dest <= 0; + src <= 1; + when "00011001" => + dest <= 93; + src <= 92; + when "00011010" => + dest <= 46; + src <= 50; + when "00011011" => + dest <= 83; + src <= 57; + when "00011100" => + dest <= 43; + src <= 6; + when "00011101" => + dest <= 46; + src <= 46; + when "00011110" => + dest <= 46; + src <= 71; + when "00011111" => + dest <= 73; + src <= 46; + when "00100000" => + dest <= 58; + src <= 46; + when "00100001" => + dest <= 2; + src <= 49; + when "00100010" => + dest <= 99; + src <= 82; + when "00100011" => + dest <= 71; + src <= 99; + when "00100100" => + dest <= 46; + src <= 9; + when "00100101" => + dest <= 57; + src <= 34; + when "00100110" => + dest <= 46; + src <= 46; + when "00100111" => + dest <= 62; + src <= 19; + when "00101000" => + dest <= 46; + src <= 46; + when "00101001" => + dest <= 46; + src <= 35; + when "00101010" => + dest <= 21; + src <= 46; + when "00101011" => + dest <= 87; + src <= 80; + when "00101100" => + dest <= 22; + src <= 93; + when "00101101" => + dest <= 45; + src <= 11; + when "00101110" => + dest <= 10; + src <= 46; + when "00101111" => + dest <= 46; + src <= 20; + when "00110000" => + dest <= 46; + src <= 47; + when "00110001" => + dest <= 9; + src <= 46; + when "00110010" => + dest <= 3; + src <= 5; + when "00110011" => + dest <= 46; + src <= 61; + when "00110100" => + dest <= 84; + src <= 60; + when "00110101" => + dest <= 44; + src <= 46; + when "00110110" => + dest <= 46; + src <= 46; + when "00110111" => + dest <= 46; + src <= 72; + when "00111000" => + dest <= 89; + src <= 46; + when "00111001" => + dest <= 12; + src <= 48; + when "00111010" => + dest <= 55; + src <= 83; + when "00111011" => + dest <= 98; + src <= 89; + when "00111100" => + dest <= 46; + src <= 8; + when "00111101" => + dest <= 70; + src <= 46; + when "00111110" => + dest <= 46; + src <= 37; + when "00111111" => + dest <= 61; + src <= 46; + when "01000000" => + dest <= 46; + src <= 46; + when "01000001" => + dest <= 42; + src <= 3; + when "01000010" => + dest <= 94; + src <= 70; + when "01000011" => + dest <= 46; + src <= 46; + when "01000100" => + dest <= 54; + src <= 94; + when "01000101" => + dest <= 46; + src <= 46; + when "01000110" => + dest <= 88; + src <= 12; + when "01000111" => + dest <= 31; + src <= 40; + when "01001000" => + dest <= 13; + src <= 21; + when "01001001" => + dest <= 46; + src <= 38; + when "01001010" => + dest <= 46; + src <= 46; + when "01001011" => + dest <= 46; + src <= 98; + when "01001100" => + dest <= 20; + src <= 46; + when "01001101" => + dest <= 97; + src <= 46; + when "01001110" => + dest <= 46; + src <= 4; + when "01001111" => + dest <= 63; + src <= 51; + when "01010000" => + dest <= 46; + src <= 79; + when "01010001" => + dest <= 74; + src <= 46; + when "01010010" => + dest <= 79; + src <= 46; + when "01010011" => + dest <= 19; + src <= 46; + when "01010100" => + dest <= 46; + src <= 62; + when "01010101" => + dest <= 46; + src <= 95; + when "01010110" => + dest <= 8; + src <= 29; + when "01010111" => + dest <= 46; + src <= 46; + when "01011000" => + dest <= 85; + src <= 18; + when "01011001" => + dest <= 47; + src <= 46; + when "01011010" => + dest <= 95; + src <= 13; + when "01011011" => + dest <= 46; + src <= 73; + when "01011100" => + dest <= 60; + src <= 45; + when "01011101" => + dest <= 75; + src <= 46; + when "01011110" => + dest <= 46; + src <= 84; + when "01011111" => + dest <= 4; + src <= 7; + when "01100000" => + dest <= 51; + src <= 46; + when "01100001" => + dest <= 46; + src <= 46; + when "01100010" => + dest <= 46; + src <= 97; + when "01100011" => + dest <= 64; + src <= 17; + when "01100100" => + dest <= 30; + src <= 22; + when "01100101" => + dest <= 23; + src <= 46; + when "01100110" => + dest <= 46; + src <= 46; + when "01100111" => + dest <= 29; + src <= 88; + when "01101000" => + dest <= 65; + src <= 31; + when "01101001" => + dest <= 18; + src <= 28; + when "01101010" => + dest <= 46; + src <= 46; + when "01101011" => + dest <= 53; + src <= 52; + when "01101100" => + dest <= 7; + src <= 69; + when "01101101" => + dest <= 46; + src <= 27; + when "01101110" => + dest <= 78; + src <= 63; + when "01101111" => + dest <= 46; + src <= 46; + when "01110000" => + dest <= 5; + src <= 74; + when "01110001" => + dest <= 69; + src <= 39; + when "01110010" => + dest <= 46; + src <= 46; + when "01110011" => + dest <= 46; + src <= 85; + when "01110100" => + dest <= 14; + src <= 46; + when "01110101" => + dest <= 50; + src <= 14; + when "01110110" => + dest <= 46; + src <= 46; + when "01110111" => + dest <= 96; + src <= 87; + when "01111000" => + dest <= 46; + src <= 23; + when "01111001" => + dest <= 41; + src <= 46; + when "01111010" => + dest <= 46; + src <= 46; + when "01111011" => + dest <= 46; + src <= 78; + when "01111100" => + dest <= 33; + src <= 32; + when "01111101" => + dest <= 39; + src <= 46; + when "01111110" => + dest <= 46; + src <= 96; + when "01111111" => + dest <= 28; + src <= 46; + when "10000000" => + dest <= 46; + src <= 41; + when "10000001" => + dest <= 17; + src <= 46; + when "10000010" => + dest <= 46; + src <= 59; + when "10000011" => + dest <= 77; + src <= 53; + when "10000100" => + dest <= 15; + src <= 46; + when "10000101" => + dest <= 68; + src <= 64; + when "10000110" => + dest <= 46; + src <= 46; + when "10000111" => + dest <= 24; + src <= 75; + when "10001000" => + dest <= 59; + src <= 46; + when "10001001" => + dest <= 6; + src <= 15; + when "10001010" => + dest <= 46; + src <= 77; + when "10001011" => + dest <= 40; + src <= 24; + when "10001100" => + dest <= 46; + src <= 46; + when "10001101" => + dest <= 46; + src <= 68; + when "10001110" => + dest <= 38; + src <= 33; + when "10001111" => + dest <= 27; + src <= 86; + when "10010000" => + dest <= 46; + src <= 46; + when "10010001" => + dest <= 25; + src <= 42; + when "10010010" => + dest <= 67; + src <= 46; + when "10010011" => + dest <= 16; + src <= 54; + when "10010100" => + dest <= 49; + src <= 65; + when "10010101" => + dest <= 46; + src <= 46; + when "10010110" => + dest <= 37; + src <= 67; + when "10010111" => + dest <= 26; + src <= 25; + when "10011000" => + dest <= 48; + src <= 76; + when "10011001" => + dest <= 46; + src <= 43; + when "10011010" => + dest <= 46; + src <= 55; + when "10011011" => + dest <= 91; + src <= 66; + when "10011100" => + dest <= 80; + src <= 44; + when others => + dest <= 46; + src <= 46; + + end case; + end process; + + end generate NI_NUM46; + + NI_NUM47 : if NI_NUM = 47 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 53; + src <= 47; + when "00000001" => + dest <= 67; + src <= 47; + when "00000010" => + dest <= 47; + src <= 47; + when "00000011" => + dest <= 37; + src <= 47; + when "00000100" => + dest <= 2; + src <= 47; + when "00000101" => + dest <= 93; + src <= 27; + when "00000110" => + dest <= 47; + src <= 57; + when "00000111" => + dest <= 83; + src <= 31; + when "00001000" => + dest <= 35; + src <= 47; + when "00001001" => + dest <= 47; + src <= 3; + when "00001010" => + dest <= 47; + src <= 92; + when "00001011" => + dest <= 57; + src <= 47; + when "00001100" => + dest <= 73; + src <= 47; + when "00001101" => + dest <= 82; + src <= 59; + when "00001110" => + dest <= 91; + src <= 37; + when "00001111" => + dest <= 77; + src <= 82; + when "00010000" => + dest <= 47; + src <= 91; + when "00010001" => + dest <= 47; + src <= 1; + when "00010010" => + dest <= 47; + src <= 47; + when "00010011" => + dest <= 33; + src <= 47; + when "00010100" => + dest <= 12; + src <= 17; + when "00010101" => + dest <= 47; + src <= 11; + when "00010110" => + dest <= 87; + src <= 47; + when "00010111" => + dest <= 36; + src <= 47; + when "00011000" => + dest <= 1; + src <= 2; + when "00011001" => + dest <= 94; + src <= 93; + when "00011010" => + dest <= 47; + src <= 51; + when "00011011" => + dest <= 84; + src <= 58; + when "00011100" => + dest <= 44; + src <= 7; + when "00011101" => + dest <= 47; + src <= 47; + when "00011110" => + dest <= 47; + src <= 72; + when "00011111" => + dest <= 74; + src <= 47; + when "00100000" => + dest <= 59; + src <= 47; + when "00100001" => + dest <= 3; + src <= 40; + when "00100010" => + dest <= 90; + src <= 83; + when "00100011" => + dest <= 72; + src <= 90; + when "00100100" => + dest <= 47; + src <= 0; + when "00100101" => + dest <= 58; + src <= 35; + when "00100110" => + dest <= 47; + src <= 47; + when "00100111" => + dest <= 63; + src <= 10; + when "00101000" => + dest <= 47; + src <= 47; + when "00101001" => + dest <= 47; + src <= 36; + when "00101010" => + dest <= 22; + src <= 47; + when "00101011" => + dest <= 88; + src <= 81; + when "00101100" => + dest <= 23; + src <= 94; + when "00101101" => + dest <= 46; + src <= 12; + when "00101110" => + dest <= 11; + src <= 47; + when "00101111" => + dest <= 47; + src <= 21; + when "00110000" => + dest <= 47; + src <= 48; + when "00110001" => + dest <= 0; + src <= 47; + when "00110010" => + dest <= 4; + src <= 6; + when "00110011" => + dest <= 47; + src <= 62; + when "00110100" => + dest <= 85; + src <= 61; + when "00110101" => + dest <= 45; + src <= 47; + when "00110110" => + dest <= 47; + src <= 47; + when "00110111" => + dest <= 47; + src <= 73; + when "00111000" => + dest <= 80; + src <= 47; + when "00111001" => + dest <= 13; + src <= 49; + when "00111010" => + dest <= 56; + src <= 84; + when "00111011" => + dest <= 99; + src <= 80; + when "00111100" => + dest <= 47; + src <= 9; + when "00111101" => + dest <= 71; + src <= 47; + when "00111110" => + dest <= 47; + src <= 38; + when "00111111" => + dest <= 62; + src <= 47; + when "01000000" => + dest <= 47; + src <= 47; + when "01000001" => + dest <= 43; + src <= 4; + when "01000010" => + dest <= 95; + src <= 71; + when "01000011" => + dest <= 47; + src <= 47; + when "01000100" => + dest <= 55; + src <= 95; + when "01000101" => + dest <= 47; + src <= 47; + when "01000110" => + dest <= 89; + src <= 13; + when "01000111" => + dest <= 32; + src <= 41; + when "01001000" => + dest <= 14; + src <= 22; + when "01001001" => + dest <= 47; + src <= 39; + when "01001010" => + dest <= 47; + src <= 47; + when "01001011" => + dest <= 47; + src <= 99; + when "01001100" => + dest <= 21; + src <= 47; + when "01001101" => + dest <= 98; + src <= 47; + when "01001110" => + dest <= 47; + src <= 5; + when "01001111" => + dest <= 64; + src <= 52; + when "01010000" => + dest <= 47; + src <= 70; + when "01010001" => + dest <= 75; + src <= 47; + when "01010010" => + dest <= 70; + src <= 47; + when "01010011" => + dest <= 10; + src <= 47; + when "01010100" => + dest <= 47; + src <= 63; + when "01010101" => + dest <= 47; + src <= 96; + when "01010110" => + dest <= 9; + src <= 20; + when "01010111" => + dest <= 47; + src <= 47; + when "01011000" => + dest <= 86; + src <= 19; + when "01011001" => + dest <= 48; + src <= 47; + when "01011010" => + dest <= 96; + src <= 14; + when "01011011" => + dest <= 47; + src <= 74; + when "01011100" => + dest <= 61; + src <= 46; + when "01011101" => + dest <= 76; + src <= 47; + when "01011110" => + dest <= 47; + src <= 85; + when "01011111" => + dest <= 5; + src <= 8; + when "01100000" => + dest <= 52; + src <= 47; + when "01100001" => + dest <= 47; + src <= 47; + when "01100010" => + dest <= 47; + src <= 98; + when "01100011" => + dest <= 65; + src <= 18; + when "01100100" => + dest <= 31; + src <= 23; + when "01100101" => + dest <= 24; + src <= 47; + when "01100110" => + dest <= 47; + src <= 47; + when "01100111" => + dest <= 20; + src <= 89; + when "01101000" => + dest <= 66; + src <= 32; + when "01101001" => + dest <= 19; + src <= 29; + when "01101010" => + dest <= 47; + src <= 47; + when "01101011" => + dest <= 54; + src <= 53; + when "01101100" => + dest <= 8; + src <= 60; + when "01101101" => + dest <= 47; + src <= 28; + when "01101110" => + dest <= 79; + src <= 64; + when "01101111" => + dest <= 47; + src <= 47; + when "01110000" => + dest <= 6; + src <= 75; + when "01110001" => + dest <= 60; + src <= 30; + when "01110010" => + dest <= 47; + src <= 47; + when "01110011" => + dest <= 47; + src <= 86; + when "01110100" => + dest <= 15; + src <= 47; + when "01110101" => + dest <= 51; + src <= 15; + when "01110110" => + dest <= 47; + src <= 47; + when "01110111" => + dest <= 97; + src <= 88; + when "01111000" => + dest <= 47; + src <= 24; + when "01111001" => + dest <= 42; + src <= 47; + when "01111010" => + dest <= 47; + src <= 47; + when "01111011" => + dest <= 47; + src <= 79; + when "01111100" => + dest <= 34; + src <= 33; + when "01111101" => + dest <= 30; + src <= 47; + when "01111110" => + dest <= 47; + src <= 97; + when "01111111" => + dest <= 29; + src <= 47; + when "10000000" => + dest <= 47; + src <= 42; + when "10000001" => + dest <= 18; + src <= 47; + when "10000010" => + dest <= 47; + src <= 50; + when "10000011" => + dest <= 78; + src <= 54; + when "10000100" => + dest <= 16; + src <= 47; + when "10000101" => + dest <= 69; + src <= 65; + when "10000110" => + dest <= 47; + src <= 47; + when "10000111" => + dest <= 25; + src <= 76; + when "10001000" => + dest <= 50; + src <= 47; + when "10001001" => + dest <= 7; + src <= 16; + when "10001010" => + dest <= 47; + src <= 78; + when "10001011" => + dest <= 41; + src <= 25; + when "10001100" => + dest <= 47; + src <= 47; + when "10001101" => + dest <= 47; + src <= 69; + when "10001110" => + dest <= 39; + src <= 34; + when "10001111" => + dest <= 28; + src <= 87; + when "10010000" => + dest <= 47; + src <= 47; + when "10010001" => + dest <= 26; + src <= 43; + when "10010010" => + dest <= 68; + src <= 47; + when "10010011" => + dest <= 17; + src <= 55; + when "10010100" => + dest <= 40; + src <= 66; + when "10010101" => + dest <= 47; + src <= 47; + when "10010110" => + dest <= 38; + src <= 68; + when "10010111" => + dest <= 27; + src <= 26; + when "10011000" => + dest <= 49; + src <= 77; + when "10011001" => + dest <= 47; + src <= 44; + when "10011010" => + dest <= 47; + src <= 56; + when "10011011" => + dest <= 92; + src <= 67; + when "10011100" => + dest <= 81; + src <= 45; + when others => + dest <= 47; + src <= 47; + + end case; + end process; + + end generate NI_NUM47; + + NI_NUM48 : if NI_NUM = 48 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 54; + src <= 48; + when "00000001" => + dest <= 68; + src <= 48; + when "00000010" => + dest <= 48; + src <= 48; + when "00000011" => + dest <= 38; + src <= 48; + when "00000100" => + dest <= 3; + src <= 48; + when "00000101" => + dest <= 94; + src <= 28; + when "00000110" => + dest <= 48; + src <= 58; + when "00000111" => + dest <= 84; + src <= 32; + when "00001000" => + dest <= 36; + src <= 48; + when "00001001" => + dest <= 48; + src <= 4; + when "00001010" => + dest <= 48; + src <= 93; + when "00001011" => + dest <= 58; + src <= 48; + when "00001100" => + dest <= 74; + src <= 48; + when "00001101" => + dest <= 83; + src <= 50; + when "00001110" => + dest <= 92; + src <= 38; + when "00001111" => + dest <= 78; + src <= 83; + when "00010000" => + dest <= 48; + src <= 92; + when "00010001" => + dest <= 48; + src <= 2; + when "00010010" => + dest <= 48; + src <= 48; + when "00010011" => + dest <= 34; + src <= 48; + when "00010100" => + dest <= 13; + src <= 18; + when "00010101" => + dest <= 48; + src <= 12; + when "00010110" => + dest <= 88; + src <= 48; + when "00010111" => + dest <= 37; + src <= 48; + when "00011000" => + dest <= 2; + src <= 3; + when "00011001" => + dest <= 95; + src <= 94; + when "00011010" => + dest <= 48; + src <= 52; + when "00011011" => + dest <= 85; + src <= 59; + when "00011100" => + dest <= 45; + src <= 8; + when "00011101" => + dest <= 48; + src <= 48; + when "00011110" => + dest <= 48; + src <= 73; + when "00011111" => + dest <= 75; + src <= 48; + when "00100000" => + dest <= 50; + src <= 48; + when "00100001" => + dest <= 4; + src <= 41; + when "00100010" => + dest <= 91; + src <= 84; + when "00100011" => + dest <= 73; + src <= 91; + when "00100100" => + dest <= 48; + src <= 1; + when "00100101" => + dest <= 59; + src <= 36; + when "00100110" => + dest <= 48; + src <= 48; + when "00100111" => + dest <= 64; + src <= 11; + when "00101000" => + dest <= 48; + src <= 48; + when "00101001" => + dest <= 48; + src <= 37; + when "00101010" => + dest <= 23; + src <= 48; + when "00101011" => + dest <= 89; + src <= 82; + when "00101100" => + dest <= 24; + src <= 95; + when "00101101" => + dest <= 47; + src <= 13; + when "00101110" => + dest <= 12; + src <= 48; + when "00101111" => + dest <= 48; + src <= 22; + when "00110000" => + dest <= 48; + src <= 49; + when "00110001" => + dest <= 1; + src <= 48; + when "00110010" => + dest <= 5; + src <= 7; + when "00110011" => + dest <= 48; + src <= 63; + when "00110100" => + dest <= 86; + src <= 62; + when "00110101" => + dest <= 46; + src <= 48; + when "00110110" => + dest <= 48; + src <= 48; + when "00110111" => + dest <= 48; + src <= 74; + when "00111000" => + dest <= 81; + src <= 48; + when "00111001" => + dest <= 14; + src <= 40; + when "00111010" => + dest <= 57; + src <= 85; + when "00111011" => + dest <= 90; + src <= 81; + when "00111100" => + dest <= 48; + src <= 0; + when "00111101" => + dest <= 72; + src <= 48; + when "00111110" => + dest <= 48; + src <= 39; + when "00111111" => + dest <= 63; + src <= 48; + when "01000000" => + dest <= 48; + src <= 48; + when "01000001" => + dest <= 44; + src <= 5; + when "01000010" => + dest <= 96; + src <= 72; + when "01000011" => + dest <= 48; + src <= 48; + when "01000100" => + dest <= 56; + src <= 96; + when "01000101" => + dest <= 48; + src <= 48; + when "01000110" => + dest <= 80; + src <= 14; + when "01000111" => + dest <= 33; + src <= 42; + when "01001000" => + dest <= 15; + src <= 23; + when "01001001" => + dest <= 48; + src <= 30; + when "01001010" => + dest <= 48; + src <= 48; + when "01001011" => + dest <= 48; + src <= 90; + when "01001100" => + dest <= 22; + src <= 48; + when "01001101" => + dest <= 99; + src <= 48; + when "01001110" => + dest <= 48; + src <= 6; + when "01001111" => + dest <= 65; + src <= 53; + when "01010000" => + dest <= 48; + src <= 71; + when "01010001" => + dest <= 76; + src <= 48; + when "01010010" => + dest <= 71; + src <= 48; + when "01010011" => + dest <= 11; + src <= 48; + when "01010100" => + dest <= 48; + src <= 64; + when "01010101" => + dest <= 48; + src <= 97; + when "01010110" => + dest <= 0; + src <= 21; + when "01010111" => + dest <= 48; + src <= 48; + when "01011000" => + dest <= 87; + src <= 10; + when "01011001" => + dest <= 49; + src <= 48; + when "01011010" => + dest <= 97; + src <= 15; + when "01011011" => + dest <= 48; + src <= 75; + when "01011100" => + dest <= 62; + src <= 47; + when "01011101" => + dest <= 77; + src <= 48; + when "01011110" => + dest <= 48; + src <= 86; + when "01011111" => + dest <= 6; + src <= 9; + when "01100000" => + dest <= 53; + src <= 48; + when "01100001" => + dest <= 48; + src <= 48; + when "01100010" => + dest <= 48; + src <= 99; + when "01100011" => + dest <= 66; + src <= 19; + when "01100100" => + dest <= 32; + src <= 24; + when "01100101" => + dest <= 25; + src <= 48; + when "01100110" => + dest <= 48; + src <= 48; + when "01100111" => + dest <= 21; + src <= 80; + when "01101000" => + dest <= 67; + src <= 33; + when "01101001" => + dest <= 10; + src <= 20; + when "01101010" => + dest <= 48; + src <= 48; + when "01101011" => + dest <= 55; + src <= 54; + when "01101100" => + dest <= 9; + src <= 61; + when "01101101" => + dest <= 48; + src <= 29; + when "01101110" => + dest <= 70; + src <= 65; + when "01101111" => + dest <= 48; + src <= 48; + when "01110000" => + dest <= 7; + src <= 76; + when "01110001" => + dest <= 61; + src <= 31; + when "01110010" => + dest <= 48; + src <= 48; + when "01110011" => + dest <= 48; + src <= 87; + when "01110100" => + dest <= 16; + src <= 48; + when "01110101" => + dest <= 52; + src <= 16; + when "01110110" => + dest <= 48; + src <= 48; + when "01110111" => + dest <= 98; + src <= 89; + when "01111000" => + dest <= 48; + src <= 25; + when "01111001" => + dest <= 43; + src <= 48; + when "01111010" => + dest <= 48; + src <= 48; + when "01111011" => + dest <= 48; + src <= 70; + when "01111100" => + dest <= 35; + src <= 34; + when "01111101" => + dest <= 31; + src <= 48; + when "01111110" => + dest <= 48; + src <= 98; + when "01111111" => + dest <= 20; + src <= 48; + when "10000000" => + dest <= 48; + src <= 43; + when "10000001" => + dest <= 19; + src <= 48; + when "10000010" => + dest <= 48; + src <= 51; + when "10000011" => + dest <= 79; + src <= 55; + when "10000100" => + dest <= 17; + src <= 48; + when "10000101" => + dest <= 60; + src <= 66; + when "10000110" => + dest <= 48; + src <= 48; + when "10000111" => + dest <= 26; + src <= 77; + when "10001000" => + dest <= 51; + src <= 48; + when "10001001" => + dest <= 8; + src <= 17; + when "10001010" => + dest <= 48; + src <= 79; + when "10001011" => + dest <= 42; + src <= 26; + when "10001100" => + dest <= 48; + src <= 48; + when "10001101" => + dest <= 48; + src <= 60; + when "10001110" => + dest <= 30; + src <= 35; + when "10001111" => + dest <= 29; + src <= 88; + when "10010000" => + dest <= 48; + src <= 48; + when "10010001" => + dest <= 27; + src <= 44; + when "10010010" => + dest <= 69; + src <= 48; + when "10010011" => + dest <= 18; + src <= 56; + when "10010100" => + dest <= 41; + src <= 67; + when "10010101" => + dest <= 48; + src <= 48; + when "10010110" => + dest <= 39; + src <= 69; + when "10010111" => + dest <= 28; + src <= 27; + when "10011000" => + dest <= 40; + src <= 78; + when "10011001" => + dest <= 48; + src <= 45; + when "10011010" => + dest <= 48; + src <= 57; + when "10011011" => + dest <= 93; + src <= 68; + when "10011100" => + dest <= 82; + src <= 46; + when others => + dest <= 48; + src <= 48; + + end case; + end process; + + end generate NI_NUM48; + + NI_NUM49 : if NI_NUM = 49 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 55; + src <= 49; + when "00000001" => + dest <= 69; + src <= 49; + when "00000010" => + dest <= 49; + src <= 49; + when "00000011" => + dest <= 39; + src <= 49; + when "00000100" => + dest <= 4; + src <= 49; + when "00000101" => + dest <= 95; + src <= 29; + when "00000110" => + dest <= 49; + src <= 59; + when "00000111" => + dest <= 85; + src <= 33; + when "00001000" => + dest <= 37; + src <= 49; + when "00001001" => + dest <= 49; + src <= 5; + when "00001010" => + dest <= 49; + src <= 94; + when "00001011" => + dest <= 59; + src <= 49; + when "00001100" => + dest <= 75; + src <= 49; + when "00001101" => + dest <= 84; + src <= 51; + when "00001110" => + dest <= 93; + src <= 39; + when "00001111" => + dest <= 79; + src <= 84; + when "00010000" => + dest <= 49; + src <= 93; + when "00010001" => + dest <= 49; + src <= 3; + when "00010010" => + dest <= 49; + src <= 49; + when "00010011" => + dest <= 35; + src <= 49; + when "00010100" => + dest <= 14; + src <= 19; + when "00010101" => + dest <= 49; + src <= 13; + when "00010110" => + dest <= 89; + src <= 49; + when "00010111" => + dest <= 38; + src <= 49; + when "00011000" => + dest <= 3; + src <= 4; + when "00011001" => + dest <= 96; + src <= 95; + when "00011010" => + dest <= 49; + src <= 53; + when "00011011" => + dest <= 86; + src <= 50; + when "00011100" => + dest <= 46; + src <= 9; + when "00011101" => + dest <= 49; + src <= 49; + when "00011110" => + dest <= 49; + src <= 74; + when "00011111" => + dest <= 76; + src <= 49; + when "00100000" => + dest <= 51; + src <= 49; + when "00100001" => + dest <= 5; + src <= 42; + when "00100010" => + dest <= 92; + src <= 85; + when "00100011" => + dest <= 74; + src <= 92; + when "00100100" => + dest <= 49; + src <= 2; + when "00100101" => + dest <= 50; + src <= 37; + when "00100110" => + dest <= 49; + src <= 49; + when "00100111" => + dest <= 65; + src <= 12; + when "00101000" => + dest <= 49; + src <= 49; + when "00101001" => + dest <= 49; + src <= 38; + when "00101010" => + dest <= 24; + src <= 49; + when "00101011" => + dest <= 80; + src <= 83; + when "00101100" => + dest <= 25; + src <= 96; + when "00101101" => + dest <= 48; + src <= 14; + when "00101110" => + dest <= 13; + src <= 49; + when "00101111" => + dest <= 49; + src <= 23; + when "00110000" => + dest <= 49; + src <= 40; + when "00110001" => + dest <= 2; + src <= 49; + when "00110010" => + dest <= 6; + src <= 8; + when "00110011" => + dest <= 49; + src <= 64; + when "00110100" => + dest <= 87; + src <= 63; + when "00110101" => + dest <= 47; + src <= 49; + when "00110110" => + dest <= 49; + src <= 49; + when "00110111" => + dest <= 49; + src <= 75; + when "00111000" => + dest <= 82; + src <= 49; + when "00111001" => + dest <= 15; + src <= 41; + when "00111010" => + dest <= 58; + src <= 86; + when "00111011" => + dest <= 91; + src <= 82; + when "00111100" => + dest <= 49; + src <= 1; + when "00111101" => + dest <= 73; + src <= 49; + when "00111110" => + dest <= 49; + src <= 30; + when "00111111" => + dest <= 64; + src <= 49; + when "01000000" => + dest <= 49; + src <= 49; + when "01000001" => + dest <= 45; + src <= 6; + when "01000010" => + dest <= 97; + src <= 73; + when "01000011" => + dest <= 49; + src <= 49; + when "01000100" => + dest <= 57; + src <= 97; + when "01000101" => + dest <= 49; + src <= 49; + when "01000110" => + dest <= 81; + src <= 15; + when "01000111" => + dest <= 34; + src <= 43; + when "01001000" => + dest <= 16; + src <= 24; + when "01001001" => + dest <= 49; + src <= 31; + when "01001010" => + dest <= 49; + src <= 49; + when "01001011" => + dest <= 49; + src <= 91; + when "01001100" => + dest <= 23; + src <= 49; + when "01001101" => + dest <= 90; + src <= 49; + when "01001110" => + dest <= 49; + src <= 7; + when "01001111" => + dest <= 66; + src <= 54; + when "01010000" => + dest <= 49; + src <= 72; + when "01010001" => + dest <= 77; + src <= 49; + when "01010010" => + dest <= 72; + src <= 49; + when "01010011" => + dest <= 12; + src <= 49; + when "01010100" => + dest <= 49; + src <= 65; + when "01010101" => + dest <= 49; + src <= 98; + when "01010110" => + dest <= 1; + src <= 22; + when "01010111" => + dest <= 49; + src <= 49; + when "01011000" => + dest <= 88; + src <= 11; + when "01011001" => + dest <= 40; + src <= 49; + when "01011010" => + dest <= 98; + src <= 16; + when "01011011" => + dest <= 49; + src <= 76; + when "01011100" => + dest <= 63; + src <= 48; + when "01011101" => + dest <= 78; + src <= 49; + when "01011110" => + dest <= 49; + src <= 87; + when "01011111" => + dest <= 7; + src <= 0; + when "01100000" => + dest <= 54; + src <= 49; + when "01100001" => + dest <= 49; + src <= 49; + when "01100010" => + dest <= 49; + src <= 90; + when "01100011" => + dest <= 67; + src <= 10; + when "01100100" => + dest <= 33; + src <= 25; + when "01100101" => + dest <= 26; + src <= 49; + when "01100110" => + dest <= 49; + src <= 49; + when "01100111" => + dest <= 22; + src <= 81; + when "01101000" => + dest <= 68; + src <= 34; + when "01101001" => + dest <= 11; + src <= 21; + when "01101010" => + dest <= 49; + src <= 49; + when "01101011" => + dest <= 56; + src <= 55; + when "01101100" => + dest <= 0; + src <= 62; + when "01101101" => + dest <= 49; + src <= 20; + when "01101110" => + dest <= 71; + src <= 66; + when "01101111" => + dest <= 49; + src <= 49; + when "01110000" => + dest <= 8; + src <= 77; + when "01110001" => + dest <= 62; + src <= 32; + when "01110010" => + dest <= 49; + src <= 49; + when "01110011" => + dest <= 49; + src <= 88; + when "01110100" => + dest <= 17; + src <= 49; + when "01110101" => + dest <= 53; + src <= 17; + when "01110110" => + dest <= 49; + src <= 49; + when "01110111" => + dest <= 99; + src <= 80; + when "01111000" => + dest <= 49; + src <= 26; + when "01111001" => + dest <= 44; + src <= 49; + when "01111010" => + dest <= 49; + src <= 49; + when "01111011" => + dest <= 49; + src <= 71; + when "01111100" => + dest <= 36; + src <= 35; + when "01111101" => + dest <= 32; + src <= 49; + when "01111110" => + dest <= 49; + src <= 99; + when "01111111" => + dest <= 21; + src <= 49; + when "10000000" => + dest <= 49; + src <= 44; + when "10000001" => + dest <= 10; + src <= 49; + when "10000010" => + dest <= 49; + src <= 52; + when "10000011" => + dest <= 70; + src <= 56; + when "10000100" => + dest <= 18; + src <= 49; + when "10000101" => + dest <= 61; + src <= 67; + when "10000110" => + dest <= 49; + src <= 49; + when "10000111" => + dest <= 27; + src <= 78; + when "10001000" => + dest <= 52; + src <= 49; + when "10001001" => + dest <= 9; + src <= 18; + when "10001010" => + dest <= 49; + src <= 70; + when "10001011" => + dest <= 43; + src <= 27; + when "10001100" => + dest <= 49; + src <= 49; + when "10001101" => + dest <= 49; + src <= 61; + when "10001110" => + dest <= 31; + src <= 36; + when "10001111" => + dest <= 20; + src <= 89; + when "10010000" => + dest <= 49; + src <= 49; + when "10010001" => + dest <= 28; + src <= 45; + when "10010010" => + dest <= 60; + src <= 49; + when "10010011" => + dest <= 19; + src <= 57; + when "10010100" => + dest <= 42; + src <= 68; + when "10010101" => + dest <= 49; + src <= 49; + when "10010110" => + dest <= 30; + src <= 60; + when "10010111" => + dest <= 29; + src <= 28; + when "10011000" => + dest <= 41; + src <= 79; + when "10011001" => + dest <= 49; + src <= 46; + when "10011010" => + dest <= 49; + src <= 58; + when "10011011" => + dest <= 94; + src <= 69; + when "10011100" => + dest <= 83; + src <= 47; + when others => + dest <= 49; + src <= 49; + + end case; + end process; + + end generate NI_NUM49; + + NI_NUM50 : if NI_NUM = 50 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 66; + src <= 50; + when "00000001" => + dest <= 70; + src <= 50; + when "00000010" => + dest <= 50; + src <= 50; + when "00000011" => + dest <= 40; + src <= 50; + when "00000100" => + dest <= 15; + src <= 50; + when "00000101" => + dest <= 6; + src <= 30; + when "00000110" => + dest <= 50; + src <= 60; + when "00000111" => + dest <= 96; + src <= 44; + when "00001000" => + dest <= 48; + src <= 50; + when "00001001" => + dest <= 50; + src <= 16; + when "00001010" => + dest <= 50; + src <= 5; + when "00001011" => + dest <= 60; + src <= 50; + when "00001100" => + dest <= 86; + src <= 50; + when "00001101" => + dest <= 95; + src <= 62; + when "00001110" => + dest <= 4; + src <= 40; + when "00001111" => + dest <= 80; + src <= 95; + when "00010000" => + dest <= 50; + src <= 4; + when "00010001" => + dest <= 50; + src <= 14; + when "00010010" => + dest <= 50; + src <= 50; + when "00010011" => + dest <= 46; + src <= 50; + when "00010100" => + dest <= 25; + src <= 20; + when "00010101" => + dest <= 50; + src <= 24; + when "00010110" => + dest <= 90; + src <= 50; + when "00010111" => + dest <= 49; + src <= 50; + when "00011000" => + dest <= 14; + src <= 15; + when "00011001" => + dest <= 7; + src <= 6; + when "00011010" => + dest <= 50; + src <= 64; + when "00011011" => + dest <= 97; + src <= 61; + when "00011100" => + dest <= 57; + src <= 10; + when "00011101" => + dest <= 50; + src <= 50; + when "00011110" => + dest <= 50; + src <= 85; + when "00011111" => + dest <= 87; + src <= 50; + when "00100000" => + dest <= 62; + src <= 50; + when "00100001" => + dest <= 16; + src <= 53; + when "00100010" => + dest <= 3; + src <= 96; + when "00100011" => + dest <= 85; + src <= 3; + when "00100100" => + dest <= 50; + src <= 13; + when "00100101" => + dest <= 61; + src <= 48; + when "00100110" => + dest <= 50; + src <= 50; + when "00100111" => + dest <= 76; + src <= 23; + when "00101000" => + dest <= 50; + src <= 50; + when "00101001" => + dest <= 50; + src <= 49; + when "00101010" => + dest <= 35; + src <= 50; + when "00101011" => + dest <= 91; + src <= 94; + when "00101100" => + dest <= 36; + src <= 7; + when "00101101" => + dest <= 59; + src <= 25; + when "00101110" => + dest <= 24; + src <= 50; + when "00101111" => + dest <= 50; + src <= 34; + when "00110000" => + dest <= 50; + src <= 51; + when "00110001" => + dest <= 13; + src <= 50; + when "00110010" => + dest <= 17; + src <= 19; + when "00110011" => + dest <= 50; + src <= 75; + when "00110100" => + dest <= 98; + src <= 74; + when "00110101" => + dest <= 58; + src <= 50; + when "00110110" => + dest <= 50; + src <= 50; + when "00110111" => + dest <= 50; + src <= 86; + when "00111000" => + dest <= 93; + src <= 50; + when "00111001" => + dest <= 26; + src <= 52; + when "00111010" => + dest <= 69; + src <= 97; + when "00111011" => + dest <= 2; + src <= 93; + when "00111100" => + dest <= 50; + src <= 12; + when "00111101" => + dest <= 84; + src <= 50; + when "00111110" => + dest <= 50; + src <= 41; + when "00111111" => + dest <= 75; + src <= 50; + when "01000000" => + dest <= 50; + src <= 50; + when "01000001" => + dest <= 56; + src <= 17; + when "01000010" => + dest <= 8; + src <= 84; + when "01000011" => + dest <= 50; + src <= 50; + when "01000100" => + dest <= 68; + src <= 8; + when "01000101" => + dest <= 50; + src <= 50; + when "01000110" => + dest <= 92; + src <= 26; + when "01000111" => + dest <= 45; + src <= 54; + when "01001000" => + dest <= 27; + src <= 35; + when "01001001" => + dest <= 50; + src <= 42; + when "01001010" => + dest <= 50; + src <= 50; + when "01001011" => + dest <= 50; + src <= 2; + when "01001100" => + dest <= 34; + src <= 50; + when "01001101" => + dest <= 1; + src <= 50; + when "01001110" => + dest <= 50; + src <= 18; + when "01001111" => + dest <= 77; + src <= 65; + when "01010000" => + dest <= 50; + src <= 83; + when "01010001" => + dest <= 88; + src <= 50; + when "01010010" => + dest <= 83; + src <= 50; + when "01010011" => + dest <= 23; + src <= 50; + when "01010100" => + dest <= 50; + src <= 76; + when "01010101" => + dest <= 50; + src <= 9; + when "01010110" => + dest <= 12; + src <= 33; + when "01010111" => + dest <= 50; + src <= 50; + when "01011000" => + dest <= 99; + src <= 22; + when "01011001" => + dest <= 51; + src <= 50; + when "01011010" => + dest <= 9; + src <= 27; + when "01011011" => + dest <= 50; + src <= 87; + when "01011100" => + dest <= 74; + src <= 59; + when "01011101" => + dest <= 89; + src <= 50; + when "01011110" => + dest <= 50; + src <= 98; + when "01011111" => + dest <= 18; + src <= 11; + when "01100000" => + dest <= 65; + src <= 50; + when "01100001" => + dest <= 50; + src <= 50; + when "01100010" => + dest <= 50; + src <= 1; + when "01100011" => + dest <= 78; + src <= 21; + when "01100100" => + dest <= 44; + src <= 36; + when "01100101" => + dest <= 37; + src <= 50; + when "01100110" => + dest <= 50; + src <= 50; + when "01100111" => + dest <= 33; + src <= 92; + when "01101000" => + dest <= 79; + src <= 45; + when "01101001" => + dest <= 22; + src <= 32; + when "01101010" => + dest <= 50; + src <= 50; + when "01101011" => + dest <= 67; + src <= 66; + when "01101100" => + dest <= 11; + src <= 73; + when "01101101" => + dest <= 50; + src <= 31; + when "01101110" => + dest <= 82; + src <= 77; + when "01101111" => + dest <= 50; + src <= 50; + when "01110000" => + dest <= 19; + src <= 88; + when "01110001" => + dest <= 73; + src <= 43; + when "01110010" => + dest <= 50; + src <= 50; + when "01110011" => + dest <= 50; + src <= 99; + when "01110100" => + dest <= 28; + src <= 50; + when "01110101" => + dest <= 64; + src <= 28; + when "01110110" => + dest <= 50; + src <= 50; + when "01110111" => + dest <= 0; + src <= 91; + when "01111000" => + dest <= 50; + src <= 37; + when "01111001" => + dest <= 55; + src <= 50; + when "01111010" => + dest <= 50; + src <= 50; + when "01111011" => + dest <= 50; + src <= 82; + when "01111100" => + dest <= 47; + src <= 46; + when "01111101" => + dest <= 43; + src <= 50; + when "01111110" => + dest <= 50; + src <= 0; + when "01111111" => + dest <= 32; + src <= 50; + when "10000000" => + dest <= 50; + src <= 55; + when "10000001" => + dest <= 21; + src <= 50; + when "10000010" => + dest <= 50; + src <= 63; + when "10000011" => + dest <= 81; + src <= 67; + when "10000100" => + dest <= 29; + src <= 50; + when "10000101" => + dest <= 72; + src <= 78; + when "10000110" => + dest <= 50; + src <= 50; + when "10000111" => + dest <= 38; + src <= 89; + when "10001000" => + dest <= 63; + src <= 50; + when "10001001" => + dest <= 10; + src <= 29; + when "10001010" => + dest <= 50; + src <= 81; + when "10001011" => + dest <= 54; + src <= 38; + when "10001100" => + dest <= 50; + src <= 50; + when "10001101" => + dest <= 50; + src <= 72; + when "10001110" => + dest <= 42; + src <= 47; + when "10001111" => + dest <= 31; + src <= 90; + when "10010000" => + dest <= 50; + src <= 50; + when "10010001" => + dest <= 39; + src <= 56; + when "10010010" => + dest <= 71; + src <= 50; + when "10010011" => + dest <= 20; + src <= 68; + when "10010100" => + dest <= 53; + src <= 79; + when "10010101" => + dest <= 50; + src <= 50; + when "10010110" => + dest <= 41; + src <= 71; + when "10010111" => + dest <= 30; + src <= 39; + when "10011000" => + dest <= 52; + src <= 80; + when "10011001" => + dest <= 50; + src <= 57; + when "10011010" => + dest <= 50; + src <= 69; + when "10011011" => + dest <= 5; + src <= 70; + when "10011100" => + dest <= 94; + src <= 58; + when others => + dest <= 50; + src <= 50; + + end case; + end process; + + end generate NI_NUM50; + + NI_NUM51 : if NI_NUM = 51 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 67; + src <= 51; + when "00000001" => + dest <= 71; + src <= 51; + when "00000010" => + dest <= 51; + src <= 51; + when "00000011" => + dest <= 41; + src <= 51; + when "00000100" => + dest <= 16; + src <= 51; + when "00000101" => + dest <= 7; + src <= 31; + when "00000110" => + dest <= 51; + src <= 61; + when "00000111" => + dest <= 97; + src <= 45; + when "00001000" => + dest <= 49; + src <= 51; + when "00001001" => + dest <= 51; + src <= 17; + when "00001010" => + dest <= 51; + src <= 6; + when "00001011" => + dest <= 61; + src <= 51; + when "00001100" => + dest <= 87; + src <= 51; + when "00001101" => + dest <= 96; + src <= 63; + when "00001110" => + dest <= 5; + src <= 41; + when "00001111" => + dest <= 81; + src <= 96; + when "00010000" => + dest <= 51; + src <= 5; + when "00010001" => + dest <= 51; + src <= 15; + when "00010010" => + dest <= 51; + src <= 51; + when "00010011" => + dest <= 47; + src <= 51; + when "00010100" => + dest <= 26; + src <= 21; + when "00010101" => + dest <= 51; + src <= 25; + when "00010110" => + dest <= 91; + src <= 51; + when "00010111" => + dest <= 40; + src <= 51; + when "00011000" => + dest <= 15; + src <= 16; + when "00011001" => + dest <= 8; + src <= 7; + when "00011010" => + dest <= 51; + src <= 65; + when "00011011" => + dest <= 98; + src <= 62; + when "00011100" => + dest <= 58; + src <= 11; + when "00011101" => + dest <= 51; + src <= 51; + when "00011110" => + dest <= 51; + src <= 86; + when "00011111" => + dest <= 88; + src <= 51; + when "00100000" => + dest <= 63; + src <= 51; + when "00100001" => + dest <= 17; + src <= 54; + when "00100010" => + dest <= 4; + src <= 97; + when "00100011" => + dest <= 86; + src <= 4; + when "00100100" => + dest <= 51; + src <= 14; + when "00100101" => + dest <= 62; + src <= 49; + when "00100110" => + dest <= 51; + src <= 51; + when "00100111" => + dest <= 77; + src <= 24; + when "00101000" => + dest <= 51; + src <= 51; + when "00101001" => + dest <= 51; + src <= 40; + when "00101010" => + dest <= 36; + src <= 51; + when "00101011" => + dest <= 92; + src <= 95; + when "00101100" => + dest <= 37; + src <= 8; + when "00101101" => + dest <= 50; + src <= 26; + when "00101110" => + dest <= 25; + src <= 51; + when "00101111" => + dest <= 51; + src <= 35; + when "00110000" => + dest <= 51; + src <= 52; + when "00110001" => + dest <= 14; + src <= 51; + when "00110010" => + dest <= 18; + src <= 10; + when "00110011" => + dest <= 51; + src <= 76; + when "00110100" => + dest <= 99; + src <= 75; + when "00110101" => + dest <= 59; + src <= 51; + when "00110110" => + dest <= 51; + src <= 51; + when "00110111" => + dest <= 51; + src <= 87; + when "00111000" => + dest <= 94; + src <= 51; + when "00111001" => + dest <= 27; + src <= 53; + when "00111010" => + dest <= 60; + src <= 98; + when "00111011" => + dest <= 3; + src <= 94; + when "00111100" => + dest <= 51; + src <= 13; + when "00111101" => + dest <= 85; + src <= 51; + when "00111110" => + dest <= 51; + src <= 42; + when "00111111" => + dest <= 76; + src <= 51; + when "01000000" => + dest <= 51; + src <= 51; + when "01000001" => + dest <= 57; + src <= 18; + when "01000010" => + dest <= 9; + src <= 85; + when "01000011" => + dest <= 51; + src <= 51; + when "01000100" => + dest <= 69; + src <= 9; + when "01000101" => + dest <= 51; + src <= 51; + when "01000110" => + dest <= 93; + src <= 27; + when "01000111" => + dest <= 46; + src <= 55; + when "01001000" => + dest <= 28; + src <= 36; + when "01001001" => + dest <= 51; + src <= 43; + when "01001010" => + dest <= 51; + src <= 51; + when "01001011" => + dest <= 51; + src <= 3; + when "01001100" => + dest <= 35; + src <= 51; + when "01001101" => + dest <= 2; + src <= 51; + when "01001110" => + dest <= 51; + src <= 19; + when "01001111" => + dest <= 78; + src <= 66; + when "01010000" => + dest <= 51; + src <= 84; + when "01010001" => + dest <= 89; + src <= 51; + when "01010010" => + dest <= 84; + src <= 51; + when "01010011" => + dest <= 24; + src <= 51; + when "01010100" => + dest <= 51; + src <= 77; + when "01010101" => + dest <= 51; + src <= 0; + when "01010110" => + dest <= 13; + src <= 34; + when "01010111" => + dest <= 51; + src <= 51; + when "01011000" => + dest <= 90; + src <= 23; + when "01011001" => + dest <= 52; + src <= 51; + when "01011010" => + dest <= 0; + src <= 28; + when "01011011" => + dest <= 51; + src <= 88; + when "01011100" => + dest <= 75; + src <= 50; + when "01011101" => + dest <= 80; + src <= 51; + when "01011110" => + dest <= 51; + src <= 99; + when "01011111" => + dest <= 19; + src <= 12; + when "01100000" => + dest <= 66; + src <= 51; + when "01100001" => + dest <= 51; + src <= 51; + when "01100010" => + dest <= 51; + src <= 2; + when "01100011" => + dest <= 79; + src <= 22; + when "01100100" => + dest <= 45; + src <= 37; + when "01100101" => + dest <= 38; + src <= 51; + when "01100110" => + dest <= 51; + src <= 51; + when "01100111" => + dest <= 34; + src <= 93; + when "01101000" => + dest <= 70; + src <= 46; + when "01101001" => + dest <= 23; + src <= 33; + when "01101010" => + dest <= 51; + src <= 51; + when "01101011" => + dest <= 68; + src <= 67; + when "01101100" => + dest <= 12; + src <= 74; + when "01101101" => + dest <= 51; + src <= 32; + when "01101110" => + dest <= 83; + src <= 78; + when "01101111" => + dest <= 51; + src <= 51; + when "01110000" => + dest <= 10; + src <= 89; + when "01110001" => + dest <= 74; + src <= 44; + when "01110010" => + dest <= 51; + src <= 51; + when "01110011" => + dest <= 51; + src <= 90; + when "01110100" => + dest <= 29; + src <= 51; + when "01110101" => + dest <= 65; + src <= 29; + when "01110110" => + dest <= 51; + src <= 51; + when "01110111" => + dest <= 1; + src <= 92; + when "01111000" => + dest <= 51; + src <= 38; + when "01111001" => + dest <= 56; + src <= 51; + when "01111010" => + dest <= 51; + src <= 51; + when "01111011" => + dest <= 51; + src <= 83; + when "01111100" => + dest <= 48; + src <= 47; + when "01111101" => + dest <= 44; + src <= 51; + when "01111110" => + dest <= 51; + src <= 1; + when "01111111" => + dest <= 33; + src <= 51; + when "10000000" => + dest <= 51; + src <= 56; + when "10000001" => + dest <= 22; + src <= 51; + when "10000010" => + dest <= 51; + src <= 64; + when "10000011" => + dest <= 82; + src <= 68; + when "10000100" => + dest <= 20; + src <= 51; + when "10000101" => + dest <= 73; + src <= 79; + when "10000110" => + dest <= 51; + src <= 51; + when "10000111" => + dest <= 39; + src <= 80; + when "10001000" => + dest <= 64; + src <= 51; + when "10001001" => + dest <= 11; + src <= 20; + when "10001010" => + dest <= 51; + src <= 82; + when "10001011" => + dest <= 55; + src <= 39; + when "10001100" => + dest <= 51; + src <= 51; + when "10001101" => + dest <= 51; + src <= 73; + when "10001110" => + dest <= 43; + src <= 48; + when "10001111" => + dest <= 32; + src <= 91; + when "10010000" => + dest <= 51; + src <= 51; + when "10010001" => + dest <= 30; + src <= 57; + when "10010010" => + dest <= 72; + src <= 51; + when "10010011" => + dest <= 21; + src <= 69; + when "10010100" => + dest <= 54; + src <= 70; + when "10010101" => + dest <= 51; + src <= 51; + when "10010110" => + dest <= 42; + src <= 72; + when "10010111" => + dest <= 31; + src <= 30; + when "10011000" => + dest <= 53; + src <= 81; + when "10011001" => + dest <= 51; + src <= 58; + when "10011010" => + dest <= 51; + src <= 60; + when "10011011" => + dest <= 6; + src <= 71; + when "10011100" => + dest <= 95; + src <= 59; + when others => + dest <= 51; + src <= 51; + + end case; + end process; + + end generate NI_NUM51; + + NI_NUM52 : if NI_NUM = 52 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 68; + src <= 52; + when "00000001" => + dest <= 72; + src <= 52; + when "00000010" => + dest <= 52; + src <= 52; + when "00000011" => + dest <= 42; + src <= 52; + when "00000100" => + dest <= 17; + src <= 52; + when "00000101" => + dest <= 8; + src <= 32; + when "00000110" => + dest <= 52; + src <= 62; + when "00000111" => + dest <= 98; + src <= 46; + when "00001000" => + dest <= 40; + src <= 52; + when "00001001" => + dest <= 52; + src <= 18; + when "00001010" => + dest <= 52; + src <= 7; + when "00001011" => + dest <= 62; + src <= 52; + when "00001100" => + dest <= 88; + src <= 52; + when "00001101" => + dest <= 97; + src <= 64; + when "00001110" => + dest <= 6; + src <= 42; + when "00001111" => + dest <= 82; + src <= 97; + when "00010000" => + dest <= 52; + src <= 6; + when "00010001" => + dest <= 52; + src <= 16; + when "00010010" => + dest <= 52; + src <= 52; + when "00010011" => + dest <= 48; + src <= 52; + when "00010100" => + dest <= 27; + src <= 22; + when "00010101" => + dest <= 52; + src <= 26; + when "00010110" => + dest <= 92; + src <= 52; + when "00010111" => + dest <= 41; + src <= 52; + when "00011000" => + dest <= 16; + src <= 17; + when "00011001" => + dest <= 9; + src <= 8; + when "00011010" => + dest <= 52; + src <= 66; + when "00011011" => + dest <= 99; + src <= 63; + when "00011100" => + dest <= 59; + src <= 12; + when "00011101" => + dest <= 52; + src <= 52; + when "00011110" => + dest <= 52; + src <= 87; + when "00011111" => + dest <= 89; + src <= 52; + when "00100000" => + dest <= 64; + src <= 52; + when "00100001" => + dest <= 18; + src <= 55; + when "00100010" => + dest <= 5; + src <= 98; + when "00100011" => + dest <= 87; + src <= 5; + when "00100100" => + dest <= 52; + src <= 15; + when "00100101" => + dest <= 63; + src <= 40; + when "00100110" => + dest <= 52; + src <= 52; + when "00100111" => + dest <= 78; + src <= 25; + when "00101000" => + dest <= 52; + src <= 52; + when "00101001" => + dest <= 52; + src <= 41; + when "00101010" => + dest <= 37; + src <= 52; + when "00101011" => + dest <= 93; + src <= 96; + when "00101100" => + dest <= 38; + src <= 9; + when "00101101" => + dest <= 51; + src <= 27; + when "00101110" => + dest <= 26; + src <= 52; + when "00101111" => + dest <= 52; + src <= 36; + when "00110000" => + dest <= 52; + src <= 53; + when "00110001" => + dest <= 15; + src <= 52; + when "00110010" => + dest <= 19; + src <= 11; + when "00110011" => + dest <= 52; + src <= 77; + when "00110100" => + dest <= 90; + src <= 76; + when "00110101" => + dest <= 50; + src <= 52; + when "00110110" => + dest <= 52; + src <= 52; + when "00110111" => + dest <= 52; + src <= 88; + when "00111000" => + dest <= 95; + src <= 52; + when "00111001" => + dest <= 28; + src <= 54; + when "00111010" => + dest <= 61; + src <= 99; + when "00111011" => + dest <= 4; + src <= 95; + when "00111100" => + dest <= 52; + src <= 14; + when "00111101" => + dest <= 86; + src <= 52; + when "00111110" => + dest <= 52; + src <= 43; + when "00111111" => + dest <= 77; + src <= 52; + when "01000000" => + dest <= 52; + src <= 52; + when "01000001" => + dest <= 58; + src <= 19; + when "01000010" => + dest <= 0; + src <= 86; + when "01000011" => + dest <= 52; + src <= 52; + when "01000100" => + dest <= 60; + src <= 0; + when "01000101" => + dest <= 52; + src <= 52; + when "01000110" => + dest <= 94; + src <= 28; + when "01000111" => + dest <= 47; + src <= 56; + when "01001000" => + dest <= 29; + src <= 37; + when "01001001" => + dest <= 52; + src <= 44; + when "01001010" => + dest <= 52; + src <= 52; + when "01001011" => + dest <= 52; + src <= 4; + when "01001100" => + dest <= 36; + src <= 52; + when "01001101" => + dest <= 3; + src <= 52; + when "01001110" => + dest <= 52; + src <= 10; + when "01001111" => + dest <= 79; + src <= 67; + when "01010000" => + dest <= 52; + src <= 85; + when "01010001" => + dest <= 80; + src <= 52; + when "01010010" => + dest <= 85; + src <= 52; + when "01010011" => + dest <= 25; + src <= 52; + when "01010100" => + dest <= 52; + src <= 78; + when "01010101" => + dest <= 52; + src <= 1; + when "01010110" => + dest <= 14; + src <= 35; + when "01010111" => + dest <= 52; + src <= 52; + when "01011000" => + dest <= 91; + src <= 24; + when "01011001" => + dest <= 53; + src <= 52; + when "01011010" => + dest <= 1; + src <= 29; + when "01011011" => + dest <= 52; + src <= 89; + when "01011100" => + dest <= 76; + src <= 51; + when "01011101" => + dest <= 81; + src <= 52; + when "01011110" => + dest <= 52; + src <= 90; + when "01011111" => + dest <= 10; + src <= 13; + when "01100000" => + dest <= 67; + src <= 52; + when "01100001" => + dest <= 52; + src <= 52; + when "01100010" => + dest <= 52; + src <= 3; + when "01100011" => + dest <= 70; + src <= 23; + when "01100100" => + dest <= 46; + src <= 38; + when "01100101" => + dest <= 39; + src <= 52; + when "01100110" => + dest <= 52; + src <= 52; + when "01100111" => + dest <= 35; + src <= 94; + when "01101000" => + dest <= 71; + src <= 47; + when "01101001" => + dest <= 24; + src <= 34; + when "01101010" => + dest <= 52; + src <= 52; + when "01101011" => + dest <= 69; + src <= 68; + when "01101100" => + dest <= 13; + src <= 75; + when "01101101" => + dest <= 52; + src <= 33; + when "01101110" => + dest <= 84; + src <= 79; + when "01101111" => + dest <= 52; + src <= 52; + when "01110000" => + dest <= 11; + src <= 80; + when "01110001" => + dest <= 75; + src <= 45; + when "01110010" => + dest <= 52; + src <= 52; + when "01110011" => + dest <= 52; + src <= 91; + when "01110100" => + dest <= 20; + src <= 52; + when "01110101" => + dest <= 66; + src <= 20; + when "01110110" => + dest <= 52; + src <= 52; + when "01110111" => + dest <= 2; + src <= 93; + when "01111000" => + dest <= 52; + src <= 39; + when "01111001" => + dest <= 57; + src <= 52; + when "01111010" => + dest <= 52; + src <= 52; + when "01111011" => + dest <= 52; + src <= 84; + when "01111100" => + dest <= 49; + src <= 48; + when "01111101" => + dest <= 45; + src <= 52; + when "01111110" => + dest <= 52; + src <= 2; + when "01111111" => + dest <= 34; + src <= 52; + when "10000000" => + dest <= 52; + src <= 57; + when "10000001" => + dest <= 23; + src <= 52; + when "10000010" => + dest <= 52; + src <= 65; + when "10000011" => + dest <= 83; + src <= 69; + when "10000100" => + dest <= 21; + src <= 52; + when "10000101" => + dest <= 74; + src <= 70; + when "10000110" => + dest <= 52; + src <= 52; + when "10000111" => + dest <= 30; + src <= 81; + when "10001000" => + dest <= 65; + src <= 52; + when "10001001" => + dest <= 12; + src <= 21; + when "10001010" => + dest <= 52; + src <= 83; + when "10001011" => + dest <= 56; + src <= 30; + when "10001100" => + dest <= 52; + src <= 52; + when "10001101" => + dest <= 52; + src <= 74; + when "10001110" => + dest <= 44; + src <= 49; + when "10001111" => + dest <= 33; + src <= 92; + when "10010000" => + dest <= 52; + src <= 52; + when "10010001" => + dest <= 31; + src <= 58; + when "10010010" => + dest <= 73; + src <= 52; + when "10010011" => + dest <= 22; + src <= 60; + when "10010100" => + dest <= 55; + src <= 71; + when "10010101" => + dest <= 52; + src <= 52; + when "10010110" => + dest <= 43; + src <= 73; + when "10010111" => + dest <= 32; + src <= 31; + when "10011000" => + dest <= 54; + src <= 82; + when "10011001" => + dest <= 52; + src <= 59; + when "10011010" => + dest <= 52; + src <= 61; + when "10011011" => + dest <= 7; + src <= 72; + when "10011100" => + dest <= 96; + src <= 50; + when others => + dest <= 52; + src <= 52; + + end case; + end process; + + end generate NI_NUM52; + + NI_NUM53 : if NI_NUM = 53 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 69; + src <= 53; + when "00000001" => + dest <= 73; + src <= 53; + when "00000010" => + dest <= 53; + src <= 53; + when "00000011" => + dest <= 43; + src <= 53; + when "00000100" => + dest <= 18; + src <= 53; + when "00000101" => + dest <= 9; + src <= 33; + when "00000110" => + dest <= 53; + src <= 63; + when "00000111" => + dest <= 99; + src <= 47; + when "00001000" => + dest <= 41; + src <= 53; + when "00001001" => + dest <= 53; + src <= 19; + when "00001010" => + dest <= 53; + src <= 8; + when "00001011" => + dest <= 63; + src <= 53; + when "00001100" => + dest <= 89; + src <= 53; + when "00001101" => + dest <= 98; + src <= 65; + when "00001110" => + dest <= 7; + src <= 43; + when "00001111" => + dest <= 83; + src <= 98; + when "00010000" => + dest <= 53; + src <= 7; + when "00010001" => + dest <= 53; + src <= 17; + when "00010010" => + dest <= 53; + src <= 53; + when "00010011" => + dest <= 49; + src <= 53; + when "00010100" => + dest <= 28; + src <= 23; + when "00010101" => + dest <= 53; + src <= 27; + when "00010110" => + dest <= 93; + src <= 53; + when "00010111" => + dest <= 42; + src <= 53; + when "00011000" => + dest <= 17; + src <= 18; + when "00011001" => + dest <= 0; + src <= 9; + when "00011010" => + dest <= 53; + src <= 67; + when "00011011" => + dest <= 90; + src <= 64; + when "00011100" => + dest <= 50; + src <= 13; + when "00011101" => + dest <= 53; + src <= 53; + when "00011110" => + dest <= 53; + src <= 88; + when "00011111" => + dest <= 80; + src <= 53; + when "00100000" => + dest <= 65; + src <= 53; + when "00100001" => + dest <= 19; + src <= 56; + when "00100010" => + dest <= 6; + src <= 99; + when "00100011" => + dest <= 88; + src <= 6; + when "00100100" => + dest <= 53; + src <= 16; + when "00100101" => + dest <= 64; + src <= 41; + when "00100110" => + dest <= 53; + src <= 53; + when "00100111" => + dest <= 79; + src <= 26; + when "00101000" => + dest <= 53; + src <= 53; + when "00101001" => + dest <= 53; + src <= 42; + when "00101010" => + dest <= 38; + src <= 53; + when "00101011" => + dest <= 94; + src <= 97; + when "00101100" => + dest <= 39; + src <= 0; + when "00101101" => + dest <= 52; + src <= 28; + when "00101110" => + dest <= 27; + src <= 53; + when "00101111" => + dest <= 53; + src <= 37; + when "00110000" => + dest <= 53; + src <= 54; + when "00110001" => + dest <= 16; + src <= 53; + when "00110010" => + dest <= 10; + src <= 12; + when "00110011" => + dest <= 53; + src <= 78; + when "00110100" => + dest <= 91; + src <= 77; + when "00110101" => + dest <= 51; + src <= 53; + when "00110110" => + dest <= 53; + src <= 53; + when "00110111" => + dest <= 53; + src <= 89; + when "00111000" => + dest <= 96; + src <= 53; + when "00111001" => + dest <= 29; + src <= 55; + when "00111010" => + dest <= 62; + src <= 90; + when "00111011" => + dest <= 5; + src <= 96; + when "00111100" => + dest <= 53; + src <= 15; + when "00111101" => + dest <= 87; + src <= 53; + when "00111110" => + dest <= 53; + src <= 44; + when "00111111" => + dest <= 78; + src <= 53; + when "01000000" => + dest <= 53; + src <= 53; + when "01000001" => + dest <= 59; + src <= 10; + when "01000010" => + dest <= 1; + src <= 87; + when "01000011" => + dest <= 53; + src <= 53; + when "01000100" => + dest <= 61; + src <= 1; + when "01000101" => + dest <= 53; + src <= 53; + when "01000110" => + dest <= 95; + src <= 29; + when "01000111" => + dest <= 48; + src <= 57; + when "01001000" => + dest <= 20; + src <= 38; + when "01001001" => + dest <= 53; + src <= 45; + when "01001010" => + dest <= 53; + src <= 53; + when "01001011" => + dest <= 53; + src <= 5; + when "01001100" => + dest <= 37; + src <= 53; + when "01001101" => + dest <= 4; + src <= 53; + when "01001110" => + dest <= 53; + src <= 11; + when "01001111" => + dest <= 70; + src <= 68; + when "01010000" => + dest <= 53; + src <= 86; + when "01010001" => + dest <= 81; + src <= 53; + when "01010010" => + dest <= 86; + src <= 53; + when "01010011" => + dest <= 26; + src <= 53; + when "01010100" => + dest <= 53; + src <= 79; + when "01010101" => + dest <= 53; + src <= 2; + when "01010110" => + dest <= 15; + src <= 36; + when "01010111" => + dest <= 53; + src <= 53; + when "01011000" => + dest <= 92; + src <= 25; + when "01011001" => + dest <= 54; + src <= 53; + when "01011010" => + dest <= 2; + src <= 20; + when "01011011" => + dest <= 53; + src <= 80; + when "01011100" => + dest <= 77; + src <= 52; + when "01011101" => + dest <= 82; + src <= 53; + when "01011110" => + dest <= 53; + src <= 91; + when "01011111" => + dest <= 11; + src <= 14; + when "01100000" => + dest <= 68; + src <= 53; + when "01100001" => + dest <= 53; + src <= 53; + when "01100010" => + dest <= 53; + src <= 4; + when "01100011" => + dest <= 71; + src <= 24; + when "01100100" => + dest <= 47; + src <= 39; + when "01100101" => + dest <= 30; + src <= 53; + when "01100110" => + dest <= 53; + src <= 53; + when "01100111" => + dest <= 36; + src <= 95; + when "01101000" => + dest <= 72; + src <= 48; + when "01101001" => + dest <= 25; + src <= 35; + when "01101010" => + dest <= 53; + src <= 53; + when "01101011" => + dest <= 60; + src <= 69; + when "01101100" => + dest <= 14; + src <= 76; + when "01101101" => + dest <= 53; + src <= 34; + when "01101110" => + dest <= 85; + src <= 70; + when "01101111" => + dest <= 53; + src <= 53; + when "01110000" => + dest <= 12; + src <= 81; + when "01110001" => + dest <= 76; + src <= 46; + when "01110010" => + dest <= 53; + src <= 53; + when "01110011" => + dest <= 53; + src <= 92; + when "01110100" => + dest <= 21; + src <= 53; + when "01110101" => + dest <= 67; + src <= 21; + when "01110110" => + dest <= 53; + src <= 53; + when "01110111" => + dest <= 3; + src <= 94; + when "01111000" => + dest <= 53; + src <= 30; + when "01111001" => + dest <= 58; + src <= 53; + when "01111010" => + dest <= 53; + src <= 53; + when "01111011" => + dest <= 53; + src <= 85; + when "01111100" => + dest <= 40; + src <= 49; + when "01111101" => + dest <= 46; + src <= 53; + when "01111110" => + dest <= 53; + src <= 3; + when "01111111" => + dest <= 35; + src <= 53; + when "10000000" => + dest <= 53; + src <= 58; + when "10000001" => + dest <= 24; + src <= 53; + when "10000010" => + dest <= 53; + src <= 66; + when "10000011" => + dest <= 84; + src <= 60; + when "10000100" => + dest <= 22; + src <= 53; + when "10000101" => + dest <= 75; + src <= 71; + when "10000110" => + dest <= 53; + src <= 53; + when "10000111" => + dest <= 31; + src <= 82; + when "10001000" => + dest <= 66; + src <= 53; + when "10001001" => + dest <= 13; + src <= 22; + when "10001010" => + dest <= 53; + src <= 84; + when "10001011" => + dest <= 57; + src <= 31; + when "10001100" => + dest <= 53; + src <= 53; + when "10001101" => + dest <= 53; + src <= 75; + when "10001110" => + dest <= 45; + src <= 40; + when "10001111" => + dest <= 34; + src <= 93; + when "10010000" => + dest <= 53; + src <= 53; + when "10010001" => + dest <= 32; + src <= 59; + when "10010010" => + dest <= 74; + src <= 53; + when "10010011" => + dest <= 23; + src <= 61; + when "10010100" => + dest <= 56; + src <= 72; + when "10010101" => + dest <= 53; + src <= 53; + when "10010110" => + dest <= 44; + src <= 74; + when "10010111" => + dest <= 33; + src <= 32; + when "10011000" => + dest <= 55; + src <= 83; + when "10011001" => + dest <= 53; + src <= 50; + when "10011010" => + dest <= 53; + src <= 62; + when "10011011" => + dest <= 8; + src <= 73; + when "10011100" => + dest <= 97; + src <= 51; + when others => + dest <= 53; + src <= 53; + + end case; + end process; + + end generate NI_NUM53; + + NI_NUM54 : if NI_NUM = 54 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 60; + src <= 54; + when "00000001" => + dest <= 74; + src <= 54; + when "00000010" => + dest <= 54; + src <= 54; + when "00000011" => + dest <= 44; + src <= 54; + when "00000100" => + dest <= 19; + src <= 54; + when "00000101" => + dest <= 0; + src <= 34; + when "00000110" => + dest <= 54; + src <= 64; + when "00000111" => + dest <= 90; + src <= 48; + when "00001000" => + dest <= 42; + src <= 54; + when "00001001" => + dest <= 54; + src <= 10; + when "00001010" => + dest <= 54; + src <= 9; + when "00001011" => + dest <= 64; + src <= 54; + when "00001100" => + dest <= 80; + src <= 54; + when "00001101" => + dest <= 99; + src <= 66; + when "00001110" => + dest <= 8; + src <= 44; + when "00001111" => + dest <= 84; + src <= 99; + when "00010000" => + dest <= 54; + src <= 8; + when "00010001" => + dest <= 54; + src <= 18; + when "00010010" => + dest <= 54; + src <= 54; + when "00010011" => + dest <= 40; + src <= 54; + when "00010100" => + dest <= 29; + src <= 24; + when "00010101" => + dest <= 54; + src <= 28; + when "00010110" => + dest <= 94; + src <= 54; + when "00010111" => + dest <= 43; + src <= 54; + when "00011000" => + dest <= 18; + src <= 19; + when "00011001" => + dest <= 1; + src <= 0; + when "00011010" => + dest <= 54; + src <= 68; + when "00011011" => + dest <= 91; + src <= 65; + when "00011100" => + dest <= 51; + src <= 14; + when "00011101" => + dest <= 54; + src <= 54; + when "00011110" => + dest <= 54; + src <= 89; + when "00011111" => + dest <= 81; + src <= 54; + when "00100000" => + dest <= 66; + src <= 54; + when "00100001" => + dest <= 10; + src <= 57; + when "00100010" => + dest <= 7; + src <= 90; + when "00100011" => + dest <= 89; + src <= 7; + when "00100100" => + dest <= 54; + src <= 17; + when "00100101" => + dest <= 65; + src <= 42; + when "00100110" => + dest <= 54; + src <= 54; + when "00100111" => + dest <= 70; + src <= 27; + when "00101000" => + dest <= 54; + src <= 54; + when "00101001" => + dest <= 54; + src <= 43; + when "00101010" => + dest <= 39; + src <= 54; + when "00101011" => + dest <= 95; + src <= 98; + when "00101100" => + dest <= 30; + src <= 1; + when "00101101" => + dest <= 53; + src <= 29; + when "00101110" => + dest <= 28; + src <= 54; + when "00101111" => + dest <= 54; + src <= 38; + when "00110000" => + dest <= 54; + src <= 55; + when "00110001" => + dest <= 17; + src <= 54; + when "00110010" => + dest <= 11; + src <= 13; + when "00110011" => + dest <= 54; + src <= 79; + when "00110100" => + dest <= 92; + src <= 78; + when "00110101" => + dest <= 52; + src <= 54; + when "00110110" => + dest <= 54; + src <= 54; + when "00110111" => + dest <= 54; + src <= 80; + when "00111000" => + dest <= 97; + src <= 54; + when "00111001" => + dest <= 20; + src <= 56; + when "00111010" => + dest <= 63; + src <= 91; + when "00111011" => + dest <= 6; + src <= 97; + when "00111100" => + dest <= 54; + src <= 16; + when "00111101" => + dest <= 88; + src <= 54; + when "00111110" => + dest <= 54; + src <= 45; + when "00111111" => + dest <= 79; + src <= 54; + when "01000000" => + dest <= 54; + src <= 54; + when "01000001" => + dest <= 50; + src <= 11; + when "01000010" => + dest <= 2; + src <= 88; + when "01000011" => + dest <= 54; + src <= 54; + when "01000100" => + dest <= 62; + src <= 2; + when "01000101" => + dest <= 54; + src <= 54; + when "01000110" => + dest <= 96; + src <= 20; + when "01000111" => + dest <= 49; + src <= 58; + when "01001000" => + dest <= 21; + src <= 39; + when "01001001" => + dest <= 54; + src <= 46; + when "01001010" => + dest <= 54; + src <= 54; + when "01001011" => + dest <= 54; + src <= 6; + when "01001100" => + dest <= 38; + src <= 54; + when "01001101" => + dest <= 5; + src <= 54; + when "01001110" => + dest <= 54; + src <= 12; + when "01001111" => + dest <= 71; + src <= 69; + when "01010000" => + dest <= 54; + src <= 87; + when "01010001" => + dest <= 82; + src <= 54; + when "01010010" => + dest <= 87; + src <= 54; + when "01010011" => + dest <= 27; + src <= 54; + when "01010100" => + dest <= 54; + src <= 70; + when "01010101" => + dest <= 54; + src <= 3; + when "01010110" => + dest <= 16; + src <= 37; + when "01010111" => + dest <= 54; + src <= 54; + when "01011000" => + dest <= 93; + src <= 26; + when "01011001" => + dest <= 55; + src <= 54; + when "01011010" => + dest <= 3; + src <= 21; + when "01011011" => + dest <= 54; + src <= 81; + when "01011100" => + dest <= 78; + src <= 53; + when "01011101" => + dest <= 83; + src <= 54; + when "01011110" => + dest <= 54; + src <= 92; + when "01011111" => + dest <= 12; + src <= 15; + when "01100000" => + dest <= 69; + src <= 54; + when "01100001" => + dest <= 54; + src <= 54; + when "01100010" => + dest <= 54; + src <= 5; + when "01100011" => + dest <= 72; + src <= 25; + when "01100100" => + dest <= 48; + src <= 30; + when "01100101" => + dest <= 31; + src <= 54; + when "01100110" => + dest <= 54; + src <= 54; + when "01100111" => + dest <= 37; + src <= 96; + when "01101000" => + dest <= 73; + src <= 49; + when "01101001" => + dest <= 26; + src <= 36; + when "01101010" => + dest <= 54; + src <= 54; + when "01101011" => + dest <= 61; + src <= 60; + when "01101100" => + dest <= 15; + src <= 77; + when "01101101" => + dest <= 54; + src <= 35; + when "01101110" => + dest <= 86; + src <= 71; + when "01101111" => + dest <= 54; + src <= 54; + when "01110000" => + dest <= 13; + src <= 82; + when "01110001" => + dest <= 77; + src <= 47; + when "01110010" => + dest <= 54; + src <= 54; + when "01110011" => + dest <= 54; + src <= 93; + when "01110100" => + dest <= 22; + src <= 54; + when "01110101" => + dest <= 68; + src <= 22; + when "01110110" => + dest <= 54; + src <= 54; + when "01110111" => + dest <= 4; + src <= 95; + when "01111000" => + dest <= 54; + src <= 31; + when "01111001" => + dest <= 59; + src <= 54; + when "01111010" => + dest <= 54; + src <= 54; + when "01111011" => + dest <= 54; + src <= 86; + when "01111100" => + dest <= 41; + src <= 40; + when "01111101" => + dest <= 47; + src <= 54; + when "01111110" => + dest <= 54; + src <= 4; + when "01111111" => + dest <= 36; + src <= 54; + when "10000000" => + dest <= 54; + src <= 59; + when "10000001" => + dest <= 25; + src <= 54; + when "10000010" => + dest <= 54; + src <= 67; + when "10000011" => + dest <= 85; + src <= 61; + when "10000100" => + dest <= 23; + src <= 54; + when "10000101" => + dest <= 76; + src <= 72; + when "10000110" => + dest <= 54; + src <= 54; + when "10000111" => + dest <= 32; + src <= 83; + when "10001000" => + dest <= 67; + src <= 54; + when "10001001" => + dest <= 14; + src <= 23; + when "10001010" => + dest <= 54; + src <= 85; + when "10001011" => + dest <= 58; + src <= 32; + when "10001100" => + dest <= 54; + src <= 54; + when "10001101" => + dest <= 54; + src <= 76; + when "10001110" => + dest <= 46; + src <= 41; + when "10001111" => + dest <= 35; + src <= 94; + when "10010000" => + dest <= 54; + src <= 54; + when "10010001" => + dest <= 33; + src <= 50; + when "10010010" => + dest <= 75; + src <= 54; + when "10010011" => + dest <= 24; + src <= 62; + when "10010100" => + dest <= 57; + src <= 73; + when "10010101" => + dest <= 54; + src <= 54; + when "10010110" => + dest <= 45; + src <= 75; + when "10010111" => + dest <= 34; + src <= 33; + when "10011000" => + dest <= 56; + src <= 84; + when "10011001" => + dest <= 54; + src <= 51; + when "10011010" => + dest <= 54; + src <= 63; + when "10011011" => + dest <= 9; + src <= 74; + when "10011100" => + dest <= 98; + src <= 52; + when others => + dest <= 54; + src <= 54; + + end case; + end process; + + end generate NI_NUM54; + + NI_NUM55 : if NI_NUM = 55 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 61; + src <= 55; + when "00000001" => + dest <= 75; + src <= 55; + when "00000010" => + dest <= 55; + src <= 55; + when "00000011" => + dest <= 45; + src <= 55; + when "00000100" => + dest <= 10; + src <= 55; + when "00000101" => + dest <= 1; + src <= 35; + when "00000110" => + dest <= 55; + src <= 65; + when "00000111" => + dest <= 91; + src <= 49; + when "00001000" => + dest <= 43; + src <= 55; + when "00001001" => + dest <= 55; + src <= 11; + when "00001010" => + dest <= 55; + src <= 0; + when "00001011" => + dest <= 65; + src <= 55; + when "00001100" => + dest <= 81; + src <= 55; + when "00001101" => + dest <= 90; + src <= 67; + when "00001110" => + dest <= 9; + src <= 45; + when "00001111" => + dest <= 85; + src <= 90; + when "00010000" => + dest <= 55; + src <= 9; + when "00010001" => + dest <= 55; + src <= 19; + when "00010010" => + dest <= 55; + src <= 55; + when "00010011" => + dest <= 41; + src <= 55; + when "00010100" => + dest <= 20; + src <= 25; + when "00010101" => + dest <= 55; + src <= 29; + when "00010110" => + dest <= 95; + src <= 55; + when "00010111" => + dest <= 44; + src <= 55; + when "00011000" => + dest <= 19; + src <= 10; + when "00011001" => + dest <= 2; + src <= 1; + when "00011010" => + dest <= 55; + src <= 69; + when "00011011" => + dest <= 92; + src <= 66; + when "00011100" => + dest <= 52; + src <= 15; + when "00011101" => + dest <= 55; + src <= 55; + when "00011110" => + dest <= 55; + src <= 80; + when "00011111" => + dest <= 82; + src <= 55; + when "00100000" => + dest <= 67; + src <= 55; + when "00100001" => + dest <= 11; + src <= 58; + when "00100010" => + dest <= 8; + src <= 91; + when "00100011" => + dest <= 80; + src <= 8; + when "00100100" => + dest <= 55; + src <= 18; + when "00100101" => + dest <= 66; + src <= 43; + when "00100110" => + dest <= 55; + src <= 55; + when "00100111" => + dest <= 71; + src <= 28; + when "00101000" => + dest <= 55; + src <= 55; + when "00101001" => + dest <= 55; + src <= 44; + when "00101010" => + dest <= 30; + src <= 55; + when "00101011" => + dest <= 96; + src <= 99; + when "00101100" => + dest <= 31; + src <= 2; + when "00101101" => + dest <= 54; + src <= 20; + when "00101110" => + dest <= 29; + src <= 55; + when "00101111" => + dest <= 55; + src <= 39; + when "00110000" => + dest <= 55; + src <= 56; + when "00110001" => + dest <= 18; + src <= 55; + when "00110010" => + dest <= 12; + src <= 14; + when "00110011" => + dest <= 55; + src <= 70; + when "00110100" => + dest <= 93; + src <= 79; + when "00110101" => + dest <= 53; + src <= 55; + when "00110110" => + dest <= 55; + src <= 55; + when "00110111" => + dest <= 55; + src <= 81; + when "00111000" => + dest <= 98; + src <= 55; + when "00111001" => + dest <= 21; + src <= 57; + when "00111010" => + dest <= 64; + src <= 92; + when "00111011" => + dest <= 7; + src <= 98; + when "00111100" => + dest <= 55; + src <= 17; + when "00111101" => + dest <= 89; + src <= 55; + when "00111110" => + dest <= 55; + src <= 46; + when "00111111" => + dest <= 70; + src <= 55; + when "01000000" => + dest <= 55; + src <= 55; + when "01000001" => + dest <= 51; + src <= 12; + when "01000010" => + dest <= 3; + src <= 89; + when "01000011" => + dest <= 55; + src <= 55; + when "01000100" => + dest <= 63; + src <= 3; + when "01000101" => + dest <= 55; + src <= 55; + when "01000110" => + dest <= 97; + src <= 21; + when "01000111" => + dest <= 40; + src <= 59; + when "01001000" => + dest <= 22; + src <= 30; + when "01001001" => + dest <= 55; + src <= 47; + when "01001010" => + dest <= 55; + src <= 55; + when "01001011" => + dest <= 55; + src <= 7; + when "01001100" => + dest <= 39; + src <= 55; + when "01001101" => + dest <= 6; + src <= 55; + when "01001110" => + dest <= 55; + src <= 13; + when "01001111" => + dest <= 72; + src <= 60; + when "01010000" => + dest <= 55; + src <= 88; + when "01010001" => + dest <= 83; + src <= 55; + when "01010010" => + dest <= 88; + src <= 55; + when "01010011" => + dest <= 28; + src <= 55; + when "01010100" => + dest <= 55; + src <= 71; + when "01010101" => + dest <= 55; + src <= 4; + when "01010110" => + dest <= 17; + src <= 38; + when "01010111" => + dest <= 55; + src <= 55; + when "01011000" => + dest <= 94; + src <= 27; + when "01011001" => + dest <= 56; + src <= 55; + when "01011010" => + dest <= 4; + src <= 22; + when "01011011" => + dest <= 55; + src <= 82; + when "01011100" => + dest <= 79; + src <= 54; + when "01011101" => + dest <= 84; + src <= 55; + when "01011110" => + dest <= 55; + src <= 93; + when "01011111" => + dest <= 13; + src <= 16; + when "01100000" => + dest <= 60; + src <= 55; + when "01100001" => + dest <= 55; + src <= 55; + when "01100010" => + dest <= 55; + src <= 6; + when "01100011" => + dest <= 73; + src <= 26; + when "01100100" => + dest <= 49; + src <= 31; + when "01100101" => + dest <= 32; + src <= 55; + when "01100110" => + dest <= 55; + src <= 55; + when "01100111" => + dest <= 38; + src <= 97; + when "01101000" => + dest <= 74; + src <= 40; + when "01101001" => + dest <= 27; + src <= 37; + when "01101010" => + dest <= 55; + src <= 55; + when "01101011" => + dest <= 62; + src <= 61; + when "01101100" => + dest <= 16; + src <= 78; + when "01101101" => + dest <= 55; + src <= 36; + when "01101110" => + dest <= 87; + src <= 72; + when "01101111" => + dest <= 55; + src <= 55; + when "01110000" => + dest <= 14; + src <= 83; + when "01110001" => + dest <= 78; + src <= 48; + when "01110010" => + dest <= 55; + src <= 55; + when "01110011" => + dest <= 55; + src <= 94; + when "01110100" => + dest <= 23; + src <= 55; + when "01110101" => + dest <= 69; + src <= 23; + when "01110110" => + dest <= 55; + src <= 55; + when "01110111" => + dest <= 5; + src <= 96; + when "01111000" => + dest <= 55; + src <= 32; + when "01111001" => + dest <= 50; + src <= 55; + when "01111010" => + dest <= 55; + src <= 55; + when "01111011" => + dest <= 55; + src <= 87; + when "01111100" => + dest <= 42; + src <= 41; + when "01111101" => + dest <= 48; + src <= 55; + when "01111110" => + dest <= 55; + src <= 5; + when "01111111" => + dest <= 37; + src <= 55; + when "10000000" => + dest <= 55; + src <= 50; + when "10000001" => + dest <= 26; + src <= 55; + when "10000010" => + dest <= 55; + src <= 68; + when "10000011" => + dest <= 86; + src <= 62; + when "10000100" => + dest <= 24; + src <= 55; + when "10000101" => + dest <= 77; + src <= 73; + when "10000110" => + dest <= 55; + src <= 55; + when "10000111" => + dest <= 33; + src <= 84; + when "10001000" => + dest <= 68; + src <= 55; + when "10001001" => + dest <= 15; + src <= 24; + when "10001010" => + dest <= 55; + src <= 86; + when "10001011" => + dest <= 59; + src <= 33; + when "10001100" => + dest <= 55; + src <= 55; + when "10001101" => + dest <= 55; + src <= 77; + when "10001110" => + dest <= 47; + src <= 42; + when "10001111" => + dest <= 36; + src <= 95; + when "10010000" => + dest <= 55; + src <= 55; + when "10010001" => + dest <= 34; + src <= 51; + when "10010010" => + dest <= 76; + src <= 55; + when "10010011" => + dest <= 25; + src <= 63; + when "10010100" => + dest <= 58; + src <= 74; + when "10010101" => + dest <= 55; + src <= 55; + when "10010110" => + dest <= 46; + src <= 76; + when "10010111" => + dest <= 35; + src <= 34; + when "10011000" => + dest <= 57; + src <= 85; + when "10011001" => + dest <= 55; + src <= 52; + when "10011010" => + dest <= 55; + src <= 64; + when "10011011" => + dest <= 0; + src <= 75; + when "10011100" => + dest <= 99; + src <= 53; + when others => + dest <= 55; + src <= 55; + + end case; + end process; + + end generate NI_NUM55; + + NI_NUM56 : if NI_NUM = 56 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 62; + src <= 56; + when "00000001" => + dest <= 76; + src <= 56; + when "00000010" => + dest <= 56; + src <= 56; + when "00000011" => + dest <= 46; + src <= 56; + when "00000100" => + dest <= 11; + src <= 56; + when "00000101" => + dest <= 2; + src <= 36; + when "00000110" => + dest <= 56; + src <= 66; + when "00000111" => + dest <= 92; + src <= 40; + when "00001000" => + dest <= 44; + src <= 56; + when "00001001" => + dest <= 56; + src <= 12; + when "00001010" => + dest <= 56; + src <= 1; + when "00001011" => + dest <= 66; + src <= 56; + when "00001100" => + dest <= 82; + src <= 56; + when "00001101" => + dest <= 91; + src <= 68; + when "00001110" => + dest <= 0; + src <= 46; + when "00001111" => + dest <= 86; + src <= 91; + when "00010000" => + dest <= 56; + src <= 0; + when "00010001" => + dest <= 56; + src <= 10; + when "00010010" => + dest <= 56; + src <= 56; + when "00010011" => + dest <= 42; + src <= 56; + when "00010100" => + dest <= 21; + src <= 26; + when "00010101" => + dest <= 56; + src <= 20; + when "00010110" => + dest <= 96; + src <= 56; + when "00010111" => + dest <= 45; + src <= 56; + when "00011000" => + dest <= 10; + src <= 11; + when "00011001" => + dest <= 3; + src <= 2; + when "00011010" => + dest <= 56; + src <= 60; + when "00011011" => + dest <= 93; + src <= 67; + when "00011100" => + dest <= 53; + src <= 16; + when "00011101" => + dest <= 56; + src <= 56; + when "00011110" => + dest <= 56; + src <= 81; + when "00011111" => + dest <= 83; + src <= 56; + when "00100000" => + dest <= 68; + src <= 56; + when "00100001" => + dest <= 12; + src <= 59; + when "00100010" => + dest <= 9; + src <= 92; + when "00100011" => + dest <= 81; + src <= 9; + when "00100100" => + dest <= 56; + src <= 19; + when "00100101" => + dest <= 67; + src <= 44; + when "00100110" => + dest <= 56; + src <= 56; + when "00100111" => + dest <= 72; + src <= 29; + when "00101000" => + dest <= 56; + src <= 56; + when "00101001" => + dest <= 56; + src <= 45; + when "00101010" => + dest <= 31; + src <= 56; + when "00101011" => + dest <= 97; + src <= 90; + when "00101100" => + dest <= 32; + src <= 3; + when "00101101" => + dest <= 55; + src <= 21; + when "00101110" => + dest <= 20; + src <= 56; + when "00101111" => + dest <= 56; + src <= 30; + when "00110000" => + dest <= 56; + src <= 57; + when "00110001" => + dest <= 19; + src <= 56; + when "00110010" => + dest <= 13; + src <= 15; + when "00110011" => + dest <= 56; + src <= 71; + when "00110100" => + dest <= 94; + src <= 70; + when "00110101" => + dest <= 54; + src <= 56; + when "00110110" => + dest <= 56; + src <= 56; + when "00110111" => + dest <= 56; + src <= 82; + when "00111000" => + dest <= 99; + src <= 56; + when "00111001" => + dest <= 22; + src <= 58; + when "00111010" => + dest <= 65; + src <= 93; + when "00111011" => + dest <= 8; + src <= 99; + when "00111100" => + dest <= 56; + src <= 18; + when "00111101" => + dest <= 80; + src <= 56; + when "00111110" => + dest <= 56; + src <= 47; + when "00111111" => + dest <= 71; + src <= 56; + when "01000000" => + dest <= 56; + src <= 56; + when "01000001" => + dest <= 52; + src <= 13; + when "01000010" => + dest <= 4; + src <= 80; + when "01000011" => + dest <= 56; + src <= 56; + when "01000100" => + dest <= 64; + src <= 4; + when "01000101" => + dest <= 56; + src <= 56; + when "01000110" => + dest <= 98; + src <= 22; + when "01000111" => + dest <= 41; + src <= 50; + when "01001000" => + dest <= 23; + src <= 31; + when "01001001" => + dest <= 56; + src <= 48; + when "01001010" => + dest <= 56; + src <= 56; + when "01001011" => + dest <= 56; + src <= 8; + when "01001100" => + dest <= 30; + src <= 56; + when "01001101" => + dest <= 7; + src <= 56; + when "01001110" => + dest <= 56; + src <= 14; + when "01001111" => + dest <= 73; + src <= 61; + when "01010000" => + dest <= 56; + src <= 89; + when "01010001" => + dest <= 84; + src <= 56; + when "01010010" => + dest <= 89; + src <= 56; + when "01010011" => + dest <= 29; + src <= 56; + when "01010100" => + dest <= 56; + src <= 72; + when "01010101" => + dest <= 56; + src <= 5; + when "01010110" => + dest <= 18; + src <= 39; + when "01010111" => + dest <= 56; + src <= 56; + when "01011000" => + dest <= 95; + src <= 28; + when "01011001" => + dest <= 57; + src <= 56; + when "01011010" => + dest <= 5; + src <= 23; + when "01011011" => + dest <= 56; + src <= 83; + when "01011100" => + dest <= 70; + src <= 55; + when "01011101" => + dest <= 85; + src <= 56; + when "01011110" => + dest <= 56; + src <= 94; + when "01011111" => + dest <= 14; + src <= 17; + when "01100000" => + dest <= 61; + src <= 56; + when "01100001" => + dest <= 56; + src <= 56; + when "01100010" => + dest <= 56; + src <= 7; + when "01100011" => + dest <= 74; + src <= 27; + when "01100100" => + dest <= 40; + src <= 32; + when "01100101" => + dest <= 33; + src <= 56; + when "01100110" => + dest <= 56; + src <= 56; + when "01100111" => + dest <= 39; + src <= 98; + when "01101000" => + dest <= 75; + src <= 41; + when "01101001" => + dest <= 28; + src <= 38; + when "01101010" => + dest <= 56; + src <= 56; + when "01101011" => + dest <= 63; + src <= 62; + when "01101100" => + dest <= 17; + src <= 79; + when "01101101" => + dest <= 56; + src <= 37; + when "01101110" => + dest <= 88; + src <= 73; + when "01101111" => + dest <= 56; + src <= 56; + when "01110000" => + dest <= 15; + src <= 84; + when "01110001" => + dest <= 79; + src <= 49; + when "01110010" => + dest <= 56; + src <= 56; + when "01110011" => + dest <= 56; + src <= 95; + when "01110100" => + dest <= 24; + src <= 56; + when "01110101" => + dest <= 60; + src <= 24; + when "01110110" => + dest <= 56; + src <= 56; + when "01110111" => + dest <= 6; + src <= 97; + when "01111000" => + dest <= 56; + src <= 33; + when "01111001" => + dest <= 51; + src <= 56; + when "01111010" => + dest <= 56; + src <= 56; + when "01111011" => + dest <= 56; + src <= 88; + when "01111100" => + dest <= 43; + src <= 42; + when "01111101" => + dest <= 49; + src <= 56; + when "01111110" => + dest <= 56; + src <= 6; + when "01111111" => + dest <= 38; + src <= 56; + when "10000000" => + dest <= 56; + src <= 51; + when "10000001" => + dest <= 27; + src <= 56; + when "10000010" => + dest <= 56; + src <= 69; + when "10000011" => + dest <= 87; + src <= 63; + when "10000100" => + dest <= 25; + src <= 56; + when "10000101" => + dest <= 78; + src <= 74; + when "10000110" => + dest <= 56; + src <= 56; + when "10000111" => + dest <= 34; + src <= 85; + when "10001000" => + dest <= 69; + src <= 56; + when "10001001" => + dest <= 16; + src <= 25; + when "10001010" => + dest <= 56; + src <= 87; + when "10001011" => + dest <= 50; + src <= 34; + when "10001100" => + dest <= 56; + src <= 56; + when "10001101" => + dest <= 56; + src <= 78; + when "10001110" => + dest <= 48; + src <= 43; + when "10001111" => + dest <= 37; + src <= 96; + when "10010000" => + dest <= 56; + src <= 56; + when "10010001" => + dest <= 35; + src <= 52; + when "10010010" => + dest <= 77; + src <= 56; + when "10010011" => + dest <= 26; + src <= 64; + when "10010100" => + dest <= 59; + src <= 75; + when "10010101" => + dest <= 56; + src <= 56; + when "10010110" => + dest <= 47; + src <= 77; + when "10010111" => + dest <= 36; + src <= 35; + when "10011000" => + dest <= 58; + src <= 86; + when "10011001" => + dest <= 56; + src <= 53; + when "10011010" => + dest <= 56; + src <= 65; + when "10011011" => + dest <= 1; + src <= 76; + when "10011100" => + dest <= 90; + src <= 54; + when others => + dest <= 56; + src <= 56; + + end case; + end process; + + end generate NI_NUM56; + + NI_NUM57 : if NI_NUM = 57 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 63; + src <= 57; + when "00000001" => + dest <= 77; + src <= 57; + when "00000010" => + dest <= 57; + src <= 57; + when "00000011" => + dest <= 47; + src <= 57; + when "00000100" => + dest <= 12; + src <= 57; + when "00000101" => + dest <= 3; + src <= 37; + when "00000110" => + dest <= 57; + src <= 67; + when "00000111" => + dest <= 93; + src <= 41; + when "00001000" => + dest <= 45; + src <= 57; + when "00001001" => + dest <= 57; + src <= 13; + when "00001010" => + dest <= 57; + src <= 2; + when "00001011" => + dest <= 67; + src <= 57; + when "00001100" => + dest <= 83; + src <= 57; + when "00001101" => + dest <= 92; + src <= 69; + when "00001110" => + dest <= 1; + src <= 47; + when "00001111" => + dest <= 87; + src <= 92; + when "00010000" => + dest <= 57; + src <= 1; + when "00010001" => + dest <= 57; + src <= 11; + when "00010010" => + dest <= 57; + src <= 57; + when "00010011" => + dest <= 43; + src <= 57; + when "00010100" => + dest <= 22; + src <= 27; + when "00010101" => + dest <= 57; + src <= 21; + when "00010110" => + dest <= 97; + src <= 57; + when "00010111" => + dest <= 46; + src <= 57; + when "00011000" => + dest <= 11; + src <= 12; + when "00011001" => + dest <= 4; + src <= 3; + when "00011010" => + dest <= 57; + src <= 61; + when "00011011" => + dest <= 94; + src <= 68; + when "00011100" => + dest <= 54; + src <= 17; + when "00011101" => + dest <= 57; + src <= 57; + when "00011110" => + dest <= 57; + src <= 82; + when "00011111" => + dest <= 84; + src <= 57; + when "00100000" => + dest <= 69; + src <= 57; + when "00100001" => + dest <= 13; + src <= 50; + when "00100010" => + dest <= 0; + src <= 93; + when "00100011" => + dest <= 82; + src <= 0; + when "00100100" => + dest <= 57; + src <= 10; + when "00100101" => + dest <= 68; + src <= 45; + when "00100110" => + dest <= 57; + src <= 57; + when "00100111" => + dest <= 73; + src <= 20; + when "00101000" => + dest <= 57; + src <= 57; + when "00101001" => + dest <= 57; + src <= 46; + when "00101010" => + dest <= 32; + src <= 57; + when "00101011" => + dest <= 98; + src <= 91; + when "00101100" => + dest <= 33; + src <= 4; + when "00101101" => + dest <= 56; + src <= 22; + when "00101110" => + dest <= 21; + src <= 57; + when "00101111" => + dest <= 57; + src <= 31; + when "00110000" => + dest <= 57; + src <= 58; + when "00110001" => + dest <= 10; + src <= 57; + when "00110010" => + dest <= 14; + src <= 16; + when "00110011" => + dest <= 57; + src <= 72; + when "00110100" => + dest <= 95; + src <= 71; + when "00110101" => + dest <= 55; + src <= 57; + when "00110110" => + dest <= 57; + src <= 57; + when "00110111" => + dest <= 57; + src <= 83; + when "00111000" => + dest <= 90; + src <= 57; + when "00111001" => + dest <= 23; + src <= 59; + when "00111010" => + dest <= 66; + src <= 94; + when "00111011" => + dest <= 9; + src <= 90; + when "00111100" => + dest <= 57; + src <= 19; + when "00111101" => + dest <= 81; + src <= 57; + when "00111110" => + dest <= 57; + src <= 48; + when "00111111" => + dest <= 72; + src <= 57; + when "01000000" => + dest <= 57; + src <= 57; + when "01000001" => + dest <= 53; + src <= 14; + when "01000010" => + dest <= 5; + src <= 81; + when "01000011" => + dest <= 57; + src <= 57; + when "01000100" => + dest <= 65; + src <= 5; + when "01000101" => + dest <= 57; + src <= 57; + when "01000110" => + dest <= 99; + src <= 23; + when "01000111" => + dest <= 42; + src <= 51; + when "01001000" => + dest <= 24; + src <= 32; + when "01001001" => + dest <= 57; + src <= 49; + when "01001010" => + dest <= 57; + src <= 57; + when "01001011" => + dest <= 57; + src <= 9; + when "01001100" => + dest <= 31; + src <= 57; + when "01001101" => + dest <= 8; + src <= 57; + when "01001110" => + dest <= 57; + src <= 15; + when "01001111" => + dest <= 74; + src <= 62; + when "01010000" => + dest <= 57; + src <= 80; + when "01010001" => + dest <= 85; + src <= 57; + when "01010010" => + dest <= 80; + src <= 57; + when "01010011" => + dest <= 20; + src <= 57; + when "01010100" => + dest <= 57; + src <= 73; + when "01010101" => + dest <= 57; + src <= 6; + when "01010110" => + dest <= 19; + src <= 30; + when "01010111" => + dest <= 57; + src <= 57; + when "01011000" => + dest <= 96; + src <= 29; + when "01011001" => + dest <= 58; + src <= 57; + when "01011010" => + dest <= 6; + src <= 24; + when "01011011" => + dest <= 57; + src <= 84; + when "01011100" => + dest <= 71; + src <= 56; + when "01011101" => + dest <= 86; + src <= 57; + when "01011110" => + dest <= 57; + src <= 95; + when "01011111" => + dest <= 15; + src <= 18; + when "01100000" => + dest <= 62; + src <= 57; + when "01100001" => + dest <= 57; + src <= 57; + when "01100010" => + dest <= 57; + src <= 8; + when "01100011" => + dest <= 75; + src <= 28; + when "01100100" => + dest <= 41; + src <= 33; + when "01100101" => + dest <= 34; + src <= 57; + when "01100110" => + dest <= 57; + src <= 57; + when "01100111" => + dest <= 30; + src <= 99; + when "01101000" => + dest <= 76; + src <= 42; + when "01101001" => + dest <= 29; + src <= 39; + when "01101010" => + dest <= 57; + src <= 57; + when "01101011" => + dest <= 64; + src <= 63; + when "01101100" => + dest <= 18; + src <= 70; + when "01101101" => + dest <= 57; + src <= 38; + when "01101110" => + dest <= 89; + src <= 74; + when "01101111" => + dest <= 57; + src <= 57; + when "01110000" => + dest <= 16; + src <= 85; + when "01110001" => + dest <= 70; + src <= 40; + when "01110010" => + dest <= 57; + src <= 57; + when "01110011" => + dest <= 57; + src <= 96; + when "01110100" => + dest <= 25; + src <= 57; + when "01110101" => + dest <= 61; + src <= 25; + when "01110110" => + dest <= 57; + src <= 57; + when "01110111" => + dest <= 7; + src <= 98; + when "01111000" => + dest <= 57; + src <= 34; + when "01111001" => + dest <= 52; + src <= 57; + when "01111010" => + dest <= 57; + src <= 57; + when "01111011" => + dest <= 57; + src <= 89; + when "01111100" => + dest <= 44; + src <= 43; + when "01111101" => + dest <= 40; + src <= 57; + when "01111110" => + dest <= 57; + src <= 7; + when "01111111" => + dest <= 39; + src <= 57; + when "10000000" => + dest <= 57; + src <= 52; + when "10000001" => + dest <= 28; + src <= 57; + when "10000010" => + dest <= 57; + src <= 60; + when "10000011" => + dest <= 88; + src <= 64; + when "10000100" => + dest <= 26; + src <= 57; + when "10000101" => + dest <= 79; + src <= 75; + when "10000110" => + dest <= 57; + src <= 57; + when "10000111" => + dest <= 35; + src <= 86; + when "10001000" => + dest <= 60; + src <= 57; + when "10001001" => + dest <= 17; + src <= 26; + when "10001010" => + dest <= 57; + src <= 88; + when "10001011" => + dest <= 51; + src <= 35; + when "10001100" => + dest <= 57; + src <= 57; + when "10001101" => + dest <= 57; + src <= 79; + when "10001110" => + dest <= 49; + src <= 44; + when "10001111" => + dest <= 38; + src <= 97; + when "10010000" => + dest <= 57; + src <= 57; + when "10010001" => + dest <= 36; + src <= 53; + when "10010010" => + dest <= 78; + src <= 57; + when "10010011" => + dest <= 27; + src <= 65; + when "10010100" => + dest <= 50; + src <= 76; + when "10010101" => + dest <= 57; + src <= 57; + when "10010110" => + dest <= 48; + src <= 78; + when "10010111" => + dest <= 37; + src <= 36; + when "10011000" => + dest <= 59; + src <= 87; + when "10011001" => + dest <= 57; + src <= 54; + when "10011010" => + dest <= 57; + src <= 66; + when "10011011" => + dest <= 2; + src <= 77; + when "10011100" => + dest <= 91; + src <= 55; + when others => + dest <= 57; + src <= 57; + + end case; + end process; + + end generate NI_NUM57; + + NI_NUM58 : if NI_NUM = 58 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 64; + src <= 58; + when "00000001" => + dest <= 78; + src <= 58; + when "00000010" => + dest <= 58; + src <= 58; + when "00000011" => + dest <= 48; + src <= 58; + when "00000100" => + dest <= 13; + src <= 58; + when "00000101" => + dest <= 4; + src <= 38; + when "00000110" => + dest <= 58; + src <= 68; + when "00000111" => + dest <= 94; + src <= 42; + when "00001000" => + dest <= 46; + src <= 58; + when "00001001" => + dest <= 58; + src <= 14; + when "00001010" => + dest <= 58; + src <= 3; + when "00001011" => + dest <= 68; + src <= 58; + when "00001100" => + dest <= 84; + src <= 58; + when "00001101" => + dest <= 93; + src <= 60; + when "00001110" => + dest <= 2; + src <= 48; + when "00001111" => + dest <= 88; + src <= 93; + when "00010000" => + dest <= 58; + src <= 2; + when "00010001" => + dest <= 58; + src <= 12; + when "00010010" => + dest <= 58; + src <= 58; + when "00010011" => + dest <= 44; + src <= 58; + when "00010100" => + dest <= 23; + src <= 28; + when "00010101" => + dest <= 58; + src <= 22; + when "00010110" => + dest <= 98; + src <= 58; + when "00010111" => + dest <= 47; + src <= 58; + when "00011000" => + dest <= 12; + src <= 13; + when "00011001" => + dest <= 5; + src <= 4; + when "00011010" => + dest <= 58; + src <= 62; + when "00011011" => + dest <= 95; + src <= 69; + when "00011100" => + dest <= 55; + src <= 18; + when "00011101" => + dest <= 58; + src <= 58; + when "00011110" => + dest <= 58; + src <= 83; + when "00011111" => + dest <= 85; + src <= 58; + when "00100000" => + dest <= 60; + src <= 58; + when "00100001" => + dest <= 14; + src <= 51; + when "00100010" => + dest <= 1; + src <= 94; + when "00100011" => + dest <= 83; + src <= 1; + when "00100100" => + dest <= 58; + src <= 11; + when "00100101" => + dest <= 69; + src <= 46; + when "00100110" => + dest <= 58; + src <= 58; + when "00100111" => + dest <= 74; + src <= 21; + when "00101000" => + dest <= 58; + src <= 58; + when "00101001" => + dest <= 58; + src <= 47; + when "00101010" => + dest <= 33; + src <= 58; + when "00101011" => + dest <= 99; + src <= 92; + when "00101100" => + dest <= 34; + src <= 5; + when "00101101" => + dest <= 57; + src <= 23; + when "00101110" => + dest <= 22; + src <= 58; + when "00101111" => + dest <= 58; + src <= 32; + when "00110000" => + dest <= 58; + src <= 59; + when "00110001" => + dest <= 11; + src <= 58; + when "00110010" => + dest <= 15; + src <= 17; + when "00110011" => + dest <= 58; + src <= 73; + when "00110100" => + dest <= 96; + src <= 72; + when "00110101" => + dest <= 56; + src <= 58; + when "00110110" => + dest <= 58; + src <= 58; + when "00110111" => + dest <= 58; + src <= 84; + when "00111000" => + dest <= 91; + src <= 58; + when "00111001" => + dest <= 24; + src <= 50; + when "00111010" => + dest <= 67; + src <= 95; + when "00111011" => + dest <= 0; + src <= 91; + when "00111100" => + dest <= 58; + src <= 10; + when "00111101" => + dest <= 82; + src <= 58; + when "00111110" => + dest <= 58; + src <= 49; + when "00111111" => + dest <= 73; + src <= 58; + when "01000000" => + dest <= 58; + src <= 58; + when "01000001" => + dest <= 54; + src <= 15; + when "01000010" => + dest <= 6; + src <= 82; + when "01000011" => + dest <= 58; + src <= 58; + when "01000100" => + dest <= 66; + src <= 6; + when "01000101" => + dest <= 58; + src <= 58; + when "01000110" => + dest <= 90; + src <= 24; + when "01000111" => + dest <= 43; + src <= 52; + when "01001000" => + dest <= 25; + src <= 33; + when "01001001" => + dest <= 58; + src <= 40; + when "01001010" => + dest <= 58; + src <= 58; + when "01001011" => + dest <= 58; + src <= 0; + when "01001100" => + dest <= 32; + src <= 58; + when "01001101" => + dest <= 9; + src <= 58; + when "01001110" => + dest <= 58; + src <= 16; + when "01001111" => + dest <= 75; + src <= 63; + when "01010000" => + dest <= 58; + src <= 81; + when "01010001" => + dest <= 86; + src <= 58; + when "01010010" => + dest <= 81; + src <= 58; + when "01010011" => + dest <= 21; + src <= 58; + when "01010100" => + dest <= 58; + src <= 74; + when "01010101" => + dest <= 58; + src <= 7; + when "01010110" => + dest <= 10; + src <= 31; + when "01010111" => + dest <= 58; + src <= 58; + when "01011000" => + dest <= 97; + src <= 20; + when "01011001" => + dest <= 59; + src <= 58; + when "01011010" => + dest <= 7; + src <= 25; + when "01011011" => + dest <= 58; + src <= 85; + when "01011100" => + dest <= 72; + src <= 57; + when "01011101" => + dest <= 87; + src <= 58; + when "01011110" => + dest <= 58; + src <= 96; + when "01011111" => + dest <= 16; + src <= 19; + when "01100000" => + dest <= 63; + src <= 58; + when "01100001" => + dest <= 58; + src <= 58; + when "01100010" => + dest <= 58; + src <= 9; + when "01100011" => + dest <= 76; + src <= 29; + when "01100100" => + dest <= 42; + src <= 34; + when "01100101" => + dest <= 35; + src <= 58; + when "01100110" => + dest <= 58; + src <= 58; + when "01100111" => + dest <= 31; + src <= 90; + when "01101000" => + dest <= 77; + src <= 43; + when "01101001" => + dest <= 20; + src <= 30; + when "01101010" => + dest <= 58; + src <= 58; + when "01101011" => + dest <= 65; + src <= 64; + when "01101100" => + dest <= 19; + src <= 71; + when "01101101" => + dest <= 58; + src <= 39; + when "01101110" => + dest <= 80; + src <= 75; + when "01101111" => + dest <= 58; + src <= 58; + when "01110000" => + dest <= 17; + src <= 86; + when "01110001" => + dest <= 71; + src <= 41; + when "01110010" => + dest <= 58; + src <= 58; + when "01110011" => + dest <= 58; + src <= 97; + when "01110100" => + dest <= 26; + src <= 58; + when "01110101" => + dest <= 62; + src <= 26; + when "01110110" => + dest <= 58; + src <= 58; + when "01110111" => + dest <= 8; + src <= 99; + when "01111000" => + dest <= 58; + src <= 35; + when "01111001" => + dest <= 53; + src <= 58; + when "01111010" => + dest <= 58; + src <= 58; + when "01111011" => + dest <= 58; + src <= 80; + when "01111100" => + dest <= 45; + src <= 44; + when "01111101" => + dest <= 41; + src <= 58; + when "01111110" => + dest <= 58; + src <= 8; + when "01111111" => + dest <= 30; + src <= 58; + when "10000000" => + dest <= 58; + src <= 53; + when "10000001" => + dest <= 29; + src <= 58; + when "10000010" => + dest <= 58; + src <= 61; + when "10000011" => + dest <= 89; + src <= 65; + when "10000100" => + dest <= 27; + src <= 58; + when "10000101" => + dest <= 70; + src <= 76; + when "10000110" => + dest <= 58; + src <= 58; + when "10000111" => + dest <= 36; + src <= 87; + when "10001000" => + dest <= 61; + src <= 58; + when "10001001" => + dest <= 18; + src <= 27; + when "10001010" => + dest <= 58; + src <= 89; + when "10001011" => + dest <= 52; + src <= 36; + when "10001100" => + dest <= 58; + src <= 58; + when "10001101" => + dest <= 58; + src <= 70; + when "10001110" => + dest <= 40; + src <= 45; + when "10001111" => + dest <= 39; + src <= 98; + when "10010000" => + dest <= 58; + src <= 58; + when "10010001" => + dest <= 37; + src <= 54; + when "10010010" => + dest <= 79; + src <= 58; + when "10010011" => + dest <= 28; + src <= 66; + when "10010100" => + dest <= 51; + src <= 77; + when "10010101" => + dest <= 58; + src <= 58; + when "10010110" => + dest <= 49; + src <= 79; + when "10010111" => + dest <= 38; + src <= 37; + when "10011000" => + dest <= 50; + src <= 88; + when "10011001" => + dest <= 58; + src <= 55; + when "10011010" => + dest <= 58; + src <= 67; + when "10011011" => + dest <= 3; + src <= 78; + when "10011100" => + dest <= 92; + src <= 56; + when others => + dest <= 58; + src <= 58; + + end case; + end process; + + end generate NI_NUM58; + + NI_NUM59 : if NI_NUM = 59 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 65; + src <= 59; + when "00000001" => + dest <= 79; + src <= 59; + when "00000010" => + dest <= 59; + src <= 59; + when "00000011" => + dest <= 49; + src <= 59; + when "00000100" => + dest <= 14; + src <= 59; + when "00000101" => + dest <= 5; + src <= 39; + when "00000110" => + dest <= 59; + src <= 69; + when "00000111" => + dest <= 95; + src <= 43; + when "00001000" => + dest <= 47; + src <= 59; + when "00001001" => + dest <= 59; + src <= 15; + when "00001010" => + dest <= 59; + src <= 4; + when "00001011" => + dest <= 69; + src <= 59; + when "00001100" => + dest <= 85; + src <= 59; + when "00001101" => + dest <= 94; + src <= 61; + when "00001110" => + dest <= 3; + src <= 49; + when "00001111" => + dest <= 89; + src <= 94; + when "00010000" => + dest <= 59; + src <= 3; + when "00010001" => + dest <= 59; + src <= 13; + when "00010010" => + dest <= 59; + src <= 59; + when "00010011" => + dest <= 45; + src <= 59; + when "00010100" => + dest <= 24; + src <= 29; + when "00010101" => + dest <= 59; + src <= 23; + when "00010110" => + dest <= 99; + src <= 59; + when "00010111" => + dest <= 48; + src <= 59; + when "00011000" => + dest <= 13; + src <= 14; + when "00011001" => + dest <= 6; + src <= 5; + when "00011010" => + dest <= 59; + src <= 63; + when "00011011" => + dest <= 96; + src <= 60; + when "00011100" => + dest <= 56; + src <= 19; + when "00011101" => + dest <= 59; + src <= 59; + when "00011110" => + dest <= 59; + src <= 84; + when "00011111" => + dest <= 86; + src <= 59; + when "00100000" => + dest <= 61; + src <= 59; + when "00100001" => + dest <= 15; + src <= 52; + when "00100010" => + dest <= 2; + src <= 95; + when "00100011" => + dest <= 84; + src <= 2; + when "00100100" => + dest <= 59; + src <= 12; + when "00100101" => + dest <= 60; + src <= 47; + when "00100110" => + dest <= 59; + src <= 59; + when "00100111" => + dest <= 75; + src <= 22; + when "00101000" => + dest <= 59; + src <= 59; + when "00101001" => + dest <= 59; + src <= 48; + when "00101010" => + dest <= 34; + src <= 59; + when "00101011" => + dest <= 90; + src <= 93; + when "00101100" => + dest <= 35; + src <= 6; + when "00101101" => + dest <= 58; + src <= 24; + when "00101110" => + dest <= 23; + src <= 59; + when "00101111" => + dest <= 59; + src <= 33; + when "00110000" => + dest <= 59; + src <= 50; + when "00110001" => + dest <= 12; + src <= 59; + when "00110010" => + dest <= 16; + src <= 18; + when "00110011" => + dest <= 59; + src <= 74; + when "00110100" => + dest <= 97; + src <= 73; + when "00110101" => + dest <= 57; + src <= 59; + when "00110110" => + dest <= 59; + src <= 59; + when "00110111" => + dest <= 59; + src <= 85; + when "00111000" => + dest <= 92; + src <= 59; + when "00111001" => + dest <= 25; + src <= 51; + when "00111010" => + dest <= 68; + src <= 96; + when "00111011" => + dest <= 1; + src <= 92; + when "00111100" => + dest <= 59; + src <= 11; + when "00111101" => + dest <= 83; + src <= 59; + when "00111110" => + dest <= 59; + src <= 40; + when "00111111" => + dest <= 74; + src <= 59; + when "01000000" => + dest <= 59; + src <= 59; + when "01000001" => + dest <= 55; + src <= 16; + when "01000010" => + dest <= 7; + src <= 83; + when "01000011" => + dest <= 59; + src <= 59; + when "01000100" => + dest <= 67; + src <= 7; + when "01000101" => + dest <= 59; + src <= 59; + when "01000110" => + dest <= 91; + src <= 25; + when "01000111" => + dest <= 44; + src <= 53; + when "01001000" => + dest <= 26; + src <= 34; + when "01001001" => + dest <= 59; + src <= 41; + when "01001010" => + dest <= 59; + src <= 59; + when "01001011" => + dest <= 59; + src <= 1; + when "01001100" => + dest <= 33; + src <= 59; + when "01001101" => + dest <= 0; + src <= 59; + when "01001110" => + dest <= 59; + src <= 17; + when "01001111" => + dest <= 76; + src <= 64; + when "01010000" => + dest <= 59; + src <= 82; + when "01010001" => + dest <= 87; + src <= 59; + when "01010010" => + dest <= 82; + src <= 59; + when "01010011" => + dest <= 22; + src <= 59; + when "01010100" => + dest <= 59; + src <= 75; + when "01010101" => + dest <= 59; + src <= 8; + when "01010110" => + dest <= 11; + src <= 32; + when "01010111" => + dest <= 59; + src <= 59; + when "01011000" => + dest <= 98; + src <= 21; + when "01011001" => + dest <= 50; + src <= 59; + when "01011010" => + dest <= 8; + src <= 26; + when "01011011" => + dest <= 59; + src <= 86; + when "01011100" => + dest <= 73; + src <= 58; + when "01011101" => + dest <= 88; + src <= 59; + when "01011110" => + dest <= 59; + src <= 97; + when "01011111" => + dest <= 17; + src <= 10; + when "01100000" => + dest <= 64; + src <= 59; + when "01100001" => + dest <= 59; + src <= 59; + when "01100010" => + dest <= 59; + src <= 0; + when "01100011" => + dest <= 77; + src <= 20; + when "01100100" => + dest <= 43; + src <= 35; + when "01100101" => + dest <= 36; + src <= 59; + when "01100110" => + dest <= 59; + src <= 59; + when "01100111" => + dest <= 32; + src <= 91; + when "01101000" => + dest <= 78; + src <= 44; + when "01101001" => + dest <= 21; + src <= 31; + when "01101010" => + dest <= 59; + src <= 59; + when "01101011" => + dest <= 66; + src <= 65; + when "01101100" => + dest <= 10; + src <= 72; + when "01101101" => + dest <= 59; + src <= 30; + when "01101110" => + dest <= 81; + src <= 76; + when "01101111" => + dest <= 59; + src <= 59; + when "01110000" => + dest <= 18; + src <= 87; + when "01110001" => + dest <= 72; + src <= 42; + when "01110010" => + dest <= 59; + src <= 59; + when "01110011" => + dest <= 59; + src <= 98; + when "01110100" => + dest <= 27; + src <= 59; + when "01110101" => + dest <= 63; + src <= 27; + when "01110110" => + dest <= 59; + src <= 59; + when "01110111" => + dest <= 9; + src <= 90; + when "01111000" => + dest <= 59; + src <= 36; + when "01111001" => + dest <= 54; + src <= 59; + when "01111010" => + dest <= 59; + src <= 59; + when "01111011" => + dest <= 59; + src <= 81; + when "01111100" => + dest <= 46; + src <= 45; + when "01111101" => + dest <= 42; + src <= 59; + when "01111110" => + dest <= 59; + src <= 9; + when "01111111" => + dest <= 31; + src <= 59; + when "10000000" => + dest <= 59; + src <= 54; + when "10000001" => + dest <= 20; + src <= 59; + when "10000010" => + dest <= 59; + src <= 62; + when "10000011" => + dest <= 80; + src <= 66; + when "10000100" => + dest <= 28; + src <= 59; + when "10000101" => + dest <= 71; + src <= 77; + when "10000110" => + dest <= 59; + src <= 59; + when "10000111" => + dest <= 37; + src <= 88; + when "10001000" => + dest <= 62; + src <= 59; + when "10001001" => + dest <= 19; + src <= 28; + when "10001010" => + dest <= 59; + src <= 80; + when "10001011" => + dest <= 53; + src <= 37; + when "10001100" => + dest <= 59; + src <= 59; + when "10001101" => + dest <= 59; + src <= 71; + when "10001110" => + dest <= 41; + src <= 46; + when "10001111" => + dest <= 30; + src <= 99; + when "10010000" => + dest <= 59; + src <= 59; + when "10010001" => + dest <= 38; + src <= 55; + when "10010010" => + dest <= 70; + src <= 59; + when "10010011" => + dest <= 29; + src <= 67; + when "10010100" => + dest <= 52; + src <= 78; + when "10010101" => + dest <= 59; + src <= 59; + when "10010110" => + dest <= 40; + src <= 70; + when "10010111" => + dest <= 39; + src <= 38; + when "10011000" => + dest <= 51; + src <= 89; + when "10011001" => + dest <= 59; + src <= 56; + when "10011010" => + dest <= 59; + src <= 68; + when "10011011" => + dest <= 4; + src <= 79; + when "10011100" => + dest <= 93; + src <= 57; + when others => + dest <= 59; + src <= 59; + + end case; + end process; + + end generate NI_NUM59; + + NI_NUM60 : if NI_NUM = 60 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 76; + src <= 60; + when "00000001" => + dest <= 80; + src <= 60; + when "00000010" => + dest <= 60; + src <= 60; + when "00000011" => + dest <= 50; + src <= 60; + when "00000100" => + dest <= 25; + src <= 60; + when "00000101" => + dest <= 16; + src <= 40; + when "00000110" => + dest <= 60; + src <= 70; + when "00000111" => + dest <= 6; + src <= 54; + when "00001000" => + dest <= 58; + src <= 60; + when "00001001" => + dest <= 60; + src <= 26; + when "00001010" => + dest <= 60; + src <= 15; + when "00001011" => + dest <= 70; + src <= 60; + when "00001100" => + dest <= 96; + src <= 60; + when "00001101" => + dest <= 5; + src <= 72; + when "00001110" => + dest <= 14; + src <= 50; + when "00001111" => + dest <= 90; + src <= 5; + when "00010000" => + dest <= 60; + src <= 14; + when "00010001" => + dest <= 60; + src <= 24; + when "00010010" => + dest <= 60; + src <= 60; + when "00010011" => + dest <= 56; + src <= 60; + when "00010100" => + dest <= 35; + src <= 30; + when "00010101" => + dest <= 60; + src <= 34; + when "00010110" => + dest <= 0; + src <= 60; + when "00010111" => + dest <= 59; + src <= 60; + when "00011000" => + dest <= 24; + src <= 25; + when "00011001" => + dest <= 17; + src <= 16; + when "00011010" => + dest <= 60; + src <= 74; + when "00011011" => + dest <= 7; + src <= 71; + when "00011100" => + dest <= 67; + src <= 20; + when "00011101" => + dest <= 60; + src <= 60; + when "00011110" => + dest <= 60; + src <= 95; + when "00011111" => + dest <= 97; + src <= 60; + when "00100000" => + dest <= 72; + src <= 60; + when "00100001" => + dest <= 26; + src <= 63; + when "00100010" => + dest <= 13; + src <= 6; + when "00100011" => + dest <= 95; + src <= 13; + when "00100100" => + dest <= 60; + src <= 23; + when "00100101" => + dest <= 71; + src <= 58; + when "00100110" => + dest <= 60; + src <= 60; + when "00100111" => + dest <= 86; + src <= 33; + when "00101000" => + dest <= 60; + src <= 60; + when "00101001" => + dest <= 60; + src <= 59; + when "00101010" => + dest <= 45; + src <= 60; + when "00101011" => + dest <= 1; + src <= 4; + when "00101100" => + dest <= 46; + src <= 17; + when "00101101" => + dest <= 69; + src <= 35; + when "00101110" => + dest <= 34; + src <= 60; + when "00101111" => + dest <= 60; + src <= 44; + when "00110000" => + dest <= 60; + src <= 61; + when "00110001" => + dest <= 23; + src <= 60; + when "00110010" => + dest <= 27; + src <= 29; + when "00110011" => + dest <= 60; + src <= 85; + when "00110100" => + dest <= 8; + src <= 84; + when "00110101" => + dest <= 68; + src <= 60; + when "00110110" => + dest <= 60; + src <= 60; + when "00110111" => + dest <= 60; + src <= 96; + when "00111000" => + dest <= 3; + src <= 60; + when "00111001" => + dest <= 36; + src <= 62; + when "00111010" => + dest <= 79; + src <= 7; + when "00111011" => + dest <= 12; + src <= 3; + when "00111100" => + dest <= 60; + src <= 22; + when "00111101" => + dest <= 94; + src <= 60; + when "00111110" => + dest <= 60; + src <= 51; + when "00111111" => + dest <= 85; + src <= 60; + when "01000000" => + dest <= 60; + src <= 60; + when "01000001" => + dest <= 66; + src <= 27; + when "01000010" => + dest <= 18; + src <= 94; + when "01000011" => + dest <= 60; + src <= 60; + when "01000100" => + dest <= 78; + src <= 18; + when "01000101" => + dest <= 60; + src <= 60; + when "01000110" => + dest <= 2; + src <= 36; + when "01000111" => + dest <= 55; + src <= 64; + when "01001000" => + dest <= 37; + src <= 45; + when "01001001" => + dest <= 60; + src <= 52; + when "01001010" => + dest <= 60; + src <= 60; + when "01001011" => + dest <= 60; + src <= 12; + when "01001100" => + dest <= 44; + src <= 60; + when "01001101" => + dest <= 11; + src <= 60; + when "01001110" => + dest <= 60; + src <= 28; + when "01001111" => + dest <= 87; + src <= 75; + when "01010000" => + dest <= 60; + src <= 93; + when "01010001" => + dest <= 98; + src <= 60; + when "01010010" => + dest <= 93; + src <= 60; + when "01010011" => + dest <= 33; + src <= 60; + when "01010100" => + dest <= 60; + src <= 86; + when "01010101" => + dest <= 60; + src <= 19; + when "01010110" => + dest <= 22; + src <= 43; + when "01010111" => + dest <= 60; + src <= 60; + when "01011000" => + dest <= 9; + src <= 32; + when "01011001" => + dest <= 61; + src <= 60; + when "01011010" => + dest <= 19; + src <= 37; + when "01011011" => + dest <= 60; + src <= 97; + when "01011100" => + dest <= 84; + src <= 69; + when "01011101" => + dest <= 99; + src <= 60; + when "01011110" => + dest <= 60; + src <= 8; + when "01011111" => + dest <= 28; + src <= 21; + when "01100000" => + dest <= 75; + src <= 60; + when "01100001" => + dest <= 60; + src <= 60; + when "01100010" => + dest <= 60; + src <= 11; + when "01100011" => + dest <= 88; + src <= 31; + when "01100100" => + dest <= 54; + src <= 46; + when "01100101" => + dest <= 47; + src <= 60; + when "01100110" => + dest <= 60; + src <= 60; + when "01100111" => + dest <= 43; + src <= 2; + when "01101000" => + dest <= 89; + src <= 55; + when "01101001" => + dest <= 32; + src <= 42; + when "01101010" => + dest <= 60; + src <= 60; + when "01101011" => + dest <= 77; + src <= 76; + when "01101100" => + dest <= 21; + src <= 83; + when "01101101" => + dest <= 60; + src <= 41; + when "01101110" => + dest <= 92; + src <= 87; + when "01101111" => + dest <= 60; + src <= 60; + when "01110000" => + dest <= 29; + src <= 98; + when "01110001" => + dest <= 83; + src <= 53; + when "01110010" => + dest <= 60; + src <= 60; + when "01110011" => + dest <= 60; + src <= 9; + when "01110100" => + dest <= 38; + src <= 60; + when "01110101" => + dest <= 74; + src <= 38; + when "01110110" => + dest <= 60; + src <= 60; + when "01110111" => + dest <= 10; + src <= 1; + when "01111000" => + dest <= 60; + src <= 47; + when "01111001" => + dest <= 65; + src <= 60; + when "01111010" => + dest <= 60; + src <= 60; + when "01111011" => + dest <= 60; + src <= 92; + when "01111100" => + dest <= 57; + src <= 56; + when "01111101" => + dest <= 53; + src <= 60; + when "01111110" => + dest <= 60; + src <= 10; + when "01111111" => + dest <= 42; + src <= 60; + when "10000000" => + dest <= 60; + src <= 65; + when "10000001" => + dest <= 31; + src <= 60; + when "10000010" => + dest <= 60; + src <= 73; + when "10000011" => + dest <= 91; + src <= 77; + when "10000100" => + dest <= 39; + src <= 60; + when "10000101" => + dest <= 82; + src <= 88; + when "10000110" => + dest <= 60; + src <= 60; + when "10000111" => + dest <= 48; + src <= 99; + when "10001000" => + dest <= 73; + src <= 60; + when "10001001" => + dest <= 20; + src <= 39; + when "10001010" => + dest <= 60; + src <= 91; + when "10001011" => + dest <= 64; + src <= 48; + when "10001100" => + dest <= 60; + src <= 60; + when "10001101" => + dest <= 60; + src <= 82; + when "10001110" => + dest <= 52; + src <= 57; + when "10001111" => + dest <= 41; + src <= 0; + when "10010000" => + dest <= 60; + src <= 60; + when "10010001" => + dest <= 49; + src <= 66; + when "10010010" => + dest <= 81; + src <= 60; + when "10010011" => + dest <= 30; + src <= 78; + when "10010100" => + dest <= 63; + src <= 89; + when "10010101" => + dest <= 60; + src <= 60; + when "10010110" => + dest <= 51; + src <= 81; + when "10010111" => + dest <= 40; + src <= 49; + when "10011000" => + dest <= 62; + src <= 90; + when "10011001" => + dest <= 60; + src <= 67; + when "10011010" => + dest <= 60; + src <= 79; + when "10011011" => + dest <= 15; + src <= 80; + when "10011100" => + dest <= 4; + src <= 68; + when others => + dest <= 60; + src <= 60; + + end case; + end process; + + end generate NI_NUM60; + + NI_NUM61 : if NI_NUM = 61 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 77; + src <= 61; + when "00000001" => + dest <= 81; + src <= 61; + when "00000010" => + dest <= 61; + src <= 61; + when "00000011" => + dest <= 51; + src <= 61; + when "00000100" => + dest <= 26; + src <= 61; + when "00000101" => + dest <= 17; + src <= 41; + when "00000110" => + dest <= 61; + src <= 71; + when "00000111" => + dest <= 7; + src <= 55; + when "00001000" => + dest <= 59; + src <= 61; + when "00001001" => + dest <= 61; + src <= 27; + when "00001010" => + dest <= 61; + src <= 16; + when "00001011" => + dest <= 71; + src <= 61; + when "00001100" => + dest <= 97; + src <= 61; + when "00001101" => + dest <= 6; + src <= 73; + when "00001110" => + dest <= 15; + src <= 51; + when "00001111" => + dest <= 91; + src <= 6; + when "00010000" => + dest <= 61; + src <= 15; + when "00010001" => + dest <= 61; + src <= 25; + when "00010010" => + dest <= 61; + src <= 61; + when "00010011" => + dest <= 57; + src <= 61; + when "00010100" => + dest <= 36; + src <= 31; + when "00010101" => + dest <= 61; + src <= 35; + when "00010110" => + dest <= 1; + src <= 61; + when "00010111" => + dest <= 50; + src <= 61; + when "00011000" => + dest <= 25; + src <= 26; + when "00011001" => + dest <= 18; + src <= 17; + when "00011010" => + dest <= 61; + src <= 75; + when "00011011" => + dest <= 8; + src <= 72; + when "00011100" => + dest <= 68; + src <= 21; + when "00011101" => + dest <= 61; + src <= 61; + when "00011110" => + dest <= 61; + src <= 96; + when "00011111" => + dest <= 98; + src <= 61; + when "00100000" => + dest <= 73; + src <= 61; + when "00100001" => + dest <= 27; + src <= 64; + when "00100010" => + dest <= 14; + src <= 7; + when "00100011" => + dest <= 96; + src <= 14; + when "00100100" => + dest <= 61; + src <= 24; + when "00100101" => + dest <= 72; + src <= 59; + when "00100110" => + dest <= 61; + src <= 61; + when "00100111" => + dest <= 87; + src <= 34; + when "00101000" => + dest <= 61; + src <= 61; + when "00101001" => + dest <= 61; + src <= 50; + when "00101010" => + dest <= 46; + src <= 61; + when "00101011" => + dest <= 2; + src <= 5; + when "00101100" => + dest <= 47; + src <= 18; + when "00101101" => + dest <= 60; + src <= 36; + when "00101110" => + dest <= 35; + src <= 61; + when "00101111" => + dest <= 61; + src <= 45; + when "00110000" => + dest <= 61; + src <= 62; + when "00110001" => + dest <= 24; + src <= 61; + when "00110010" => + dest <= 28; + src <= 20; + when "00110011" => + dest <= 61; + src <= 86; + when "00110100" => + dest <= 9; + src <= 85; + when "00110101" => + dest <= 69; + src <= 61; + when "00110110" => + dest <= 61; + src <= 61; + when "00110111" => + dest <= 61; + src <= 97; + when "00111000" => + dest <= 4; + src <= 61; + when "00111001" => + dest <= 37; + src <= 63; + when "00111010" => + dest <= 70; + src <= 8; + when "00111011" => + dest <= 13; + src <= 4; + when "00111100" => + dest <= 61; + src <= 23; + when "00111101" => + dest <= 95; + src <= 61; + when "00111110" => + dest <= 61; + src <= 52; + when "00111111" => + dest <= 86; + src <= 61; + when "01000000" => + dest <= 61; + src <= 61; + when "01000001" => + dest <= 67; + src <= 28; + when "01000010" => + dest <= 19; + src <= 95; + when "01000011" => + dest <= 61; + src <= 61; + when "01000100" => + dest <= 79; + src <= 19; + when "01000101" => + dest <= 61; + src <= 61; + when "01000110" => + dest <= 3; + src <= 37; + when "01000111" => + dest <= 56; + src <= 65; + when "01001000" => + dest <= 38; + src <= 46; + when "01001001" => + dest <= 61; + src <= 53; + when "01001010" => + dest <= 61; + src <= 61; + when "01001011" => + dest <= 61; + src <= 13; + when "01001100" => + dest <= 45; + src <= 61; + when "01001101" => + dest <= 12; + src <= 61; + when "01001110" => + dest <= 61; + src <= 29; + when "01001111" => + dest <= 88; + src <= 76; + when "01010000" => + dest <= 61; + src <= 94; + when "01010001" => + dest <= 99; + src <= 61; + when "01010010" => + dest <= 94; + src <= 61; + when "01010011" => + dest <= 34; + src <= 61; + when "01010100" => + dest <= 61; + src <= 87; + when "01010101" => + dest <= 61; + src <= 10; + when "01010110" => + dest <= 23; + src <= 44; + when "01010111" => + dest <= 61; + src <= 61; + when "01011000" => + dest <= 0; + src <= 33; + when "01011001" => + dest <= 62; + src <= 61; + when "01011010" => + dest <= 10; + src <= 38; + when "01011011" => + dest <= 61; + src <= 98; + when "01011100" => + dest <= 85; + src <= 60; + when "01011101" => + dest <= 90; + src <= 61; + when "01011110" => + dest <= 61; + src <= 9; + when "01011111" => + dest <= 29; + src <= 22; + when "01100000" => + dest <= 76; + src <= 61; + when "01100001" => + dest <= 61; + src <= 61; + when "01100010" => + dest <= 61; + src <= 12; + when "01100011" => + dest <= 89; + src <= 32; + when "01100100" => + dest <= 55; + src <= 47; + when "01100101" => + dest <= 48; + src <= 61; + when "01100110" => + dest <= 61; + src <= 61; + when "01100111" => + dest <= 44; + src <= 3; + when "01101000" => + dest <= 80; + src <= 56; + when "01101001" => + dest <= 33; + src <= 43; + when "01101010" => + dest <= 61; + src <= 61; + when "01101011" => + dest <= 78; + src <= 77; + when "01101100" => + dest <= 22; + src <= 84; + when "01101101" => + dest <= 61; + src <= 42; + when "01101110" => + dest <= 93; + src <= 88; + when "01101111" => + dest <= 61; + src <= 61; + when "01110000" => + dest <= 20; + src <= 99; + when "01110001" => + dest <= 84; + src <= 54; + when "01110010" => + dest <= 61; + src <= 61; + when "01110011" => + dest <= 61; + src <= 0; + when "01110100" => + dest <= 39; + src <= 61; + when "01110101" => + dest <= 75; + src <= 39; + when "01110110" => + dest <= 61; + src <= 61; + when "01110111" => + dest <= 11; + src <= 2; + when "01111000" => + dest <= 61; + src <= 48; + when "01111001" => + dest <= 66; + src <= 61; + when "01111010" => + dest <= 61; + src <= 61; + when "01111011" => + dest <= 61; + src <= 93; + when "01111100" => + dest <= 58; + src <= 57; + when "01111101" => + dest <= 54; + src <= 61; + when "01111110" => + dest <= 61; + src <= 11; + when "01111111" => + dest <= 43; + src <= 61; + when "10000000" => + dest <= 61; + src <= 66; + when "10000001" => + dest <= 32; + src <= 61; + when "10000010" => + dest <= 61; + src <= 74; + when "10000011" => + dest <= 92; + src <= 78; + when "10000100" => + dest <= 30; + src <= 61; + when "10000101" => + dest <= 83; + src <= 89; + when "10000110" => + dest <= 61; + src <= 61; + when "10000111" => + dest <= 49; + src <= 90; + when "10001000" => + dest <= 74; + src <= 61; + when "10001001" => + dest <= 21; + src <= 30; + when "10001010" => + dest <= 61; + src <= 92; + when "10001011" => + dest <= 65; + src <= 49; + when "10001100" => + dest <= 61; + src <= 61; + when "10001101" => + dest <= 61; + src <= 83; + when "10001110" => + dest <= 53; + src <= 58; + when "10001111" => + dest <= 42; + src <= 1; + when "10010000" => + dest <= 61; + src <= 61; + when "10010001" => + dest <= 40; + src <= 67; + when "10010010" => + dest <= 82; + src <= 61; + when "10010011" => + dest <= 31; + src <= 79; + when "10010100" => + dest <= 64; + src <= 80; + when "10010101" => + dest <= 61; + src <= 61; + when "10010110" => + dest <= 52; + src <= 82; + when "10010111" => + dest <= 41; + src <= 40; + when "10011000" => + dest <= 63; + src <= 91; + when "10011001" => + dest <= 61; + src <= 68; + when "10011010" => + dest <= 61; + src <= 70; + when "10011011" => + dest <= 16; + src <= 81; + when "10011100" => + dest <= 5; + src <= 69; + when others => + dest <= 61; + src <= 61; + + end case; + end process; + + end generate NI_NUM61; + + NI_NUM62 : if NI_NUM = 62 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 78; + src <= 62; + when "00000001" => + dest <= 82; + src <= 62; + when "00000010" => + dest <= 62; + src <= 62; + when "00000011" => + dest <= 52; + src <= 62; + when "00000100" => + dest <= 27; + src <= 62; + when "00000101" => + dest <= 18; + src <= 42; + when "00000110" => + dest <= 62; + src <= 72; + when "00000111" => + dest <= 8; + src <= 56; + when "00001000" => + dest <= 50; + src <= 62; + when "00001001" => + dest <= 62; + src <= 28; + when "00001010" => + dest <= 62; + src <= 17; + when "00001011" => + dest <= 72; + src <= 62; + when "00001100" => + dest <= 98; + src <= 62; + when "00001101" => + dest <= 7; + src <= 74; + when "00001110" => + dest <= 16; + src <= 52; + when "00001111" => + dest <= 92; + src <= 7; + when "00010000" => + dest <= 62; + src <= 16; + when "00010001" => + dest <= 62; + src <= 26; + when "00010010" => + dest <= 62; + src <= 62; + when "00010011" => + dest <= 58; + src <= 62; + when "00010100" => + dest <= 37; + src <= 32; + when "00010101" => + dest <= 62; + src <= 36; + when "00010110" => + dest <= 2; + src <= 62; + when "00010111" => + dest <= 51; + src <= 62; + when "00011000" => + dest <= 26; + src <= 27; + when "00011001" => + dest <= 19; + src <= 18; + when "00011010" => + dest <= 62; + src <= 76; + when "00011011" => + dest <= 9; + src <= 73; + when "00011100" => + dest <= 69; + src <= 22; + when "00011101" => + dest <= 62; + src <= 62; + when "00011110" => + dest <= 62; + src <= 97; + when "00011111" => + dest <= 99; + src <= 62; + when "00100000" => + dest <= 74; + src <= 62; + when "00100001" => + dest <= 28; + src <= 65; + when "00100010" => + dest <= 15; + src <= 8; + when "00100011" => + dest <= 97; + src <= 15; + when "00100100" => + dest <= 62; + src <= 25; + when "00100101" => + dest <= 73; + src <= 50; + when "00100110" => + dest <= 62; + src <= 62; + when "00100111" => + dest <= 88; + src <= 35; + when "00101000" => + dest <= 62; + src <= 62; + when "00101001" => + dest <= 62; + src <= 51; + when "00101010" => + dest <= 47; + src <= 62; + when "00101011" => + dest <= 3; + src <= 6; + when "00101100" => + dest <= 48; + src <= 19; + when "00101101" => + dest <= 61; + src <= 37; + when "00101110" => + dest <= 36; + src <= 62; + when "00101111" => + dest <= 62; + src <= 46; + when "00110000" => + dest <= 62; + src <= 63; + when "00110001" => + dest <= 25; + src <= 62; + when "00110010" => + dest <= 29; + src <= 21; + when "00110011" => + dest <= 62; + src <= 87; + when "00110100" => + dest <= 0; + src <= 86; + when "00110101" => + dest <= 60; + src <= 62; + when "00110110" => + dest <= 62; + src <= 62; + when "00110111" => + dest <= 62; + src <= 98; + when "00111000" => + dest <= 5; + src <= 62; + when "00111001" => + dest <= 38; + src <= 64; + when "00111010" => + dest <= 71; + src <= 9; + when "00111011" => + dest <= 14; + src <= 5; + when "00111100" => + dest <= 62; + src <= 24; + when "00111101" => + dest <= 96; + src <= 62; + when "00111110" => + dest <= 62; + src <= 53; + when "00111111" => + dest <= 87; + src <= 62; + when "01000000" => + dest <= 62; + src <= 62; + when "01000001" => + dest <= 68; + src <= 29; + when "01000010" => + dest <= 10; + src <= 96; + when "01000011" => + dest <= 62; + src <= 62; + when "01000100" => + dest <= 70; + src <= 10; + when "01000101" => + dest <= 62; + src <= 62; + when "01000110" => + dest <= 4; + src <= 38; + when "01000111" => + dest <= 57; + src <= 66; + when "01001000" => + dest <= 39; + src <= 47; + when "01001001" => + dest <= 62; + src <= 54; + when "01001010" => + dest <= 62; + src <= 62; + when "01001011" => + dest <= 62; + src <= 14; + when "01001100" => + dest <= 46; + src <= 62; + when "01001101" => + dest <= 13; + src <= 62; + when "01001110" => + dest <= 62; + src <= 20; + when "01001111" => + dest <= 89; + src <= 77; + when "01010000" => + dest <= 62; + src <= 95; + when "01010001" => + dest <= 90; + src <= 62; + when "01010010" => + dest <= 95; + src <= 62; + when "01010011" => + dest <= 35; + src <= 62; + when "01010100" => + dest <= 62; + src <= 88; + when "01010101" => + dest <= 62; + src <= 11; + when "01010110" => + dest <= 24; + src <= 45; + when "01010111" => + dest <= 62; + src <= 62; + when "01011000" => + dest <= 1; + src <= 34; + when "01011001" => + dest <= 63; + src <= 62; + when "01011010" => + dest <= 11; + src <= 39; + when "01011011" => + dest <= 62; + src <= 99; + when "01011100" => + dest <= 86; + src <= 61; + when "01011101" => + dest <= 91; + src <= 62; + when "01011110" => + dest <= 62; + src <= 0; + when "01011111" => + dest <= 20; + src <= 23; + when "01100000" => + dest <= 77; + src <= 62; + when "01100001" => + dest <= 62; + src <= 62; + when "01100010" => + dest <= 62; + src <= 13; + when "01100011" => + dest <= 80; + src <= 33; + when "01100100" => + dest <= 56; + src <= 48; + when "01100101" => + dest <= 49; + src <= 62; + when "01100110" => + dest <= 62; + src <= 62; + when "01100111" => + dest <= 45; + src <= 4; + when "01101000" => + dest <= 81; + src <= 57; + when "01101001" => + dest <= 34; + src <= 44; + when "01101010" => + dest <= 62; + src <= 62; + when "01101011" => + dest <= 79; + src <= 78; + when "01101100" => + dest <= 23; + src <= 85; + when "01101101" => + dest <= 62; + src <= 43; + when "01101110" => + dest <= 94; + src <= 89; + when "01101111" => + dest <= 62; + src <= 62; + when "01110000" => + dest <= 21; + src <= 90; + when "01110001" => + dest <= 85; + src <= 55; + when "01110010" => + dest <= 62; + src <= 62; + when "01110011" => + dest <= 62; + src <= 1; + when "01110100" => + dest <= 30; + src <= 62; + when "01110101" => + dest <= 76; + src <= 30; + when "01110110" => + dest <= 62; + src <= 62; + when "01110111" => + dest <= 12; + src <= 3; + when "01111000" => + dest <= 62; + src <= 49; + when "01111001" => + dest <= 67; + src <= 62; + when "01111010" => + dest <= 62; + src <= 62; + when "01111011" => + dest <= 62; + src <= 94; + when "01111100" => + dest <= 59; + src <= 58; + when "01111101" => + dest <= 55; + src <= 62; + when "01111110" => + dest <= 62; + src <= 12; + when "01111111" => + dest <= 44; + src <= 62; + when "10000000" => + dest <= 62; + src <= 67; + when "10000001" => + dest <= 33; + src <= 62; + when "10000010" => + dest <= 62; + src <= 75; + when "10000011" => + dest <= 93; + src <= 79; + when "10000100" => + dest <= 31; + src <= 62; + when "10000101" => + dest <= 84; + src <= 80; + when "10000110" => + dest <= 62; + src <= 62; + when "10000111" => + dest <= 40; + src <= 91; + when "10001000" => + dest <= 75; + src <= 62; + when "10001001" => + dest <= 22; + src <= 31; + when "10001010" => + dest <= 62; + src <= 93; + when "10001011" => + dest <= 66; + src <= 40; + when "10001100" => + dest <= 62; + src <= 62; + when "10001101" => + dest <= 62; + src <= 84; + when "10001110" => + dest <= 54; + src <= 59; + when "10001111" => + dest <= 43; + src <= 2; + when "10010000" => + dest <= 62; + src <= 62; + when "10010001" => + dest <= 41; + src <= 68; + when "10010010" => + dest <= 83; + src <= 62; + when "10010011" => + dest <= 32; + src <= 70; + when "10010100" => + dest <= 65; + src <= 81; + when "10010101" => + dest <= 62; + src <= 62; + when "10010110" => + dest <= 53; + src <= 83; + when "10010111" => + dest <= 42; + src <= 41; + when "10011000" => + dest <= 64; + src <= 92; + when "10011001" => + dest <= 62; + src <= 69; + when "10011010" => + dest <= 62; + src <= 71; + when "10011011" => + dest <= 17; + src <= 82; + when "10011100" => + dest <= 6; + src <= 60; + when others => + dest <= 62; + src <= 62; + + end case; + end process; + + end generate NI_NUM62; + + NI_NUM63 : if NI_NUM = 63 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 79; + src <= 63; + when "00000001" => + dest <= 83; + src <= 63; + when "00000010" => + dest <= 63; + src <= 63; + when "00000011" => + dest <= 53; + src <= 63; + when "00000100" => + dest <= 28; + src <= 63; + when "00000101" => + dest <= 19; + src <= 43; + when "00000110" => + dest <= 63; + src <= 73; + when "00000111" => + dest <= 9; + src <= 57; + when "00001000" => + dest <= 51; + src <= 63; + when "00001001" => + dest <= 63; + src <= 29; + when "00001010" => + dest <= 63; + src <= 18; + when "00001011" => + dest <= 73; + src <= 63; + when "00001100" => + dest <= 99; + src <= 63; + when "00001101" => + dest <= 8; + src <= 75; + when "00001110" => + dest <= 17; + src <= 53; + when "00001111" => + dest <= 93; + src <= 8; + when "00010000" => + dest <= 63; + src <= 17; + when "00010001" => + dest <= 63; + src <= 27; + when "00010010" => + dest <= 63; + src <= 63; + when "00010011" => + dest <= 59; + src <= 63; + when "00010100" => + dest <= 38; + src <= 33; + when "00010101" => + dest <= 63; + src <= 37; + when "00010110" => + dest <= 3; + src <= 63; + when "00010111" => + dest <= 52; + src <= 63; + when "00011000" => + dest <= 27; + src <= 28; + when "00011001" => + dest <= 10; + src <= 19; + when "00011010" => + dest <= 63; + src <= 77; + when "00011011" => + dest <= 0; + src <= 74; + when "00011100" => + dest <= 60; + src <= 23; + when "00011101" => + dest <= 63; + src <= 63; + when "00011110" => + dest <= 63; + src <= 98; + when "00011111" => + dest <= 90; + src <= 63; + when "00100000" => + dest <= 75; + src <= 63; + when "00100001" => + dest <= 29; + src <= 66; + when "00100010" => + dest <= 16; + src <= 9; + when "00100011" => + dest <= 98; + src <= 16; + when "00100100" => + dest <= 63; + src <= 26; + when "00100101" => + dest <= 74; + src <= 51; + when "00100110" => + dest <= 63; + src <= 63; + when "00100111" => + dest <= 89; + src <= 36; + when "00101000" => + dest <= 63; + src <= 63; + when "00101001" => + dest <= 63; + src <= 52; + when "00101010" => + dest <= 48; + src <= 63; + when "00101011" => + dest <= 4; + src <= 7; + when "00101100" => + dest <= 49; + src <= 10; + when "00101101" => + dest <= 62; + src <= 38; + when "00101110" => + dest <= 37; + src <= 63; + when "00101111" => + dest <= 63; + src <= 47; + when "00110000" => + dest <= 63; + src <= 64; + when "00110001" => + dest <= 26; + src <= 63; + when "00110010" => + dest <= 20; + src <= 22; + when "00110011" => + dest <= 63; + src <= 88; + when "00110100" => + dest <= 1; + src <= 87; + when "00110101" => + dest <= 61; + src <= 63; + when "00110110" => + dest <= 63; + src <= 63; + when "00110111" => + dest <= 63; + src <= 99; + when "00111000" => + dest <= 6; + src <= 63; + when "00111001" => + dest <= 39; + src <= 65; + when "00111010" => + dest <= 72; + src <= 0; + when "00111011" => + dest <= 15; + src <= 6; + when "00111100" => + dest <= 63; + src <= 25; + when "00111101" => + dest <= 97; + src <= 63; + when "00111110" => + dest <= 63; + src <= 54; + when "00111111" => + dest <= 88; + src <= 63; + when "01000000" => + dest <= 63; + src <= 63; + when "01000001" => + dest <= 69; + src <= 20; + when "01000010" => + dest <= 11; + src <= 97; + when "01000011" => + dest <= 63; + src <= 63; + when "01000100" => + dest <= 71; + src <= 11; + when "01000101" => + dest <= 63; + src <= 63; + when "01000110" => + dest <= 5; + src <= 39; + when "01000111" => + dest <= 58; + src <= 67; + when "01001000" => + dest <= 30; + src <= 48; + when "01001001" => + dest <= 63; + src <= 55; + when "01001010" => + dest <= 63; + src <= 63; + when "01001011" => + dest <= 63; + src <= 15; + when "01001100" => + dest <= 47; + src <= 63; + when "01001101" => + dest <= 14; + src <= 63; + when "01001110" => + dest <= 63; + src <= 21; + when "01001111" => + dest <= 80; + src <= 78; + when "01010000" => + dest <= 63; + src <= 96; + when "01010001" => + dest <= 91; + src <= 63; + when "01010010" => + dest <= 96; + src <= 63; + when "01010011" => + dest <= 36; + src <= 63; + when "01010100" => + dest <= 63; + src <= 89; + when "01010101" => + dest <= 63; + src <= 12; + when "01010110" => + dest <= 25; + src <= 46; + when "01010111" => + dest <= 63; + src <= 63; + when "01011000" => + dest <= 2; + src <= 35; + when "01011001" => + dest <= 64; + src <= 63; + when "01011010" => + dest <= 12; + src <= 30; + when "01011011" => + dest <= 63; + src <= 90; + when "01011100" => + dest <= 87; + src <= 62; + when "01011101" => + dest <= 92; + src <= 63; + when "01011110" => + dest <= 63; + src <= 1; + when "01011111" => + dest <= 21; + src <= 24; + when "01100000" => + dest <= 78; + src <= 63; + when "01100001" => + dest <= 63; + src <= 63; + when "01100010" => + dest <= 63; + src <= 14; + when "01100011" => + dest <= 81; + src <= 34; + when "01100100" => + dest <= 57; + src <= 49; + when "01100101" => + dest <= 40; + src <= 63; + when "01100110" => + dest <= 63; + src <= 63; + when "01100111" => + dest <= 46; + src <= 5; + when "01101000" => + dest <= 82; + src <= 58; + when "01101001" => + dest <= 35; + src <= 45; + when "01101010" => + dest <= 63; + src <= 63; + when "01101011" => + dest <= 70; + src <= 79; + when "01101100" => + dest <= 24; + src <= 86; + when "01101101" => + dest <= 63; + src <= 44; + when "01101110" => + dest <= 95; + src <= 80; + when "01101111" => + dest <= 63; + src <= 63; + when "01110000" => + dest <= 22; + src <= 91; + when "01110001" => + dest <= 86; + src <= 56; + when "01110010" => + dest <= 63; + src <= 63; + when "01110011" => + dest <= 63; + src <= 2; + when "01110100" => + dest <= 31; + src <= 63; + when "01110101" => + dest <= 77; + src <= 31; + when "01110110" => + dest <= 63; + src <= 63; + when "01110111" => + dest <= 13; + src <= 4; + when "01111000" => + dest <= 63; + src <= 40; + when "01111001" => + dest <= 68; + src <= 63; + when "01111010" => + dest <= 63; + src <= 63; + when "01111011" => + dest <= 63; + src <= 95; + when "01111100" => + dest <= 50; + src <= 59; + when "01111101" => + dest <= 56; + src <= 63; + when "01111110" => + dest <= 63; + src <= 13; + when "01111111" => + dest <= 45; + src <= 63; + when "10000000" => + dest <= 63; + src <= 68; + when "10000001" => + dest <= 34; + src <= 63; + when "10000010" => + dest <= 63; + src <= 76; + when "10000011" => + dest <= 94; + src <= 70; + when "10000100" => + dest <= 32; + src <= 63; + when "10000101" => + dest <= 85; + src <= 81; + when "10000110" => + dest <= 63; + src <= 63; + when "10000111" => + dest <= 41; + src <= 92; + when "10001000" => + dest <= 76; + src <= 63; + when "10001001" => + dest <= 23; + src <= 32; + when "10001010" => + dest <= 63; + src <= 94; + when "10001011" => + dest <= 67; + src <= 41; + when "10001100" => + dest <= 63; + src <= 63; + when "10001101" => + dest <= 63; + src <= 85; + when "10001110" => + dest <= 55; + src <= 50; + when "10001111" => + dest <= 44; + src <= 3; + when "10010000" => + dest <= 63; + src <= 63; + when "10010001" => + dest <= 42; + src <= 69; + when "10010010" => + dest <= 84; + src <= 63; + when "10010011" => + dest <= 33; + src <= 71; + when "10010100" => + dest <= 66; + src <= 82; + when "10010101" => + dest <= 63; + src <= 63; + when "10010110" => + dest <= 54; + src <= 84; + when "10010111" => + dest <= 43; + src <= 42; + when "10011000" => + dest <= 65; + src <= 93; + when "10011001" => + dest <= 63; + src <= 60; + when "10011010" => + dest <= 63; + src <= 72; + when "10011011" => + dest <= 18; + src <= 83; + when "10011100" => + dest <= 7; + src <= 61; + when others => + dest <= 63; + src <= 63; + + end case; + end process; + + end generate NI_NUM63; + + NI_NUM64 : if NI_NUM = 64 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 70; + src <= 64; + when "00000001" => + dest <= 84; + src <= 64; + when "00000010" => + dest <= 64; + src <= 64; + when "00000011" => + dest <= 54; + src <= 64; + when "00000100" => + dest <= 29; + src <= 64; + when "00000101" => + dest <= 10; + src <= 44; + when "00000110" => + dest <= 64; + src <= 74; + when "00000111" => + dest <= 0; + src <= 58; + when "00001000" => + dest <= 52; + src <= 64; + when "00001001" => + dest <= 64; + src <= 20; + when "00001010" => + dest <= 64; + src <= 19; + when "00001011" => + dest <= 74; + src <= 64; + when "00001100" => + dest <= 90; + src <= 64; + when "00001101" => + dest <= 9; + src <= 76; + when "00001110" => + dest <= 18; + src <= 54; + when "00001111" => + dest <= 94; + src <= 9; + when "00010000" => + dest <= 64; + src <= 18; + when "00010001" => + dest <= 64; + src <= 28; + when "00010010" => + dest <= 64; + src <= 64; + when "00010011" => + dest <= 50; + src <= 64; + when "00010100" => + dest <= 39; + src <= 34; + when "00010101" => + dest <= 64; + src <= 38; + when "00010110" => + dest <= 4; + src <= 64; + when "00010111" => + dest <= 53; + src <= 64; + when "00011000" => + dest <= 28; + src <= 29; + when "00011001" => + dest <= 11; + src <= 10; + when "00011010" => + dest <= 64; + src <= 78; + when "00011011" => + dest <= 1; + src <= 75; + when "00011100" => + dest <= 61; + src <= 24; + when "00011101" => + dest <= 64; + src <= 64; + when "00011110" => + dest <= 64; + src <= 99; + when "00011111" => + dest <= 91; + src <= 64; + when "00100000" => + dest <= 76; + src <= 64; + when "00100001" => + dest <= 20; + src <= 67; + when "00100010" => + dest <= 17; + src <= 0; + when "00100011" => + dest <= 99; + src <= 17; + when "00100100" => + dest <= 64; + src <= 27; + when "00100101" => + dest <= 75; + src <= 52; + when "00100110" => + dest <= 64; + src <= 64; + when "00100111" => + dest <= 80; + src <= 37; + when "00101000" => + dest <= 64; + src <= 64; + when "00101001" => + dest <= 64; + src <= 53; + when "00101010" => + dest <= 49; + src <= 64; + when "00101011" => + dest <= 5; + src <= 8; + when "00101100" => + dest <= 40; + src <= 11; + when "00101101" => + dest <= 63; + src <= 39; + when "00101110" => + dest <= 38; + src <= 64; + when "00101111" => + dest <= 64; + src <= 48; + when "00110000" => + dest <= 64; + src <= 65; + when "00110001" => + dest <= 27; + src <= 64; + when "00110010" => + dest <= 21; + src <= 23; + when "00110011" => + dest <= 64; + src <= 89; + when "00110100" => + dest <= 2; + src <= 88; + when "00110101" => + dest <= 62; + src <= 64; + when "00110110" => + dest <= 64; + src <= 64; + when "00110111" => + dest <= 64; + src <= 90; + when "00111000" => + dest <= 7; + src <= 64; + when "00111001" => + dest <= 30; + src <= 66; + when "00111010" => + dest <= 73; + src <= 1; + when "00111011" => + dest <= 16; + src <= 7; + when "00111100" => + dest <= 64; + src <= 26; + when "00111101" => + dest <= 98; + src <= 64; + when "00111110" => + dest <= 64; + src <= 55; + when "00111111" => + dest <= 89; + src <= 64; + when "01000000" => + dest <= 64; + src <= 64; + when "01000001" => + dest <= 60; + src <= 21; + when "01000010" => + dest <= 12; + src <= 98; + when "01000011" => + dest <= 64; + src <= 64; + when "01000100" => + dest <= 72; + src <= 12; + when "01000101" => + dest <= 64; + src <= 64; + when "01000110" => + dest <= 6; + src <= 30; + when "01000111" => + dest <= 59; + src <= 68; + when "01001000" => + dest <= 31; + src <= 49; + when "01001001" => + dest <= 64; + src <= 56; + when "01001010" => + dest <= 64; + src <= 64; + when "01001011" => + dest <= 64; + src <= 16; + when "01001100" => + dest <= 48; + src <= 64; + when "01001101" => + dest <= 15; + src <= 64; + when "01001110" => + dest <= 64; + src <= 22; + when "01001111" => + dest <= 81; + src <= 79; + when "01010000" => + dest <= 64; + src <= 97; + when "01010001" => + dest <= 92; + src <= 64; + when "01010010" => + dest <= 97; + src <= 64; + when "01010011" => + dest <= 37; + src <= 64; + when "01010100" => + dest <= 64; + src <= 80; + when "01010101" => + dest <= 64; + src <= 13; + when "01010110" => + dest <= 26; + src <= 47; + when "01010111" => + dest <= 64; + src <= 64; + when "01011000" => + dest <= 3; + src <= 36; + when "01011001" => + dest <= 65; + src <= 64; + when "01011010" => + dest <= 13; + src <= 31; + when "01011011" => + dest <= 64; + src <= 91; + when "01011100" => + dest <= 88; + src <= 63; + when "01011101" => + dest <= 93; + src <= 64; + when "01011110" => + dest <= 64; + src <= 2; + when "01011111" => + dest <= 22; + src <= 25; + when "01100000" => + dest <= 79; + src <= 64; + when "01100001" => + dest <= 64; + src <= 64; + when "01100010" => + dest <= 64; + src <= 15; + when "01100011" => + dest <= 82; + src <= 35; + when "01100100" => + dest <= 58; + src <= 40; + when "01100101" => + dest <= 41; + src <= 64; + when "01100110" => + dest <= 64; + src <= 64; + when "01100111" => + dest <= 47; + src <= 6; + when "01101000" => + dest <= 83; + src <= 59; + when "01101001" => + dest <= 36; + src <= 46; + when "01101010" => + dest <= 64; + src <= 64; + when "01101011" => + dest <= 71; + src <= 70; + when "01101100" => + dest <= 25; + src <= 87; + when "01101101" => + dest <= 64; + src <= 45; + when "01101110" => + dest <= 96; + src <= 81; + when "01101111" => + dest <= 64; + src <= 64; + when "01110000" => + dest <= 23; + src <= 92; + when "01110001" => + dest <= 87; + src <= 57; + when "01110010" => + dest <= 64; + src <= 64; + when "01110011" => + dest <= 64; + src <= 3; + when "01110100" => + dest <= 32; + src <= 64; + when "01110101" => + dest <= 78; + src <= 32; + when "01110110" => + dest <= 64; + src <= 64; + when "01110111" => + dest <= 14; + src <= 5; + when "01111000" => + dest <= 64; + src <= 41; + when "01111001" => + dest <= 69; + src <= 64; + when "01111010" => + dest <= 64; + src <= 64; + when "01111011" => + dest <= 64; + src <= 96; + when "01111100" => + dest <= 51; + src <= 50; + when "01111101" => + dest <= 57; + src <= 64; + when "01111110" => + dest <= 64; + src <= 14; + when "01111111" => + dest <= 46; + src <= 64; + when "10000000" => + dest <= 64; + src <= 69; + when "10000001" => + dest <= 35; + src <= 64; + when "10000010" => + dest <= 64; + src <= 77; + when "10000011" => + dest <= 95; + src <= 71; + when "10000100" => + dest <= 33; + src <= 64; + when "10000101" => + dest <= 86; + src <= 82; + when "10000110" => + dest <= 64; + src <= 64; + when "10000111" => + dest <= 42; + src <= 93; + when "10001000" => + dest <= 77; + src <= 64; + when "10001001" => + dest <= 24; + src <= 33; + when "10001010" => + dest <= 64; + src <= 95; + when "10001011" => + dest <= 68; + src <= 42; + when "10001100" => + dest <= 64; + src <= 64; + when "10001101" => + dest <= 64; + src <= 86; + when "10001110" => + dest <= 56; + src <= 51; + when "10001111" => + dest <= 45; + src <= 4; + when "10010000" => + dest <= 64; + src <= 64; + when "10010001" => + dest <= 43; + src <= 60; + when "10010010" => + dest <= 85; + src <= 64; + when "10010011" => + dest <= 34; + src <= 72; + when "10010100" => + dest <= 67; + src <= 83; + when "10010101" => + dest <= 64; + src <= 64; + when "10010110" => + dest <= 55; + src <= 85; + when "10010111" => + dest <= 44; + src <= 43; + when "10011000" => + dest <= 66; + src <= 94; + when "10011001" => + dest <= 64; + src <= 61; + when "10011010" => + dest <= 64; + src <= 73; + when "10011011" => + dest <= 19; + src <= 84; + when "10011100" => + dest <= 8; + src <= 62; + when others => + dest <= 64; + src <= 64; + + end case; + end process; + + end generate NI_NUM64; + + NI_NUM65 : if NI_NUM = 65 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 71; + src <= 65; + when "00000001" => + dest <= 85; + src <= 65; + when "00000010" => + dest <= 65; + src <= 65; + when "00000011" => + dest <= 55; + src <= 65; + when "00000100" => + dest <= 20; + src <= 65; + when "00000101" => + dest <= 11; + src <= 45; + when "00000110" => + dest <= 65; + src <= 75; + when "00000111" => + dest <= 1; + src <= 59; + when "00001000" => + dest <= 53; + src <= 65; + when "00001001" => + dest <= 65; + src <= 21; + when "00001010" => + dest <= 65; + src <= 10; + when "00001011" => + dest <= 75; + src <= 65; + when "00001100" => + dest <= 91; + src <= 65; + when "00001101" => + dest <= 0; + src <= 77; + when "00001110" => + dest <= 19; + src <= 55; + when "00001111" => + dest <= 95; + src <= 0; + when "00010000" => + dest <= 65; + src <= 19; + when "00010001" => + dest <= 65; + src <= 29; + when "00010010" => + dest <= 65; + src <= 65; + when "00010011" => + dest <= 51; + src <= 65; + when "00010100" => + dest <= 30; + src <= 35; + when "00010101" => + dest <= 65; + src <= 39; + when "00010110" => + dest <= 5; + src <= 65; + when "00010111" => + dest <= 54; + src <= 65; + when "00011000" => + dest <= 29; + src <= 20; + when "00011001" => + dest <= 12; + src <= 11; + when "00011010" => + dest <= 65; + src <= 79; + when "00011011" => + dest <= 2; + src <= 76; + when "00011100" => + dest <= 62; + src <= 25; + when "00011101" => + dest <= 65; + src <= 65; + when "00011110" => + dest <= 65; + src <= 90; + when "00011111" => + dest <= 92; + src <= 65; + when "00100000" => + dest <= 77; + src <= 65; + when "00100001" => + dest <= 21; + src <= 68; + when "00100010" => + dest <= 18; + src <= 1; + when "00100011" => + dest <= 90; + src <= 18; + when "00100100" => + dest <= 65; + src <= 28; + when "00100101" => + dest <= 76; + src <= 53; + when "00100110" => + dest <= 65; + src <= 65; + when "00100111" => + dest <= 81; + src <= 38; + when "00101000" => + dest <= 65; + src <= 65; + when "00101001" => + dest <= 65; + src <= 54; + when "00101010" => + dest <= 40; + src <= 65; + when "00101011" => + dest <= 6; + src <= 9; + when "00101100" => + dest <= 41; + src <= 12; + when "00101101" => + dest <= 64; + src <= 30; + when "00101110" => + dest <= 39; + src <= 65; + when "00101111" => + dest <= 65; + src <= 49; + when "00110000" => + dest <= 65; + src <= 66; + when "00110001" => + dest <= 28; + src <= 65; + when "00110010" => + dest <= 22; + src <= 24; + when "00110011" => + dest <= 65; + src <= 80; + when "00110100" => + dest <= 3; + src <= 89; + when "00110101" => + dest <= 63; + src <= 65; + when "00110110" => + dest <= 65; + src <= 65; + when "00110111" => + dest <= 65; + src <= 91; + when "00111000" => + dest <= 8; + src <= 65; + when "00111001" => + dest <= 31; + src <= 67; + when "00111010" => + dest <= 74; + src <= 2; + when "00111011" => + dest <= 17; + src <= 8; + when "00111100" => + dest <= 65; + src <= 27; + when "00111101" => + dest <= 99; + src <= 65; + when "00111110" => + dest <= 65; + src <= 56; + when "00111111" => + dest <= 80; + src <= 65; + when "01000000" => + dest <= 65; + src <= 65; + when "01000001" => + dest <= 61; + src <= 22; + when "01000010" => + dest <= 13; + src <= 99; + when "01000011" => + dest <= 65; + src <= 65; + when "01000100" => + dest <= 73; + src <= 13; + when "01000101" => + dest <= 65; + src <= 65; + when "01000110" => + dest <= 7; + src <= 31; + when "01000111" => + dest <= 50; + src <= 69; + when "01001000" => + dest <= 32; + src <= 40; + when "01001001" => + dest <= 65; + src <= 57; + when "01001010" => + dest <= 65; + src <= 65; + when "01001011" => + dest <= 65; + src <= 17; + when "01001100" => + dest <= 49; + src <= 65; + when "01001101" => + dest <= 16; + src <= 65; + when "01001110" => + dest <= 65; + src <= 23; + when "01001111" => + dest <= 82; + src <= 70; + when "01010000" => + dest <= 65; + src <= 98; + when "01010001" => + dest <= 93; + src <= 65; + when "01010010" => + dest <= 98; + src <= 65; + when "01010011" => + dest <= 38; + src <= 65; + when "01010100" => + dest <= 65; + src <= 81; + when "01010101" => + dest <= 65; + src <= 14; + when "01010110" => + dest <= 27; + src <= 48; + when "01010111" => + dest <= 65; + src <= 65; + when "01011000" => + dest <= 4; + src <= 37; + when "01011001" => + dest <= 66; + src <= 65; + when "01011010" => + dest <= 14; + src <= 32; + when "01011011" => + dest <= 65; + src <= 92; + when "01011100" => + dest <= 89; + src <= 64; + when "01011101" => + dest <= 94; + src <= 65; + when "01011110" => + dest <= 65; + src <= 3; + when "01011111" => + dest <= 23; + src <= 26; + when "01100000" => + dest <= 70; + src <= 65; + when "01100001" => + dest <= 65; + src <= 65; + when "01100010" => + dest <= 65; + src <= 16; + when "01100011" => + dest <= 83; + src <= 36; + when "01100100" => + dest <= 59; + src <= 41; + when "01100101" => + dest <= 42; + src <= 65; + when "01100110" => + dest <= 65; + src <= 65; + when "01100111" => + dest <= 48; + src <= 7; + when "01101000" => + dest <= 84; + src <= 50; + when "01101001" => + dest <= 37; + src <= 47; + when "01101010" => + dest <= 65; + src <= 65; + when "01101011" => + dest <= 72; + src <= 71; + when "01101100" => + dest <= 26; + src <= 88; + when "01101101" => + dest <= 65; + src <= 46; + when "01101110" => + dest <= 97; + src <= 82; + when "01101111" => + dest <= 65; + src <= 65; + when "01110000" => + dest <= 24; + src <= 93; + when "01110001" => + dest <= 88; + src <= 58; + when "01110010" => + dest <= 65; + src <= 65; + when "01110011" => + dest <= 65; + src <= 4; + when "01110100" => + dest <= 33; + src <= 65; + when "01110101" => + dest <= 79; + src <= 33; + when "01110110" => + dest <= 65; + src <= 65; + when "01110111" => + dest <= 15; + src <= 6; + when "01111000" => + dest <= 65; + src <= 42; + when "01111001" => + dest <= 60; + src <= 65; + when "01111010" => + dest <= 65; + src <= 65; + when "01111011" => + dest <= 65; + src <= 97; + when "01111100" => + dest <= 52; + src <= 51; + when "01111101" => + dest <= 58; + src <= 65; + when "01111110" => + dest <= 65; + src <= 15; + when "01111111" => + dest <= 47; + src <= 65; + when "10000000" => + dest <= 65; + src <= 60; + when "10000001" => + dest <= 36; + src <= 65; + when "10000010" => + dest <= 65; + src <= 78; + when "10000011" => + dest <= 96; + src <= 72; + when "10000100" => + dest <= 34; + src <= 65; + when "10000101" => + dest <= 87; + src <= 83; + when "10000110" => + dest <= 65; + src <= 65; + when "10000111" => + dest <= 43; + src <= 94; + when "10001000" => + dest <= 78; + src <= 65; + when "10001001" => + dest <= 25; + src <= 34; + when "10001010" => + dest <= 65; + src <= 96; + when "10001011" => + dest <= 69; + src <= 43; + when "10001100" => + dest <= 65; + src <= 65; + when "10001101" => + dest <= 65; + src <= 87; + when "10001110" => + dest <= 57; + src <= 52; + when "10001111" => + dest <= 46; + src <= 5; + when "10010000" => + dest <= 65; + src <= 65; + when "10010001" => + dest <= 44; + src <= 61; + when "10010010" => + dest <= 86; + src <= 65; + when "10010011" => + dest <= 35; + src <= 73; + when "10010100" => + dest <= 68; + src <= 84; + when "10010101" => + dest <= 65; + src <= 65; + when "10010110" => + dest <= 56; + src <= 86; + when "10010111" => + dest <= 45; + src <= 44; + when "10011000" => + dest <= 67; + src <= 95; + when "10011001" => + dest <= 65; + src <= 62; + when "10011010" => + dest <= 65; + src <= 74; + when "10011011" => + dest <= 10; + src <= 85; + when "10011100" => + dest <= 9; + src <= 63; + when others => + dest <= 65; + src <= 65; + + end case; + end process; + + end generate NI_NUM65; + + NI_NUM66 : if NI_NUM = 66 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 72; + src <= 66; + when "00000001" => + dest <= 86; + src <= 66; + when "00000010" => + dest <= 66; + src <= 66; + when "00000011" => + dest <= 56; + src <= 66; + when "00000100" => + dest <= 21; + src <= 66; + when "00000101" => + dest <= 12; + src <= 46; + when "00000110" => + dest <= 66; + src <= 76; + when "00000111" => + dest <= 2; + src <= 50; + when "00001000" => + dest <= 54; + src <= 66; + when "00001001" => + dest <= 66; + src <= 22; + when "00001010" => + dest <= 66; + src <= 11; + when "00001011" => + dest <= 76; + src <= 66; + when "00001100" => + dest <= 92; + src <= 66; + when "00001101" => + dest <= 1; + src <= 78; + when "00001110" => + dest <= 10; + src <= 56; + when "00001111" => + dest <= 96; + src <= 1; + when "00010000" => + dest <= 66; + src <= 10; + when "00010001" => + dest <= 66; + src <= 20; + when "00010010" => + dest <= 66; + src <= 66; + when "00010011" => + dest <= 52; + src <= 66; + when "00010100" => + dest <= 31; + src <= 36; + when "00010101" => + dest <= 66; + src <= 30; + when "00010110" => + dest <= 6; + src <= 66; + when "00010111" => + dest <= 55; + src <= 66; + when "00011000" => + dest <= 20; + src <= 21; + when "00011001" => + dest <= 13; + src <= 12; + when "00011010" => + dest <= 66; + src <= 70; + when "00011011" => + dest <= 3; + src <= 77; + when "00011100" => + dest <= 63; + src <= 26; + when "00011101" => + dest <= 66; + src <= 66; + when "00011110" => + dest <= 66; + src <= 91; + when "00011111" => + dest <= 93; + src <= 66; + when "00100000" => + dest <= 78; + src <= 66; + when "00100001" => + dest <= 22; + src <= 69; + when "00100010" => + dest <= 19; + src <= 2; + when "00100011" => + dest <= 91; + src <= 19; + when "00100100" => + dest <= 66; + src <= 29; + when "00100101" => + dest <= 77; + src <= 54; + when "00100110" => + dest <= 66; + src <= 66; + when "00100111" => + dest <= 82; + src <= 39; + when "00101000" => + dest <= 66; + src <= 66; + when "00101001" => + dest <= 66; + src <= 55; + when "00101010" => + dest <= 41; + src <= 66; + when "00101011" => + dest <= 7; + src <= 0; + when "00101100" => + dest <= 42; + src <= 13; + when "00101101" => + dest <= 65; + src <= 31; + when "00101110" => + dest <= 30; + src <= 66; + when "00101111" => + dest <= 66; + src <= 40; + when "00110000" => + dest <= 66; + src <= 67; + when "00110001" => + dest <= 29; + src <= 66; + when "00110010" => + dest <= 23; + src <= 25; + when "00110011" => + dest <= 66; + src <= 81; + when "00110100" => + dest <= 4; + src <= 80; + when "00110101" => + dest <= 64; + src <= 66; + when "00110110" => + dest <= 66; + src <= 66; + when "00110111" => + dest <= 66; + src <= 92; + when "00111000" => + dest <= 9; + src <= 66; + when "00111001" => + dest <= 32; + src <= 68; + when "00111010" => + dest <= 75; + src <= 3; + when "00111011" => + dest <= 18; + src <= 9; + when "00111100" => + dest <= 66; + src <= 28; + when "00111101" => + dest <= 90; + src <= 66; + when "00111110" => + dest <= 66; + src <= 57; + when "00111111" => + dest <= 81; + src <= 66; + when "01000000" => + dest <= 66; + src <= 66; + when "01000001" => + dest <= 62; + src <= 23; + when "01000010" => + dest <= 14; + src <= 90; + when "01000011" => + dest <= 66; + src <= 66; + when "01000100" => + dest <= 74; + src <= 14; + when "01000101" => + dest <= 66; + src <= 66; + when "01000110" => + dest <= 8; + src <= 32; + when "01000111" => + dest <= 51; + src <= 60; + when "01001000" => + dest <= 33; + src <= 41; + when "01001001" => + dest <= 66; + src <= 58; + when "01001010" => + dest <= 66; + src <= 66; + when "01001011" => + dest <= 66; + src <= 18; + when "01001100" => + dest <= 40; + src <= 66; + when "01001101" => + dest <= 17; + src <= 66; + when "01001110" => + dest <= 66; + src <= 24; + when "01001111" => + dest <= 83; + src <= 71; + when "01010000" => + dest <= 66; + src <= 99; + when "01010001" => + dest <= 94; + src <= 66; + when "01010010" => + dest <= 99; + src <= 66; + when "01010011" => + dest <= 39; + src <= 66; + when "01010100" => + dest <= 66; + src <= 82; + when "01010101" => + dest <= 66; + src <= 15; + when "01010110" => + dest <= 28; + src <= 49; + when "01010111" => + dest <= 66; + src <= 66; + when "01011000" => + dest <= 5; + src <= 38; + when "01011001" => + dest <= 67; + src <= 66; + when "01011010" => + dest <= 15; + src <= 33; + when "01011011" => + dest <= 66; + src <= 93; + when "01011100" => + dest <= 80; + src <= 65; + when "01011101" => + dest <= 95; + src <= 66; + when "01011110" => + dest <= 66; + src <= 4; + when "01011111" => + dest <= 24; + src <= 27; + when "01100000" => + dest <= 71; + src <= 66; + when "01100001" => + dest <= 66; + src <= 66; + when "01100010" => + dest <= 66; + src <= 17; + when "01100011" => + dest <= 84; + src <= 37; + when "01100100" => + dest <= 50; + src <= 42; + when "01100101" => + dest <= 43; + src <= 66; + when "01100110" => + dest <= 66; + src <= 66; + when "01100111" => + dest <= 49; + src <= 8; + when "01101000" => + dest <= 85; + src <= 51; + when "01101001" => + dest <= 38; + src <= 48; + when "01101010" => + dest <= 66; + src <= 66; + when "01101011" => + dest <= 73; + src <= 72; + when "01101100" => + dest <= 27; + src <= 89; + when "01101101" => + dest <= 66; + src <= 47; + when "01101110" => + dest <= 98; + src <= 83; + when "01101111" => + dest <= 66; + src <= 66; + when "01110000" => + dest <= 25; + src <= 94; + when "01110001" => + dest <= 89; + src <= 59; + when "01110010" => + dest <= 66; + src <= 66; + when "01110011" => + dest <= 66; + src <= 5; + when "01110100" => + dest <= 34; + src <= 66; + when "01110101" => + dest <= 70; + src <= 34; + when "01110110" => + dest <= 66; + src <= 66; + when "01110111" => + dest <= 16; + src <= 7; + when "01111000" => + dest <= 66; + src <= 43; + when "01111001" => + dest <= 61; + src <= 66; + when "01111010" => + dest <= 66; + src <= 66; + when "01111011" => + dest <= 66; + src <= 98; + when "01111100" => + dest <= 53; + src <= 52; + when "01111101" => + dest <= 59; + src <= 66; + when "01111110" => + dest <= 66; + src <= 16; + when "01111111" => + dest <= 48; + src <= 66; + when "10000000" => + dest <= 66; + src <= 61; + when "10000001" => + dest <= 37; + src <= 66; + when "10000010" => + dest <= 66; + src <= 79; + when "10000011" => + dest <= 97; + src <= 73; + when "10000100" => + dest <= 35; + src <= 66; + when "10000101" => + dest <= 88; + src <= 84; + when "10000110" => + dest <= 66; + src <= 66; + when "10000111" => + dest <= 44; + src <= 95; + when "10001000" => + dest <= 79; + src <= 66; + when "10001001" => + dest <= 26; + src <= 35; + when "10001010" => + dest <= 66; + src <= 97; + when "10001011" => + dest <= 60; + src <= 44; + when "10001100" => + dest <= 66; + src <= 66; + when "10001101" => + dest <= 66; + src <= 88; + when "10001110" => + dest <= 58; + src <= 53; + when "10001111" => + dest <= 47; + src <= 6; + when "10010000" => + dest <= 66; + src <= 66; + when "10010001" => + dest <= 45; + src <= 62; + when "10010010" => + dest <= 87; + src <= 66; + when "10010011" => + dest <= 36; + src <= 74; + when "10010100" => + dest <= 69; + src <= 85; + when "10010101" => + dest <= 66; + src <= 66; + when "10010110" => + dest <= 57; + src <= 87; + when "10010111" => + dest <= 46; + src <= 45; + when "10011000" => + dest <= 68; + src <= 96; + when "10011001" => + dest <= 66; + src <= 63; + when "10011010" => + dest <= 66; + src <= 75; + when "10011011" => + dest <= 11; + src <= 86; + when "10011100" => + dest <= 0; + src <= 64; + when others => + dest <= 66; + src <= 66; + + end case; + end process; + + end generate NI_NUM66; + + NI_NUM67 : if NI_NUM = 67 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 73; + src <= 67; + when "00000001" => + dest <= 87; + src <= 67; + when "00000010" => + dest <= 67; + src <= 67; + when "00000011" => + dest <= 57; + src <= 67; + when "00000100" => + dest <= 22; + src <= 67; + when "00000101" => + dest <= 13; + src <= 47; + when "00000110" => + dest <= 67; + src <= 77; + when "00000111" => + dest <= 3; + src <= 51; + when "00001000" => + dest <= 55; + src <= 67; + when "00001001" => + dest <= 67; + src <= 23; + when "00001010" => + dest <= 67; + src <= 12; + when "00001011" => + dest <= 77; + src <= 67; + when "00001100" => + dest <= 93; + src <= 67; + when "00001101" => + dest <= 2; + src <= 79; + when "00001110" => + dest <= 11; + src <= 57; + when "00001111" => + dest <= 97; + src <= 2; + when "00010000" => + dest <= 67; + src <= 11; + when "00010001" => + dest <= 67; + src <= 21; + when "00010010" => + dest <= 67; + src <= 67; + when "00010011" => + dest <= 53; + src <= 67; + when "00010100" => + dest <= 32; + src <= 37; + when "00010101" => + dest <= 67; + src <= 31; + when "00010110" => + dest <= 7; + src <= 67; + when "00010111" => + dest <= 56; + src <= 67; + when "00011000" => + dest <= 21; + src <= 22; + when "00011001" => + dest <= 14; + src <= 13; + when "00011010" => + dest <= 67; + src <= 71; + when "00011011" => + dest <= 4; + src <= 78; + when "00011100" => + dest <= 64; + src <= 27; + when "00011101" => + dest <= 67; + src <= 67; + when "00011110" => + dest <= 67; + src <= 92; + when "00011111" => + dest <= 94; + src <= 67; + when "00100000" => + dest <= 79; + src <= 67; + when "00100001" => + dest <= 23; + src <= 60; + when "00100010" => + dest <= 10; + src <= 3; + when "00100011" => + dest <= 92; + src <= 10; + when "00100100" => + dest <= 67; + src <= 20; + when "00100101" => + dest <= 78; + src <= 55; + when "00100110" => + dest <= 67; + src <= 67; + when "00100111" => + dest <= 83; + src <= 30; + when "00101000" => + dest <= 67; + src <= 67; + when "00101001" => + dest <= 67; + src <= 56; + when "00101010" => + dest <= 42; + src <= 67; + when "00101011" => + dest <= 8; + src <= 1; + when "00101100" => + dest <= 43; + src <= 14; + when "00101101" => + dest <= 66; + src <= 32; + when "00101110" => + dest <= 31; + src <= 67; + when "00101111" => + dest <= 67; + src <= 41; + when "00110000" => + dest <= 67; + src <= 68; + when "00110001" => + dest <= 20; + src <= 67; + when "00110010" => + dest <= 24; + src <= 26; + when "00110011" => + dest <= 67; + src <= 82; + when "00110100" => + dest <= 5; + src <= 81; + when "00110101" => + dest <= 65; + src <= 67; + when "00110110" => + dest <= 67; + src <= 67; + when "00110111" => + dest <= 67; + src <= 93; + when "00111000" => + dest <= 0; + src <= 67; + when "00111001" => + dest <= 33; + src <= 69; + when "00111010" => + dest <= 76; + src <= 4; + when "00111011" => + dest <= 19; + src <= 0; + when "00111100" => + dest <= 67; + src <= 29; + when "00111101" => + dest <= 91; + src <= 67; + when "00111110" => + dest <= 67; + src <= 58; + when "00111111" => + dest <= 82; + src <= 67; + when "01000000" => + dest <= 67; + src <= 67; + when "01000001" => + dest <= 63; + src <= 24; + when "01000010" => + dest <= 15; + src <= 91; + when "01000011" => + dest <= 67; + src <= 67; + when "01000100" => + dest <= 75; + src <= 15; + when "01000101" => + dest <= 67; + src <= 67; + when "01000110" => + dest <= 9; + src <= 33; + when "01000111" => + dest <= 52; + src <= 61; + when "01001000" => + dest <= 34; + src <= 42; + when "01001001" => + dest <= 67; + src <= 59; + when "01001010" => + dest <= 67; + src <= 67; + when "01001011" => + dest <= 67; + src <= 19; + when "01001100" => + dest <= 41; + src <= 67; + when "01001101" => + dest <= 18; + src <= 67; + when "01001110" => + dest <= 67; + src <= 25; + when "01001111" => + dest <= 84; + src <= 72; + when "01010000" => + dest <= 67; + src <= 90; + when "01010001" => + dest <= 95; + src <= 67; + when "01010010" => + dest <= 90; + src <= 67; + when "01010011" => + dest <= 30; + src <= 67; + when "01010100" => + dest <= 67; + src <= 83; + when "01010101" => + dest <= 67; + src <= 16; + when "01010110" => + dest <= 29; + src <= 40; + when "01010111" => + dest <= 67; + src <= 67; + when "01011000" => + dest <= 6; + src <= 39; + when "01011001" => + dest <= 68; + src <= 67; + when "01011010" => + dest <= 16; + src <= 34; + when "01011011" => + dest <= 67; + src <= 94; + when "01011100" => + dest <= 81; + src <= 66; + when "01011101" => + dest <= 96; + src <= 67; + when "01011110" => + dest <= 67; + src <= 5; + when "01011111" => + dest <= 25; + src <= 28; + when "01100000" => + dest <= 72; + src <= 67; + when "01100001" => + dest <= 67; + src <= 67; + when "01100010" => + dest <= 67; + src <= 18; + when "01100011" => + dest <= 85; + src <= 38; + when "01100100" => + dest <= 51; + src <= 43; + when "01100101" => + dest <= 44; + src <= 67; + when "01100110" => + dest <= 67; + src <= 67; + when "01100111" => + dest <= 40; + src <= 9; + when "01101000" => + dest <= 86; + src <= 52; + when "01101001" => + dest <= 39; + src <= 49; + when "01101010" => + dest <= 67; + src <= 67; + when "01101011" => + dest <= 74; + src <= 73; + when "01101100" => + dest <= 28; + src <= 80; + when "01101101" => + dest <= 67; + src <= 48; + when "01101110" => + dest <= 99; + src <= 84; + when "01101111" => + dest <= 67; + src <= 67; + when "01110000" => + dest <= 26; + src <= 95; + when "01110001" => + dest <= 80; + src <= 50; + when "01110010" => + dest <= 67; + src <= 67; + when "01110011" => + dest <= 67; + src <= 6; + when "01110100" => + dest <= 35; + src <= 67; + when "01110101" => + dest <= 71; + src <= 35; + when "01110110" => + dest <= 67; + src <= 67; + when "01110111" => + dest <= 17; + src <= 8; + when "01111000" => + dest <= 67; + src <= 44; + when "01111001" => + dest <= 62; + src <= 67; + when "01111010" => + dest <= 67; + src <= 67; + when "01111011" => + dest <= 67; + src <= 99; + when "01111100" => + dest <= 54; + src <= 53; + when "01111101" => + dest <= 50; + src <= 67; + when "01111110" => + dest <= 67; + src <= 17; + when "01111111" => + dest <= 49; + src <= 67; + when "10000000" => + dest <= 67; + src <= 62; + when "10000001" => + dest <= 38; + src <= 67; + when "10000010" => + dest <= 67; + src <= 70; + when "10000011" => + dest <= 98; + src <= 74; + when "10000100" => + dest <= 36; + src <= 67; + when "10000101" => + dest <= 89; + src <= 85; + when "10000110" => + dest <= 67; + src <= 67; + when "10000111" => + dest <= 45; + src <= 96; + when "10001000" => + dest <= 70; + src <= 67; + when "10001001" => + dest <= 27; + src <= 36; + when "10001010" => + dest <= 67; + src <= 98; + when "10001011" => + dest <= 61; + src <= 45; + when "10001100" => + dest <= 67; + src <= 67; + when "10001101" => + dest <= 67; + src <= 89; + when "10001110" => + dest <= 59; + src <= 54; + when "10001111" => + dest <= 48; + src <= 7; + when "10010000" => + dest <= 67; + src <= 67; + when "10010001" => + dest <= 46; + src <= 63; + when "10010010" => + dest <= 88; + src <= 67; + when "10010011" => + dest <= 37; + src <= 75; + when "10010100" => + dest <= 60; + src <= 86; + when "10010101" => + dest <= 67; + src <= 67; + when "10010110" => + dest <= 58; + src <= 88; + when "10010111" => + dest <= 47; + src <= 46; + when "10011000" => + dest <= 69; + src <= 97; + when "10011001" => + dest <= 67; + src <= 64; + when "10011010" => + dest <= 67; + src <= 76; + when "10011011" => + dest <= 12; + src <= 87; + when "10011100" => + dest <= 1; + src <= 65; + when others => + dest <= 67; + src <= 67; + + end case; + end process; + + end generate NI_NUM67; + + NI_NUM68 : if NI_NUM = 68 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 74; + src <= 68; + when "00000001" => + dest <= 88; + src <= 68; + when "00000010" => + dest <= 68; + src <= 68; + when "00000011" => + dest <= 58; + src <= 68; + when "00000100" => + dest <= 23; + src <= 68; + when "00000101" => + dest <= 14; + src <= 48; + when "00000110" => + dest <= 68; + src <= 78; + when "00000111" => + dest <= 4; + src <= 52; + when "00001000" => + dest <= 56; + src <= 68; + when "00001001" => + dest <= 68; + src <= 24; + when "00001010" => + dest <= 68; + src <= 13; + when "00001011" => + dest <= 78; + src <= 68; + when "00001100" => + dest <= 94; + src <= 68; + when "00001101" => + dest <= 3; + src <= 70; + when "00001110" => + dest <= 12; + src <= 58; + when "00001111" => + dest <= 98; + src <= 3; + when "00010000" => + dest <= 68; + src <= 12; + when "00010001" => + dest <= 68; + src <= 22; + when "00010010" => + dest <= 68; + src <= 68; + when "00010011" => + dest <= 54; + src <= 68; + when "00010100" => + dest <= 33; + src <= 38; + when "00010101" => + dest <= 68; + src <= 32; + when "00010110" => + dest <= 8; + src <= 68; + when "00010111" => + dest <= 57; + src <= 68; + when "00011000" => + dest <= 22; + src <= 23; + when "00011001" => + dest <= 15; + src <= 14; + when "00011010" => + dest <= 68; + src <= 72; + when "00011011" => + dest <= 5; + src <= 79; + when "00011100" => + dest <= 65; + src <= 28; + when "00011101" => + dest <= 68; + src <= 68; + when "00011110" => + dest <= 68; + src <= 93; + when "00011111" => + dest <= 95; + src <= 68; + when "00100000" => + dest <= 70; + src <= 68; + when "00100001" => + dest <= 24; + src <= 61; + when "00100010" => + dest <= 11; + src <= 4; + when "00100011" => + dest <= 93; + src <= 11; + when "00100100" => + dest <= 68; + src <= 21; + when "00100101" => + dest <= 79; + src <= 56; + when "00100110" => + dest <= 68; + src <= 68; + when "00100111" => + dest <= 84; + src <= 31; + when "00101000" => + dest <= 68; + src <= 68; + when "00101001" => + dest <= 68; + src <= 57; + when "00101010" => + dest <= 43; + src <= 68; + when "00101011" => + dest <= 9; + src <= 2; + when "00101100" => + dest <= 44; + src <= 15; + when "00101101" => + dest <= 67; + src <= 33; + when "00101110" => + dest <= 32; + src <= 68; + when "00101111" => + dest <= 68; + src <= 42; + when "00110000" => + dest <= 68; + src <= 69; + when "00110001" => + dest <= 21; + src <= 68; + when "00110010" => + dest <= 25; + src <= 27; + when "00110011" => + dest <= 68; + src <= 83; + when "00110100" => + dest <= 6; + src <= 82; + when "00110101" => + dest <= 66; + src <= 68; + when "00110110" => + dest <= 68; + src <= 68; + when "00110111" => + dest <= 68; + src <= 94; + when "00111000" => + dest <= 1; + src <= 68; + when "00111001" => + dest <= 34; + src <= 60; + when "00111010" => + dest <= 77; + src <= 5; + when "00111011" => + dest <= 10; + src <= 1; + when "00111100" => + dest <= 68; + src <= 20; + when "00111101" => + dest <= 92; + src <= 68; + when "00111110" => + dest <= 68; + src <= 59; + when "00111111" => + dest <= 83; + src <= 68; + when "01000000" => + dest <= 68; + src <= 68; + when "01000001" => + dest <= 64; + src <= 25; + when "01000010" => + dest <= 16; + src <= 92; + when "01000011" => + dest <= 68; + src <= 68; + when "01000100" => + dest <= 76; + src <= 16; + when "01000101" => + dest <= 68; + src <= 68; + when "01000110" => + dest <= 0; + src <= 34; + when "01000111" => + dest <= 53; + src <= 62; + when "01001000" => + dest <= 35; + src <= 43; + when "01001001" => + dest <= 68; + src <= 50; + when "01001010" => + dest <= 68; + src <= 68; + when "01001011" => + dest <= 68; + src <= 10; + when "01001100" => + dest <= 42; + src <= 68; + when "01001101" => + dest <= 19; + src <= 68; + when "01001110" => + dest <= 68; + src <= 26; + when "01001111" => + dest <= 85; + src <= 73; + when "01010000" => + dest <= 68; + src <= 91; + when "01010001" => + dest <= 96; + src <= 68; + when "01010010" => + dest <= 91; + src <= 68; + when "01010011" => + dest <= 31; + src <= 68; + when "01010100" => + dest <= 68; + src <= 84; + when "01010101" => + dest <= 68; + src <= 17; + when "01010110" => + dest <= 20; + src <= 41; + when "01010111" => + dest <= 68; + src <= 68; + when "01011000" => + dest <= 7; + src <= 30; + when "01011001" => + dest <= 69; + src <= 68; + when "01011010" => + dest <= 17; + src <= 35; + when "01011011" => + dest <= 68; + src <= 95; + when "01011100" => + dest <= 82; + src <= 67; + when "01011101" => + dest <= 97; + src <= 68; + when "01011110" => + dest <= 68; + src <= 6; + when "01011111" => + dest <= 26; + src <= 29; + when "01100000" => + dest <= 73; + src <= 68; + when "01100001" => + dest <= 68; + src <= 68; + when "01100010" => + dest <= 68; + src <= 19; + when "01100011" => + dest <= 86; + src <= 39; + when "01100100" => + dest <= 52; + src <= 44; + when "01100101" => + dest <= 45; + src <= 68; + when "01100110" => + dest <= 68; + src <= 68; + when "01100111" => + dest <= 41; + src <= 0; + when "01101000" => + dest <= 87; + src <= 53; + when "01101001" => + dest <= 30; + src <= 40; + when "01101010" => + dest <= 68; + src <= 68; + when "01101011" => + dest <= 75; + src <= 74; + when "01101100" => + dest <= 29; + src <= 81; + when "01101101" => + dest <= 68; + src <= 49; + when "01101110" => + dest <= 90; + src <= 85; + when "01101111" => + dest <= 68; + src <= 68; + when "01110000" => + dest <= 27; + src <= 96; + when "01110001" => + dest <= 81; + src <= 51; + when "01110010" => + dest <= 68; + src <= 68; + when "01110011" => + dest <= 68; + src <= 7; + when "01110100" => + dest <= 36; + src <= 68; + when "01110101" => + dest <= 72; + src <= 36; + when "01110110" => + dest <= 68; + src <= 68; + when "01110111" => + dest <= 18; + src <= 9; + when "01111000" => + dest <= 68; + src <= 45; + when "01111001" => + dest <= 63; + src <= 68; + when "01111010" => + dest <= 68; + src <= 68; + when "01111011" => + dest <= 68; + src <= 90; + when "01111100" => + dest <= 55; + src <= 54; + when "01111101" => + dest <= 51; + src <= 68; + when "01111110" => + dest <= 68; + src <= 18; + when "01111111" => + dest <= 40; + src <= 68; + when "10000000" => + dest <= 68; + src <= 63; + when "10000001" => + dest <= 39; + src <= 68; + when "10000010" => + dest <= 68; + src <= 71; + when "10000011" => + dest <= 99; + src <= 75; + when "10000100" => + dest <= 37; + src <= 68; + when "10000101" => + dest <= 80; + src <= 86; + when "10000110" => + dest <= 68; + src <= 68; + when "10000111" => + dest <= 46; + src <= 97; + when "10001000" => + dest <= 71; + src <= 68; + when "10001001" => + dest <= 28; + src <= 37; + when "10001010" => + dest <= 68; + src <= 99; + when "10001011" => + dest <= 62; + src <= 46; + when "10001100" => + dest <= 68; + src <= 68; + when "10001101" => + dest <= 68; + src <= 80; + when "10001110" => + dest <= 50; + src <= 55; + when "10001111" => + dest <= 49; + src <= 8; + when "10010000" => + dest <= 68; + src <= 68; + when "10010001" => + dest <= 47; + src <= 64; + when "10010010" => + dest <= 89; + src <= 68; + when "10010011" => + dest <= 38; + src <= 76; + when "10010100" => + dest <= 61; + src <= 87; + when "10010101" => + dest <= 68; + src <= 68; + when "10010110" => + dest <= 59; + src <= 89; + when "10010111" => + dest <= 48; + src <= 47; + when "10011000" => + dest <= 60; + src <= 98; + when "10011001" => + dest <= 68; + src <= 65; + when "10011010" => + dest <= 68; + src <= 77; + when "10011011" => + dest <= 13; + src <= 88; + when "10011100" => + dest <= 2; + src <= 66; + when others => + dest <= 68; + src <= 68; + + end case; + end process; + + end generate NI_NUM68; + + NI_NUM69 : if NI_NUM = 69 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 75; + src <= 69; + when "00000001" => + dest <= 89; + src <= 69; + when "00000010" => + dest <= 69; + src <= 69; + when "00000011" => + dest <= 59; + src <= 69; + when "00000100" => + dest <= 24; + src <= 69; + when "00000101" => + dest <= 15; + src <= 49; + when "00000110" => + dest <= 69; + src <= 79; + when "00000111" => + dest <= 5; + src <= 53; + when "00001000" => + dest <= 57; + src <= 69; + when "00001001" => + dest <= 69; + src <= 25; + when "00001010" => + dest <= 69; + src <= 14; + when "00001011" => + dest <= 79; + src <= 69; + when "00001100" => + dest <= 95; + src <= 69; + when "00001101" => + dest <= 4; + src <= 71; + when "00001110" => + dest <= 13; + src <= 59; + when "00001111" => + dest <= 99; + src <= 4; + when "00010000" => + dest <= 69; + src <= 13; + when "00010001" => + dest <= 69; + src <= 23; + when "00010010" => + dest <= 69; + src <= 69; + when "00010011" => + dest <= 55; + src <= 69; + when "00010100" => + dest <= 34; + src <= 39; + when "00010101" => + dest <= 69; + src <= 33; + when "00010110" => + dest <= 9; + src <= 69; + when "00010111" => + dest <= 58; + src <= 69; + when "00011000" => + dest <= 23; + src <= 24; + when "00011001" => + dest <= 16; + src <= 15; + when "00011010" => + dest <= 69; + src <= 73; + when "00011011" => + dest <= 6; + src <= 70; + when "00011100" => + dest <= 66; + src <= 29; + when "00011101" => + dest <= 69; + src <= 69; + when "00011110" => + dest <= 69; + src <= 94; + when "00011111" => + dest <= 96; + src <= 69; + when "00100000" => + dest <= 71; + src <= 69; + when "00100001" => + dest <= 25; + src <= 62; + when "00100010" => + dest <= 12; + src <= 5; + when "00100011" => + dest <= 94; + src <= 12; + when "00100100" => + dest <= 69; + src <= 22; + when "00100101" => + dest <= 70; + src <= 57; + when "00100110" => + dest <= 69; + src <= 69; + when "00100111" => + dest <= 85; + src <= 32; + when "00101000" => + dest <= 69; + src <= 69; + when "00101001" => + dest <= 69; + src <= 58; + when "00101010" => + dest <= 44; + src <= 69; + when "00101011" => + dest <= 0; + src <= 3; + when "00101100" => + dest <= 45; + src <= 16; + when "00101101" => + dest <= 68; + src <= 34; + when "00101110" => + dest <= 33; + src <= 69; + when "00101111" => + dest <= 69; + src <= 43; + when "00110000" => + dest <= 69; + src <= 60; + when "00110001" => + dest <= 22; + src <= 69; + when "00110010" => + dest <= 26; + src <= 28; + when "00110011" => + dest <= 69; + src <= 84; + when "00110100" => + dest <= 7; + src <= 83; + when "00110101" => + dest <= 67; + src <= 69; + when "00110110" => + dest <= 69; + src <= 69; + when "00110111" => + dest <= 69; + src <= 95; + when "00111000" => + dest <= 2; + src <= 69; + when "00111001" => + dest <= 35; + src <= 61; + when "00111010" => + dest <= 78; + src <= 6; + when "00111011" => + dest <= 11; + src <= 2; + when "00111100" => + dest <= 69; + src <= 21; + when "00111101" => + dest <= 93; + src <= 69; + when "00111110" => + dest <= 69; + src <= 50; + when "00111111" => + dest <= 84; + src <= 69; + when "01000000" => + dest <= 69; + src <= 69; + when "01000001" => + dest <= 65; + src <= 26; + when "01000010" => + dest <= 17; + src <= 93; + when "01000011" => + dest <= 69; + src <= 69; + when "01000100" => + dest <= 77; + src <= 17; + when "01000101" => + dest <= 69; + src <= 69; + when "01000110" => + dest <= 1; + src <= 35; + when "01000111" => + dest <= 54; + src <= 63; + when "01001000" => + dest <= 36; + src <= 44; + when "01001001" => + dest <= 69; + src <= 51; + when "01001010" => + dest <= 69; + src <= 69; + when "01001011" => + dest <= 69; + src <= 11; + when "01001100" => + dest <= 43; + src <= 69; + when "01001101" => + dest <= 10; + src <= 69; + when "01001110" => + dest <= 69; + src <= 27; + when "01001111" => + dest <= 86; + src <= 74; + when "01010000" => + dest <= 69; + src <= 92; + when "01010001" => + dest <= 97; + src <= 69; + when "01010010" => + dest <= 92; + src <= 69; + when "01010011" => + dest <= 32; + src <= 69; + when "01010100" => + dest <= 69; + src <= 85; + when "01010101" => + dest <= 69; + src <= 18; + when "01010110" => + dest <= 21; + src <= 42; + when "01010111" => + dest <= 69; + src <= 69; + when "01011000" => + dest <= 8; + src <= 31; + when "01011001" => + dest <= 60; + src <= 69; + when "01011010" => + dest <= 18; + src <= 36; + when "01011011" => + dest <= 69; + src <= 96; + when "01011100" => + dest <= 83; + src <= 68; + when "01011101" => + dest <= 98; + src <= 69; + when "01011110" => + dest <= 69; + src <= 7; + when "01011111" => + dest <= 27; + src <= 20; + when "01100000" => + dest <= 74; + src <= 69; + when "01100001" => + dest <= 69; + src <= 69; + when "01100010" => + dest <= 69; + src <= 10; + when "01100011" => + dest <= 87; + src <= 30; + when "01100100" => + dest <= 53; + src <= 45; + when "01100101" => + dest <= 46; + src <= 69; + when "01100110" => + dest <= 69; + src <= 69; + when "01100111" => + dest <= 42; + src <= 1; + when "01101000" => + dest <= 88; + src <= 54; + when "01101001" => + dest <= 31; + src <= 41; + when "01101010" => + dest <= 69; + src <= 69; + when "01101011" => + dest <= 76; + src <= 75; + when "01101100" => + dest <= 20; + src <= 82; + when "01101101" => + dest <= 69; + src <= 40; + when "01101110" => + dest <= 91; + src <= 86; + when "01101111" => + dest <= 69; + src <= 69; + when "01110000" => + dest <= 28; + src <= 97; + when "01110001" => + dest <= 82; + src <= 52; + when "01110010" => + dest <= 69; + src <= 69; + when "01110011" => + dest <= 69; + src <= 8; + when "01110100" => + dest <= 37; + src <= 69; + when "01110101" => + dest <= 73; + src <= 37; + when "01110110" => + dest <= 69; + src <= 69; + when "01110111" => + dest <= 19; + src <= 0; + when "01111000" => + dest <= 69; + src <= 46; + when "01111001" => + dest <= 64; + src <= 69; + when "01111010" => + dest <= 69; + src <= 69; + when "01111011" => + dest <= 69; + src <= 91; + when "01111100" => + dest <= 56; + src <= 55; + when "01111101" => + dest <= 52; + src <= 69; + when "01111110" => + dest <= 69; + src <= 19; + when "01111111" => + dest <= 41; + src <= 69; + when "10000000" => + dest <= 69; + src <= 64; + when "10000001" => + dest <= 30; + src <= 69; + when "10000010" => + dest <= 69; + src <= 72; + when "10000011" => + dest <= 90; + src <= 76; + when "10000100" => + dest <= 38; + src <= 69; + when "10000101" => + dest <= 81; + src <= 87; + when "10000110" => + dest <= 69; + src <= 69; + when "10000111" => + dest <= 47; + src <= 98; + when "10001000" => + dest <= 72; + src <= 69; + when "10001001" => + dest <= 29; + src <= 38; + when "10001010" => + dest <= 69; + src <= 90; + when "10001011" => + dest <= 63; + src <= 47; + when "10001100" => + dest <= 69; + src <= 69; + when "10001101" => + dest <= 69; + src <= 81; + when "10001110" => + dest <= 51; + src <= 56; + when "10001111" => + dest <= 40; + src <= 9; + when "10010000" => + dest <= 69; + src <= 69; + when "10010001" => + dest <= 48; + src <= 65; + when "10010010" => + dest <= 80; + src <= 69; + when "10010011" => + dest <= 39; + src <= 77; + when "10010100" => + dest <= 62; + src <= 88; + when "10010101" => + dest <= 69; + src <= 69; + when "10010110" => + dest <= 50; + src <= 80; + when "10010111" => + dest <= 49; + src <= 48; + when "10011000" => + dest <= 61; + src <= 99; + when "10011001" => + dest <= 69; + src <= 66; + when "10011010" => + dest <= 69; + src <= 78; + when "10011011" => + dest <= 14; + src <= 89; + when "10011100" => + dest <= 3; + src <= 67; + when others => + dest <= 69; + src <= 69; + + end case; + end process; + + end generate NI_NUM69; + + NI_NUM70 : if NI_NUM = 70 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 86; + src <= 70; + when "00000001" => + dest <= 90; + src <= 70; + when "00000010" => + dest <= 70; + src <= 70; + when "00000011" => + dest <= 60; + src <= 70; + when "00000100" => + dest <= 35; + src <= 70; + when "00000101" => + dest <= 26; + src <= 50; + when "00000110" => + dest <= 70; + src <= 80; + when "00000111" => + dest <= 16; + src <= 64; + when "00001000" => + dest <= 68; + src <= 70; + when "00001001" => + dest <= 70; + src <= 36; + when "00001010" => + dest <= 70; + src <= 25; + when "00001011" => + dest <= 80; + src <= 70; + when "00001100" => + dest <= 6; + src <= 70; + when "00001101" => + dest <= 15; + src <= 82; + when "00001110" => + dest <= 24; + src <= 60; + when "00001111" => + dest <= 0; + src <= 15; + when "00010000" => + dest <= 70; + src <= 24; + when "00010001" => + dest <= 70; + src <= 34; + when "00010010" => + dest <= 70; + src <= 70; + when "00010011" => + dest <= 66; + src <= 70; + when "00010100" => + dest <= 45; + src <= 40; + when "00010101" => + dest <= 70; + src <= 44; + when "00010110" => + dest <= 10; + src <= 70; + when "00010111" => + dest <= 69; + src <= 70; + when "00011000" => + dest <= 34; + src <= 35; + when "00011001" => + dest <= 27; + src <= 26; + when "00011010" => + dest <= 70; + src <= 84; + when "00011011" => + dest <= 17; + src <= 81; + when "00011100" => + dest <= 77; + src <= 30; + when "00011101" => + dest <= 70; + src <= 70; + when "00011110" => + dest <= 70; + src <= 5; + when "00011111" => + dest <= 7; + src <= 70; + when "00100000" => + dest <= 82; + src <= 70; + when "00100001" => + dest <= 36; + src <= 73; + when "00100010" => + dest <= 23; + src <= 16; + when "00100011" => + dest <= 5; + src <= 23; + when "00100100" => + dest <= 70; + src <= 33; + when "00100101" => + dest <= 81; + src <= 68; + when "00100110" => + dest <= 70; + src <= 70; + when "00100111" => + dest <= 96; + src <= 43; + when "00101000" => + dest <= 70; + src <= 70; + when "00101001" => + dest <= 70; + src <= 69; + when "00101010" => + dest <= 55; + src <= 70; + when "00101011" => + dest <= 11; + src <= 14; + when "00101100" => + dest <= 56; + src <= 27; + when "00101101" => + dest <= 79; + src <= 45; + when "00101110" => + dest <= 44; + src <= 70; + when "00101111" => + dest <= 70; + src <= 54; + when "00110000" => + dest <= 70; + src <= 71; + when "00110001" => + dest <= 33; + src <= 70; + when "00110010" => + dest <= 37; + src <= 39; + when "00110011" => + dest <= 70; + src <= 95; + when "00110100" => + dest <= 18; + src <= 94; + when "00110101" => + dest <= 78; + src <= 70; + when "00110110" => + dest <= 70; + src <= 70; + when "00110111" => + dest <= 70; + src <= 6; + when "00111000" => + dest <= 13; + src <= 70; + when "00111001" => + dest <= 46; + src <= 72; + when "00111010" => + dest <= 89; + src <= 17; + when "00111011" => + dest <= 22; + src <= 13; + when "00111100" => + dest <= 70; + src <= 32; + when "00111101" => + dest <= 4; + src <= 70; + when "00111110" => + dest <= 70; + src <= 61; + when "00111111" => + dest <= 95; + src <= 70; + when "01000000" => + dest <= 70; + src <= 70; + when "01000001" => + dest <= 76; + src <= 37; + when "01000010" => + dest <= 28; + src <= 4; + when "01000011" => + dest <= 70; + src <= 70; + when "01000100" => + dest <= 88; + src <= 28; + when "01000101" => + dest <= 70; + src <= 70; + when "01000110" => + dest <= 12; + src <= 46; + when "01000111" => + dest <= 65; + src <= 74; + when "01001000" => + dest <= 47; + src <= 55; + when "01001001" => + dest <= 70; + src <= 62; + when "01001010" => + dest <= 70; + src <= 70; + when "01001011" => + dest <= 70; + src <= 22; + when "01001100" => + dest <= 54; + src <= 70; + when "01001101" => + dest <= 21; + src <= 70; + when "01001110" => + dest <= 70; + src <= 38; + when "01001111" => + dest <= 97; + src <= 85; + when "01010000" => + dest <= 70; + src <= 3; + when "01010001" => + dest <= 8; + src <= 70; + when "01010010" => + dest <= 3; + src <= 70; + when "01010011" => + dest <= 43; + src <= 70; + when "01010100" => + dest <= 70; + src <= 96; + when "01010101" => + dest <= 70; + src <= 29; + when "01010110" => + dest <= 32; + src <= 53; + when "01010111" => + dest <= 70; + src <= 70; + when "01011000" => + dest <= 19; + src <= 42; + when "01011001" => + dest <= 71; + src <= 70; + when "01011010" => + dest <= 29; + src <= 47; + when "01011011" => + dest <= 70; + src <= 7; + when "01011100" => + dest <= 94; + src <= 79; + when "01011101" => + dest <= 9; + src <= 70; + when "01011110" => + dest <= 70; + src <= 18; + when "01011111" => + dest <= 38; + src <= 31; + when "01100000" => + dest <= 85; + src <= 70; + when "01100001" => + dest <= 70; + src <= 70; + when "01100010" => + dest <= 70; + src <= 21; + when "01100011" => + dest <= 98; + src <= 41; + when "01100100" => + dest <= 64; + src <= 56; + when "01100101" => + dest <= 57; + src <= 70; + when "01100110" => + dest <= 70; + src <= 70; + when "01100111" => + dest <= 53; + src <= 12; + when "01101000" => + dest <= 99; + src <= 65; + when "01101001" => + dest <= 42; + src <= 52; + when "01101010" => + dest <= 70; + src <= 70; + when "01101011" => + dest <= 87; + src <= 86; + when "01101100" => + dest <= 31; + src <= 93; + when "01101101" => + dest <= 70; + src <= 51; + when "01101110" => + dest <= 2; + src <= 97; + when "01101111" => + dest <= 70; + src <= 70; + when "01110000" => + dest <= 39; + src <= 8; + when "01110001" => + dest <= 93; + src <= 63; + when "01110010" => + dest <= 70; + src <= 70; + when "01110011" => + dest <= 70; + src <= 19; + when "01110100" => + dest <= 48; + src <= 70; + when "01110101" => + dest <= 84; + src <= 48; + when "01110110" => + dest <= 70; + src <= 70; + when "01110111" => + dest <= 20; + src <= 11; + when "01111000" => + dest <= 70; + src <= 57; + when "01111001" => + dest <= 75; + src <= 70; + when "01111010" => + dest <= 70; + src <= 70; + when "01111011" => + dest <= 70; + src <= 2; + when "01111100" => + dest <= 67; + src <= 66; + when "01111101" => + dest <= 63; + src <= 70; + when "01111110" => + dest <= 70; + src <= 20; + when "01111111" => + dest <= 52; + src <= 70; + when "10000000" => + dest <= 70; + src <= 75; + when "10000001" => + dest <= 41; + src <= 70; + when "10000010" => + dest <= 70; + src <= 83; + when "10000011" => + dest <= 1; + src <= 87; + when "10000100" => + dest <= 49; + src <= 70; + when "10000101" => + dest <= 92; + src <= 98; + when "10000110" => + dest <= 70; + src <= 70; + when "10000111" => + dest <= 58; + src <= 9; + when "10001000" => + dest <= 83; + src <= 70; + when "10001001" => + dest <= 30; + src <= 49; + when "10001010" => + dest <= 70; + src <= 1; + when "10001011" => + dest <= 74; + src <= 58; + when "10001100" => + dest <= 70; + src <= 70; + when "10001101" => + dest <= 70; + src <= 92; + when "10001110" => + dest <= 62; + src <= 67; + when "10001111" => + dest <= 51; + src <= 10; + when "10010000" => + dest <= 70; + src <= 70; + when "10010001" => + dest <= 59; + src <= 76; + when "10010010" => + dest <= 91; + src <= 70; + when "10010011" => + dest <= 40; + src <= 88; + when "10010100" => + dest <= 73; + src <= 99; + when "10010101" => + dest <= 70; + src <= 70; + when "10010110" => + dest <= 61; + src <= 91; + when "10010111" => + dest <= 50; + src <= 59; + when "10011000" => + dest <= 72; + src <= 0; + when "10011001" => + dest <= 70; + src <= 77; + when "10011010" => + dest <= 70; + src <= 89; + when "10011011" => + dest <= 25; + src <= 90; + when "10011100" => + dest <= 14; + src <= 78; + when others => + dest <= 70; + src <= 70; + + end case; + end process; + + end generate NI_NUM70; + + NI_NUM71 : if NI_NUM = 71 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 87; + src <= 71; + when "00000001" => + dest <= 91; + src <= 71; + when "00000010" => + dest <= 71; + src <= 71; + when "00000011" => + dest <= 61; + src <= 71; + when "00000100" => + dest <= 36; + src <= 71; + when "00000101" => + dest <= 27; + src <= 51; + when "00000110" => + dest <= 71; + src <= 81; + when "00000111" => + dest <= 17; + src <= 65; + when "00001000" => + dest <= 69; + src <= 71; + when "00001001" => + dest <= 71; + src <= 37; + when "00001010" => + dest <= 71; + src <= 26; + when "00001011" => + dest <= 81; + src <= 71; + when "00001100" => + dest <= 7; + src <= 71; + when "00001101" => + dest <= 16; + src <= 83; + when "00001110" => + dest <= 25; + src <= 61; + when "00001111" => + dest <= 1; + src <= 16; + when "00010000" => + dest <= 71; + src <= 25; + when "00010001" => + dest <= 71; + src <= 35; + when "00010010" => + dest <= 71; + src <= 71; + when "00010011" => + dest <= 67; + src <= 71; + when "00010100" => + dest <= 46; + src <= 41; + when "00010101" => + dest <= 71; + src <= 45; + when "00010110" => + dest <= 11; + src <= 71; + when "00010111" => + dest <= 60; + src <= 71; + when "00011000" => + dest <= 35; + src <= 36; + when "00011001" => + dest <= 28; + src <= 27; + when "00011010" => + dest <= 71; + src <= 85; + when "00011011" => + dest <= 18; + src <= 82; + when "00011100" => + dest <= 78; + src <= 31; + when "00011101" => + dest <= 71; + src <= 71; + when "00011110" => + dest <= 71; + src <= 6; + when "00011111" => + dest <= 8; + src <= 71; + when "00100000" => + dest <= 83; + src <= 71; + when "00100001" => + dest <= 37; + src <= 74; + when "00100010" => + dest <= 24; + src <= 17; + when "00100011" => + dest <= 6; + src <= 24; + when "00100100" => + dest <= 71; + src <= 34; + when "00100101" => + dest <= 82; + src <= 69; + when "00100110" => + dest <= 71; + src <= 71; + when "00100111" => + dest <= 97; + src <= 44; + when "00101000" => + dest <= 71; + src <= 71; + when "00101001" => + dest <= 71; + src <= 60; + when "00101010" => + dest <= 56; + src <= 71; + when "00101011" => + dest <= 12; + src <= 15; + when "00101100" => + dest <= 57; + src <= 28; + when "00101101" => + dest <= 70; + src <= 46; + when "00101110" => + dest <= 45; + src <= 71; + when "00101111" => + dest <= 71; + src <= 55; + when "00110000" => + dest <= 71; + src <= 72; + when "00110001" => + dest <= 34; + src <= 71; + when "00110010" => + dest <= 38; + src <= 30; + when "00110011" => + dest <= 71; + src <= 96; + when "00110100" => + dest <= 19; + src <= 95; + when "00110101" => + dest <= 79; + src <= 71; + when "00110110" => + dest <= 71; + src <= 71; + when "00110111" => + dest <= 71; + src <= 7; + when "00111000" => + dest <= 14; + src <= 71; + when "00111001" => + dest <= 47; + src <= 73; + when "00111010" => + dest <= 80; + src <= 18; + when "00111011" => + dest <= 23; + src <= 14; + when "00111100" => + dest <= 71; + src <= 33; + when "00111101" => + dest <= 5; + src <= 71; + when "00111110" => + dest <= 71; + src <= 62; + when "00111111" => + dest <= 96; + src <= 71; + when "01000000" => + dest <= 71; + src <= 71; + when "01000001" => + dest <= 77; + src <= 38; + when "01000010" => + dest <= 29; + src <= 5; + when "01000011" => + dest <= 71; + src <= 71; + when "01000100" => + dest <= 89; + src <= 29; + when "01000101" => + dest <= 71; + src <= 71; + when "01000110" => + dest <= 13; + src <= 47; + when "01000111" => + dest <= 66; + src <= 75; + when "01001000" => + dest <= 48; + src <= 56; + when "01001001" => + dest <= 71; + src <= 63; + when "01001010" => + dest <= 71; + src <= 71; + when "01001011" => + dest <= 71; + src <= 23; + when "01001100" => + dest <= 55; + src <= 71; + when "01001101" => + dest <= 22; + src <= 71; + when "01001110" => + dest <= 71; + src <= 39; + when "01001111" => + dest <= 98; + src <= 86; + when "01010000" => + dest <= 71; + src <= 4; + when "01010001" => + dest <= 9; + src <= 71; + when "01010010" => + dest <= 4; + src <= 71; + when "01010011" => + dest <= 44; + src <= 71; + when "01010100" => + dest <= 71; + src <= 97; + when "01010101" => + dest <= 71; + src <= 20; + when "01010110" => + dest <= 33; + src <= 54; + when "01010111" => + dest <= 71; + src <= 71; + when "01011000" => + dest <= 10; + src <= 43; + when "01011001" => + dest <= 72; + src <= 71; + when "01011010" => + dest <= 20; + src <= 48; + when "01011011" => + dest <= 71; + src <= 8; + when "01011100" => + dest <= 95; + src <= 70; + when "01011101" => + dest <= 0; + src <= 71; + when "01011110" => + dest <= 71; + src <= 19; + when "01011111" => + dest <= 39; + src <= 32; + when "01100000" => + dest <= 86; + src <= 71; + when "01100001" => + dest <= 71; + src <= 71; + when "01100010" => + dest <= 71; + src <= 22; + when "01100011" => + dest <= 99; + src <= 42; + when "01100100" => + dest <= 65; + src <= 57; + when "01100101" => + dest <= 58; + src <= 71; + when "01100110" => + dest <= 71; + src <= 71; + when "01100111" => + dest <= 54; + src <= 13; + when "01101000" => + dest <= 90; + src <= 66; + when "01101001" => + dest <= 43; + src <= 53; + when "01101010" => + dest <= 71; + src <= 71; + when "01101011" => + dest <= 88; + src <= 87; + when "01101100" => + dest <= 32; + src <= 94; + when "01101101" => + dest <= 71; + src <= 52; + when "01101110" => + dest <= 3; + src <= 98; + when "01101111" => + dest <= 71; + src <= 71; + when "01110000" => + dest <= 30; + src <= 9; + when "01110001" => + dest <= 94; + src <= 64; + when "01110010" => + dest <= 71; + src <= 71; + when "01110011" => + dest <= 71; + src <= 10; + when "01110100" => + dest <= 49; + src <= 71; + when "01110101" => + dest <= 85; + src <= 49; + when "01110110" => + dest <= 71; + src <= 71; + when "01110111" => + dest <= 21; + src <= 12; + when "01111000" => + dest <= 71; + src <= 58; + when "01111001" => + dest <= 76; + src <= 71; + when "01111010" => + dest <= 71; + src <= 71; + when "01111011" => + dest <= 71; + src <= 3; + when "01111100" => + dest <= 68; + src <= 67; + when "01111101" => + dest <= 64; + src <= 71; + when "01111110" => + dest <= 71; + src <= 21; + when "01111111" => + dest <= 53; + src <= 71; + when "10000000" => + dest <= 71; + src <= 76; + when "10000001" => + dest <= 42; + src <= 71; + when "10000010" => + dest <= 71; + src <= 84; + when "10000011" => + dest <= 2; + src <= 88; + when "10000100" => + dest <= 40; + src <= 71; + when "10000101" => + dest <= 93; + src <= 99; + when "10000110" => + dest <= 71; + src <= 71; + when "10000111" => + dest <= 59; + src <= 0; + when "10001000" => + dest <= 84; + src <= 71; + when "10001001" => + dest <= 31; + src <= 40; + when "10001010" => + dest <= 71; + src <= 2; + when "10001011" => + dest <= 75; + src <= 59; + when "10001100" => + dest <= 71; + src <= 71; + when "10001101" => + dest <= 71; + src <= 93; + when "10001110" => + dest <= 63; + src <= 68; + when "10001111" => + dest <= 52; + src <= 11; + when "10010000" => + dest <= 71; + src <= 71; + when "10010001" => + dest <= 50; + src <= 77; + when "10010010" => + dest <= 92; + src <= 71; + when "10010011" => + dest <= 41; + src <= 89; + when "10010100" => + dest <= 74; + src <= 90; + when "10010101" => + dest <= 71; + src <= 71; + when "10010110" => + dest <= 62; + src <= 92; + when "10010111" => + dest <= 51; + src <= 50; + when "10011000" => + dest <= 73; + src <= 1; + when "10011001" => + dest <= 71; + src <= 78; + when "10011010" => + dest <= 71; + src <= 80; + when "10011011" => + dest <= 26; + src <= 91; + when "10011100" => + dest <= 15; + src <= 79; + when others => + dest <= 71; + src <= 71; + + end case; + end process; + + end generate NI_NUM71; + + NI_NUM72 : if NI_NUM = 72 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 88; + src <= 72; + when "00000001" => + dest <= 92; + src <= 72; + when "00000010" => + dest <= 72; + src <= 72; + when "00000011" => + dest <= 62; + src <= 72; + when "00000100" => + dest <= 37; + src <= 72; + when "00000101" => + dest <= 28; + src <= 52; + when "00000110" => + dest <= 72; + src <= 82; + when "00000111" => + dest <= 18; + src <= 66; + when "00001000" => + dest <= 60; + src <= 72; + when "00001001" => + dest <= 72; + src <= 38; + when "00001010" => + dest <= 72; + src <= 27; + when "00001011" => + dest <= 82; + src <= 72; + when "00001100" => + dest <= 8; + src <= 72; + when "00001101" => + dest <= 17; + src <= 84; + when "00001110" => + dest <= 26; + src <= 62; + when "00001111" => + dest <= 2; + src <= 17; + when "00010000" => + dest <= 72; + src <= 26; + when "00010001" => + dest <= 72; + src <= 36; + when "00010010" => + dest <= 72; + src <= 72; + when "00010011" => + dest <= 68; + src <= 72; + when "00010100" => + dest <= 47; + src <= 42; + when "00010101" => + dest <= 72; + src <= 46; + when "00010110" => + dest <= 12; + src <= 72; + when "00010111" => + dest <= 61; + src <= 72; + when "00011000" => + dest <= 36; + src <= 37; + when "00011001" => + dest <= 29; + src <= 28; + when "00011010" => + dest <= 72; + src <= 86; + when "00011011" => + dest <= 19; + src <= 83; + when "00011100" => + dest <= 79; + src <= 32; + when "00011101" => + dest <= 72; + src <= 72; + when "00011110" => + dest <= 72; + src <= 7; + when "00011111" => + dest <= 9; + src <= 72; + when "00100000" => + dest <= 84; + src <= 72; + when "00100001" => + dest <= 38; + src <= 75; + when "00100010" => + dest <= 25; + src <= 18; + when "00100011" => + dest <= 7; + src <= 25; + when "00100100" => + dest <= 72; + src <= 35; + when "00100101" => + dest <= 83; + src <= 60; + when "00100110" => + dest <= 72; + src <= 72; + when "00100111" => + dest <= 98; + src <= 45; + when "00101000" => + dest <= 72; + src <= 72; + when "00101001" => + dest <= 72; + src <= 61; + when "00101010" => + dest <= 57; + src <= 72; + when "00101011" => + dest <= 13; + src <= 16; + when "00101100" => + dest <= 58; + src <= 29; + when "00101101" => + dest <= 71; + src <= 47; + when "00101110" => + dest <= 46; + src <= 72; + when "00101111" => + dest <= 72; + src <= 56; + when "00110000" => + dest <= 72; + src <= 73; + when "00110001" => + dest <= 35; + src <= 72; + when "00110010" => + dest <= 39; + src <= 31; + when "00110011" => + dest <= 72; + src <= 97; + when "00110100" => + dest <= 10; + src <= 96; + when "00110101" => + dest <= 70; + src <= 72; + when "00110110" => + dest <= 72; + src <= 72; + when "00110111" => + dest <= 72; + src <= 8; + when "00111000" => + dest <= 15; + src <= 72; + when "00111001" => + dest <= 48; + src <= 74; + when "00111010" => + dest <= 81; + src <= 19; + when "00111011" => + dest <= 24; + src <= 15; + when "00111100" => + dest <= 72; + src <= 34; + when "00111101" => + dest <= 6; + src <= 72; + when "00111110" => + dest <= 72; + src <= 63; + when "00111111" => + dest <= 97; + src <= 72; + when "01000000" => + dest <= 72; + src <= 72; + when "01000001" => + dest <= 78; + src <= 39; + when "01000010" => + dest <= 20; + src <= 6; + when "01000011" => + dest <= 72; + src <= 72; + when "01000100" => + dest <= 80; + src <= 20; + when "01000101" => + dest <= 72; + src <= 72; + when "01000110" => + dest <= 14; + src <= 48; + when "01000111" => + dest <= 67; + src <= 76; + when "01001000" => + dest <= 49; + src <= 57; + when "01001001" => + dest <= 72; + src <= 64; + when "01001010" => + dest <= 72; + src <= 72; + when "01001011" => + dest <= 72; + src <= 24; + when "01001100" => + dest <= 56; + src <= 72; + when "01001101" => + dest <= 23; + src <= 72; + when "01001110" => + dest <= 72; + src <= 30; + when "01001111" => + dest <= 99; + src <= 87; + when "01010000" => + dest <= 72; + src <= 5; + when "01010001" => + dest <= 0; + src <= 72; + when "01010010" => + dest <= 5; + src <= 72; + when "01010011" => + dest <= 45; + src <= 72; + when "01010100" => + dest <= 72; + src <= 98; + when "01010101" => + dest <= 72; + src <= 21; + when "01010110" => + dest <= 34; + src <= 55; + when "01010111" => + dest <= 72; + src <= 72; + when "01011000" => + dest <= 11; + src <= 44; + when "01011001" => + dest <= 73; + src <= 72; + when "01011010" => + dest <= 21; + src <= 49; + when "01011011" => + dest <= 72; + src <= 9; + when "01011100" => + dest <= 96; + src <= 71; + when "01011101" => + dest <= 1; + src <= 72; + when "01011110" => + dest <= 72; + src <= 10; + when "01011111" => + dest <= 30; + src <= 33; + when "01100000" => + dest <= 87; + src <= 72; + when "01100001" => + dest <= 72; + src <= 72; + when "01100010" => + dest <= 72; + src <= 23; + when "01100011" => + dest <= 90; + src <= 43; + when "01100100" => + dest <= 66; + src <= 58; + when "01100101" => + dest <= 59; + src <= 72; + when "01100110" => + dest <= 72; + src <= 72; + when "01100111" => + dest <= 55; + src <= 14; + when "01101000" => + dest <= 91; + src <= 67; + when "01101001" => + dest <= 44; + src <= 54; + when "01101010" => + dest <= 72; + src <= 72; + when "01101011" => + dest <= 89; + src <= 88; + when "01101100" => + dest <= 33; + src <= 95; + when "01101101" => + dest <= 72; + src <= 53; + when "01101110" => + dest <= 4; + src <= 99; + when "01101111" => + dest <= 72; + src <= 72; + when "01110000" => + dest <= 31; + src <= 0; + when "01110001" => + dest <= 95; + src <= 65; + when "01110010" => + dest <= 72; + src <= 72; + when "01110011" => + dest <= 72; + src <= 11; + when "01110100" => + dest <= 40; + src <= 72; + when "01110101" => + dest <= 86; + src <= 40; + when "01110110" => + dest <= 72; + src <= 72; + when "01110111" => + dest <= 22; + src <= 13; + when "01111000" => + dest <= 72; + src <= 59; + when "01111001" => + dest <= 77; + src <= 72; + when "01111010" => + dest <= 72; + src <= 72; + when "01111011" => + dest <= 72; + src <= 4; + when "01111100" => + dest <= 69; + src <= 68; + when "01111101" => + dest <= 65; + src <= 72; + when "01111110" => + dest <= 72; + src <= 22; + when "01111111" => + dest <= 54; + src <= 72; + when "10000000" => + dest <= 72; + src <= 77; + when "10000001" => + dest <= 43; + src <= 72; + when "10000010" => + dest <= 72; + src <= 85; + when "10000011" => + dest <= 3; + src <= 89; + when "10000100" => + dest <= 41; + src <= 72; + when "10000101" => + dest <= 94; + src <= 90; + when "10000110" => + dest <= 72; + src <= 72; + when "10000111" => + dest <= 50; + src <= 1; + when "10001000" => + dest <= 85; + src <= 72; + when "10001001" => + dest <= 32; + src <= 41; + when "10001010" => + dest <= 72; + src <= 3; + when "10001011" => + dest <= 76; + src <= 50; + when "10001100" => + dest <= 72; + src <= 72; + when "10001101" => + dest <= 72; + src <= 94; + when "10001110" => + dest <= 64; + src <= 69; + when "10001111" => + dest <= 53; + src <= 12; + when "10010000" => + dest <= 72; + src <= 72; + when "10010001" => + dest <= 51; + src <= 78; + when "10010010" => + dest <= 93; + src <= 72; + when "10010011" => + dest <= 42; + src <= 80; + when "10010100" => + dest <= 75; + src <= 91; + when "10010101" => + dest <= 72; + src <= 72; + when "10010110" => + dest <= 63; + src <= 93; + when "10010111" => + dest <= 52; + src <= 51; + when "10011000" => + dest <= 74; + src <= 2; + when "10011001" => + dest <= 72; + src <= 79; + when "10011010" => + dest <= 72; + src <= 81; + when "10011011" => + dest <= 27; + src <= 92; + when "10011100" => + dest <= 16; + src <= 70; + when others => + dest <= 72; + src <= 72; + + end case; + end process; + + end generate NI_NUM72; + + NI_NUM73 : if NI_NUM = 73 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 89; + src <= 73; + when "00000001" => + dest <= 93; + src <= 73; + when "00000010" => + dest <= 73; + src <= 73; + when "00000011" => + dest <= 63; + src <= 73; + when "00000100" => + dest <= 38; + src <= 73; + when "00000101" => + dest <= 29; + src <= 53; + when "00000110" => + dest <= 73; + src <= 83; + when "00000111" => + dest <= 19; + src <= 67; + when "00001000" => + dest <= 61; + src <= 73; + when "00001001" => + dest <= 73; + src <= 39; + when "00001010" => + dest <= 73; + src <= 28; + when "00001011" => + dest <= 83; + src <= 73; + when "00001100" => + dest <= 9; + src <= 73; + when "00001101" => + dest <= 18; + src <= 85; + when "00001110" => + dest <= 27; + src <= 63; + when "00001111" => + dest <= 3; + src <= 18; + when "00010000" => + dest <= 73; + src <= 27; + when "00010001" => + dest <= 73; + src <= 37; + when "00010010" => + dest <= 73; + src <= 73; + when "00010011" => + dest <= 69; + src <= 73; + when "00010100" => + dest <= 48; + src <= 43; + when "00010101" => + dest <= 73; + src <= 47; + when "00010110" => + dest <= 13; + src <= 73; + when "00010111" => + dest <= 62; + src <= 73; + when "00011000" => + dest <= 37; + src <= 38; + when "00011001" => + dest <= 20; + src <= 29; + when "00011010" => + dest <= 73; + src <= 87; + when "00011011" => + dest <= 10; + src <= 84; + when "00011100" => + dest <= 70; + src <= 33; + when "00011101" => + dest <= 73; + src <= 73; + when "00011110" => + dest <= 73; + src <= 8; + when "00011111" => + dest <= 0; + src <= 73; + when "00100000" => + dest <= 85; + src <= 73; + when "00100001" => + dest <= 39; + src <= 76; + when "00100010" => + dest <= 26; + src <= 19; + when "00100011" => + dest <= 8; + src <= 26; + when "00100100" => + dest <= 73; + src <= 36; + when "00100101" => + dest <= 84; + src <= 61; + when "00100110" => + dest <= 73; + src <= 73; + when "00100111" => + dest <= 99; + src <= 46; + when "00101000" => + dest <= 73; + src <= 73; + when "00101001" => + dest <= 73; + src <= 62; + when "00101010" => + dest <= 58; + src <= 73; + when "00101011" => + dest <= 14; + src <= 17; + when "00101100" => + dest <= 59; + src <= 20; + when "00101101" => + dest <= 72; + src <= 48; + when "00101110" => + dest <= 47; + src <= 73; + when "00101111" => + dest <= 73; + src <= 57; + when "00110000" => + dest <= 73; + src <= 74; + when "00110001" => + dest <= 36; + src <= 73; + when "00110010" => + dest <= 30; + src <= 32; + when "00110011" => + dest <= 73; + src <= 98; + when "00110100" => + dest <= 11; + src <= 97; + when "00110101" => + dest <= 71; + src <= 73; + when "00110110" => + dest <= 73; + src <= 73; + when "00110111" => + dest <= 73; + src <= 9; + when "00111000" => + dest <= 16; + src <= 73; + when "00111001" => + dest <= 49; + src <= 75; + when "00111010" => + dest <= 82; + src <= 10; + when "00111011" => + dest <= 25; + src <= 16; + when "00111100" => + dest <= 73; + src <= 35; + when "00111101" => + dest <= 7; + src <= 73; + when "00111110" => + dest <= 73; + src <= 64; + when "00111111" => + dest <= 98; + src <= 73; + when "01000000" => + dest <= 73; + src <= 73; + when "01000001" => + dest <= 79; + src <= 30; + when "01000010" => + dest <= 21; + src <= 7; + when "01000011" => + dest <= 73; + src <= 73; + when "01000100" => + dest <= 81; + src <= 21; + when "01000101" => + dest <= 73; + src <= 73; + when "01000110" => + dest <= 15; + src <= 49; + when "01000111" => + dest <= 68; + src <= 77; + when "01001000" => + dest <= 40; + src <= 58; + when "01001001" => + dest <= 73; + src <= 65; + when "01001010" => + dest <= 73; + src <= 73; + when "01001011" => + dest <= 73; + src <= 25; + when "01001100" => + dest <= 57; + src <= 73; + when "01001101" => + dest <= 24; + src <= 73; + when "01001110" => + dest <= 73; + src <= 31; + when "01001111" => + dest <= 90; + src <= 88; + when "01010000" => + dest <= 73; + src <= 6; + when "01010001" => + dest <= 1; + src <= 73; + when "01010010" => + dest <= 6; + src <= 73; + when "01010011" => + dest <= 46; + src <= 73; + when "01010100" => + dest <= 73; + src <= 99; + when "01010101" => + dest <= 73; + src <= 22; + when "01010110" => + dest <= 35; + src <= 56; + when "01010111" => + dest <= 73; + src <= 73; + when "01011000" => + dest <= 12; + src <= 45; + when "01011001" => + dest <= 74; + src <= 73; + when "01011010" => + dest <= 22; + src <= 40; + when "01011011" => + dest <= 73; + src <= 0; + when "01011100" => + dest <= 97; + src <= 72; + when "01011101" => + dest <= 2; + src <= 73; + when "01011110" => + dest <= 73; + src <= 11; + when "01011111" => + dest <= 31; + src <= 34; + when "01100000" => + dest <= 88; + src <= 73; + when "01100001" => + dest <= 73; + src <= 73; + when "01100010" => + dest <= 73; + src <= 24; + when "01100011" => + dest <= 91; + src <= 44; + when "01100100" => + dest <= 67; + src <= 59; + when "01100101" => + dest <= 50; + src <= 73; + when "01100110" => + dest <= 73; + src <= 73; + when "01100111" => + dest <= 56; + src <= 15; + when "01101000" => + dest <= 92; + src <= 68; + when "01101001" => + dest <= 45; + src <= 55; + when "01101010" => + dest <= 73; + src <= 73; + when "01101011" => + dest <= 80; + src <= 89; + when "01101100" => + dest <= 34; + src <= 96; + when "01101101" => + dest <= 73; + src <= 54; + when "01101110" => + dest <= 5; + src <= 90; + when "01101111" => + dest <= 73; + src <= 73; + when "01110000" => + dest <= 32; + src <= 1; + when "01110001" => + dest <= 96; + src <= 66; + when "01110010" => + dest <= 73; + src <= 73; + when "01110011" => + dest <= 73; + src <= 12; + when "01110100" => + dest <= 41; + src <= 73; + when "01110101" => + dest <= 87; + src <= 41; + when "01110110" => + dest <= 73; + src <= 73; + when "01110111" => + dest <= 23; + src <= 14; + when "01111000" => + dest <= 73; + src <= 50; + when "01111001" => + dest <= 78; + src <= 73; + when "01111010" => + dest <= 73; + src <= 73; + when "01111011" => + dest <= 73; + src <= 5; + when "01111100" => + dest <= 60; + src <= 69; + when "01111101" => + dest <= 66; + src <= 73; + when "01111110" => + dest <= 73; + src <= 23; + when "01111111" => + dest <= 55; + src <= 73; + when "10000000" => + dest <= 73; + src <= 78; + when "10000001" => + dest <= 44; + src <= 73; + when "10000010" => + dest <= 73; + src <= 86; + when "10000011" => + dest <= 4; + src <= 80; + when "10000100" => + dest <= 42; + src <= 73; + when "10000101" => + dest <= 95; + src <= 91; + when "10000110" => + dest <= 73; + src <= 73; + when "10000111" => + dest <= 51; + src <= 2; + when "10001000" => + dest <= 86; + src <= 73; + when "10001001" => + dest <= 33; + src <= 42; + when "10001010" => + dest <= 73; + src <= 4; + when "10001011" => + dest <= 77; + src <= 51; + when "10001100" => + dest <= 73; + src <= 73; + when "10001101" => + dest <= 73; + src <= 95; + when "10001110" => + dest <= 65; + src <= 60; + when "10001111" => + dest <= 54; + src <= 13; + when "10010000" => + dest <= 73; + src <= 73; + when "10010001" => + dest <= 52; + src <= 79; + when "10010010" => + dest <= 94; + src <= 73; + when "10010011" => + dest <= 43; + src <= 81; + when "10010100" => + dest <= 76; + src <= 92; + when "10010101" => + dest <= 73; + src <= 73; + when "10010110" => + dest <= 64; + src <= 94; + when "10010111" => + dest <= 53; + src <= 52; + when "10011000" => + dest <= 75; + src <= 3; + when "10011001" => + dest <= 73; + src <= 70; + when "10011010" => + dest <= 73; + src <= 82; + when "10011011" => + dest <= 28; + src <= 93; + when "10011100" => + dest <= 17; + src <= 71; + when others => + dest <= 73; + src <= 73; + + end case; + end process; + + end generate NI_NUM73; + + NI_NUM74 : if NI_NUM = 74 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 80; + src <= 74; + when "00000001" => + dest <= 94; + src <= 74; + when "00000010" => + dest <= 74; + src <= 74; + when "00000011" => + dest <= 64; + src <= 74; + when "00000100" => + dest <= 39; + src <= 74; + when "00000101" => + dest <= 20; + src <= 54; + when "00000110" => + dest <= 74; + src <= 84; + when "00000111" => + dest <= 10; + src <= 68; + when "00001000" => + dest <= 62; + src <= 74; + when "00001001" => + dest <= 74; + src <= 30; + when "00001010" => + dest <= 74; + src <= 29; + when "00001011" => + dest <= 84; + src <= 74; + when "00001100" => + dest <= 0; + src <= 74; + when "00001101" => + dest <= 19; + src <= 86; + when "00001110" => + dest <= 28; + src <= 64; + when "00001111" => + dest <= 4; + src <= 19; + when "00010000" => + dest <= 74; + src <= 28; + when "00010001" => + dest <= 74; + src <= 38; + when "00010010" => + dest <= 74; + src <= 74; + when "00010011" => + dest <= 60; + src <= 74; + when "00010100" => + dest <= 49; + src <= 44; + when "00010101" => + dest <= 74; + src <= 48; + when "00010110" => + dest <= 14; + src <= 74; + when "00010111" => + dest <= 63; + src <= 74; + when "00011000" => + dest <= 38; + src <= 39; + when "00011001" => + dest <= 21; + src <= 20; + when "00011010" => + dest <= 74; + src <= 88; + when "00011011" => + dest <= 11; + src <= 85; + when "00011100" => + dest <= 71; + src <= 34; + when "00011101" => + dest <= 74; + src <= 74; + when "00011110" => + dest <= 74; + src <= 9; + when "00011111" => + dest <= 1; + src <= 74; + when "00100000" => + dest <= 86; + src <= 74; + when "00100001" => + dest <= 30; + src <= 77; + when "00100010" => + dest <= 27; + src <= 10; + when "00100011" => + dest <= 9; + src <= 27; + when "00100100" => + dest <= 74; + src <= 37; + when "00100101" => + dest <= 85; + src <= 62; + when "00100110" => + dest <= 74; + src <= 74; + when "00100111" => + dest <= 90; + src <= 47; + when "00101000" => + dest <= 74; + src <= 74; + when "00101001" => + dest <= 74; + src <= 63; + when "00101010" => + dest <= 59; + src <= 74; + when "00101011" => + dest <= 15; + src <= 18; + when "00101100" => + dest <= 50; + src <= 21; + when "00101101" => + dest <= 73; + src <= 49; + when "00101110" => + dest <= 48; + src <= 74; + when "00101111" => + dest <= 74; + src <= 58; + when "00110000" => + dest <= 74; + src <= 75; + when "00110001" => + dest <= 37; + src <= 74; + when "00110010" => + dest <= 31; + src <= 33; + when "00110011" => + dest <= 74; + src <= 99; + when "00110100" => + dest <= 12; + src <= 98; + when "00110101" => + dest <= 72; + src <= 74; + when "00110110" => + dest <= 74; + src <= 74; + when "00110111" => + dest <= 74; + src <= 0; + when "00111000" => + dest <= 17; + src <= 74; + when "00111001" => + dest <= 40; + src <= 76; + when "00111010" => + dest <= 83; + src <= 11; + when "00111011" => + dest <= 26; + src <= 17; + when "00111100" => + dest <= 74; + src <= 36; + when "00111101" => + dest <= 8; + src <= 74; + when "00111110" => + dest <= 74; + src <= 65; + when "00111111" => + dest <= 99; + src <= 74; + when "01000000" => + dest <= 74; + src <= 74; + when "01000001" => + dest <= 70; + src <= 31; + when "01000010" => + dest <= 22; + src <= 8; + when "01000011" => + dest <= 74; + src <= 74; + when "01000100" => + dest <= 82; + src <= 22; + when "01000101" => + dest <= 74; + src <= 74; + when "01000110" => + dest <= 16; + src <= 40; + when "01000111" => + dest <= 69; + src <= 78; + when "01001000" => + dest <= 41; + src <= 59; + when "01001001" => + dest <= 74; + src <= 66; + when "01001010" => + dest <= 74; + src <= 74; + when "01001011" => + dest <= 74; + src <= 26; + when "01001100" => + dest <= 58; + src <= 74; + when "01001101" => + dest <= 25; + src <= 74; + when "01001110" => + dest <= 74; + src <= 32; + when "01001111" => + dest <= 91; + src <= 89; + when "01010000" => + dest <= 74; + src <= 7; + when "01010001" => + dest <= 2; + src <= 74; + when "01010010" => + dest <= 7; + src <= 74; + when "01010011" => + dest <= 47; + src <= 74; + when "01010100" => + dest <= 74; + src <= 90; + when "01010101" => + dest <= 74; + src <= 23; + when "01010110" => + dest <= 36; + src <= 57; + when "01010111" => + dest <= 74; + src <= 74; + when "01011000" => + dest <= 13; + src <= 46; + when "01011001" => + dest <= 75; + src <= 74; + when "01011010" => + dest <= 23; + src <= 41; + when "01011011" => + dest <= 74; + src <= 1; + when "01011100" => + dest <= 98; + src <= 73; + when "01011101" => + dest <= 3; + src <= 74; + when "01011110" => + dest <= 74; + src <= 12; + when "01011111" => + dest <= 32; + src <= 35; + when "01100000" => + dest <= 89; + src <= 74; + when "01100001" => + dest <= 74; + src <= 74; + when "01100010" => + dest <= 74; + src <= 25; + when "01100011" => + dest <= 92; + src <= 45; + when "01100100" => + dest <= 68; + src <= 50; + when "01100101" => + dest <= 51; + src <= 74; + when "01100110" => + dest <= 74; + src <= 74; + when "01100111" => + dest <= 57; + src <= 16; + when "01101000" => + dest <= 93; + src <= 69; + when "01101001" => + dest <= 46; + src <= 56; + when "01101010" => + dest <= 74; + src <= 74; + when "01101011" => + dest <= 81; + src <= 80; + when "01101100" => + dest <= 35; + src <= 97; + when "01101101" => + dest <= 74; + src <= 55; + when "01101110" => + dest <= 6; + src <= 91; + when "01101111" => + dest <= 74; + src <= 74; + when "01110000" => + dest <= 33; + src <= 2; + when "01110001" => + dest <= 97; + src <= 67; + when "01110010" => + dest <= 74; + src <= 74; + when "01110011" => + dest <= 74; + src <= 13; + when "01110100" => + dest <= 42; + src <= 74; + when "01110101" => + dest <= 88; + src <= 42; + when "01110110" => + dest <= 74; + src <= 74; + when "01110111" => + dest <= 24; + src <= 15; + when "01111000" => + dest <= 74; + src <= 51; + when "01111001" => + dest <= 79; + src <= 74; + when "01111010" => + dest <= 74; + src <= 74; + when "01111011" => + dest <= 74; + src <= 6; + when "01111100" => + dest <= 61; + src <= 60; + when "01111101" => + dest <= 67; + src <= 74; + when "01111110" => + dest <= 74; + src <= 24; + when "01111111" => + dest <= 56; + src <= 74; + when "10000000" => + dest <= 74; + src <= 79; + when "10000001" => + dest <= 45; + src <= 74; + when "10000010" => + dest <= 74; + src <= 87; + when "10000011" => + dest <= 5; + src <= 81; + when "10000100" => + dest <= 43; + src <= 74; + when "10000101" => + dest <= 96; + src <= 92; + when "10000110" => + dest <= 74; + src <= 74; + when "10000111" => + dest <= 52; + src <= 3; + when "10001000" => + dest <= 87; + src <= 74; + when "10001001" => + dest <= 34; + src <= 43; + when "10001010" => + dest <= 74; + src <= 5; + when "10001011" => + dest <= 78; + src <= 52; + when "10001100" => + dest <= 74; + src <= 74; + when "10001101" => + dest <= 74; + src <= 96; + when "10001110" => + dest <= 66; + src <= 61; + when "10001111" => + dest <= 55; + src <= 14; + when "10010000" => + dest <= 74; + src <= 74; + when "10010001" => + dest <= 53; + src <= 70; + when "10010010" => + dest <= 95; + src <= 74; + when "10010011" => + dest <= 44; + src <= 82; + when "10010100" => + dest <= 77; + src <= 93; + when "10010101" => + dest <= 74; + src <= 74; + when "10010110" => + dest <= 65; + src <= 95; + when "10010111" => + dest <= 54; + src <= 53; + when "10011000" => + dest <= 76; + src <= 4; + when "10011001" => + dest <= 74; + src <= 71; + when "10011010" => + dest <= 74; + src <= 83; + when "10011011" => + dest <= 29; + src <= 94; + when "10011100" => + dest <= 18; + src <= 72; + when others => + dest <= 74; + src <= 74; + + end case; + end process; + + end generate NI_NUM74; + + NI_NUM75 : if NI_NUM = 75 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 81; + src <= 75; + when "00000001" => + dest <= 95; + src <= 75; + when "00000010" => + dest <= 75; + src <= 75; + when "00000011" => + dest <= 65; + src <= 75; + when "00000100" => + dest <= 30; + src <= 75; + when "00000101" => + dest <= 21; + src <= 55; + when "00000110" => + dest <= 75; + src <= 85; + when "00000111" => + dest <= 11; + src <= 69; + when "00001000" => + dest <= 63; + src <= 75; + when "00001001" => + dest <= 75; + src <= 31; + when "00001010" => + dest <= 75; + src <= 20; + when "00001011" => + dest <= 85; + src <= 75; + when "00001100" => + dest <= 1; + src <= 75; + when "00001101" => + dest <= 10; + src <= 87; + when "00001110" => + dest <= 29; + src <= 65; + when "00001111" => + dest <= 5; + src <= 10; + when "00010000" => + dest <= 75; + src <= 29; + when "00010001" => + dest <= 75; + src <= 39; + when "00010010" => + dest <= 75; + src <= 75; + when "00010011" => + dest <= 61; + src <= 75; + when "00010100" => + dest <= 40; + src <= 45; + when "00010101" => + dest <= 75; + src <= 49; + when "00010110" => + dest <= 15; + src <= 75; + when "00010111" => + dest <= 64; + src <= 75; + when "00011000" => + dest <= 39; + src <= 30; + when "00011001" => + dest <= 22; + src <= 21; + when "00011010" => + dest <= 75; + src <= 89; + when "00011011" => + dest <= 12; + src <= 86; + when "00011100" => + dest <= 72; + src <= 35; + when "00011101" => + dest <= 75; + src <= 75; + when "00011110" => + dest <= 75; + src <= 0; + when "00011111" => + dest <= 2; + src <= 75; + when "00100000" => + dest <= 87; + src <= 75; + when "00100001" => + dest <= 31; + src <= 78; + when "00100010" => + dest <= 28; + src <= 11; + when "00100011" => + dest <= 0; + src <= 28; + when "00100100" => + dest <= 75; + src <= 38; + when "00100101" => + dest <= 86; + src <= 63; + when "00100110" => + dest <= 75; + src <= 75; + when "00100111" => + dest <= 91; + src <= 48; + when "00101000" => + dest <= 75; + src <= 75; + when "00101001" => + dest <= 75; + src <= 64; + when "00101010" => + dest <= 50; + src <= 75; + when "00101011" => + dest <= 16; + src <= 19; + when "00101100" => + dest <= 51; + src <= 22; + when "00101101" => + dest <= 74; + src <= 40; + when "00101110" => + dest <= 49; + src <= 75; + when "00101111" => + dest <= 75; + src <= 59; + when "00110000" => + dest <= 75; + src <= 76; + when "00110001" => + dest <= 38; + src <= 75; + when "00110010" => + dest <= 32; + src <= 34; + when "00110011" => + dest <= 75; + src <= 90; + when "00110100" => + dest <= 13; + src <= 99; + when "00110101" => + dest <= 73; + src <= 75; + when "00110110" => + dest <= 75; + src <= 75; + when "00110111" => + dest <= 75; + src <= 1; + when "00111000" => + dest <= 18; + src <= 75; + when "00111001" => + dest <= 41; + src <= 77; + when "00111010" => + dest <= 84; + src <= 12; + when "00111011" => + dest <= 27; + src <= 18; + when "00111100" => + dest <= 75; + src <= 37; + when "00111101" => + dest <= 9; + src <= 75; + when "00111110" => + dest <= 75; + src <= 66; + when "00111111" => + dest <= 90; + src <= 75; + when "01000000" => + dest <= 75; + src <= 75; + when "01000001" => + dest <= 71; + src <= 32; + when "01000010" => + dest <= 23; + src <= 9; + when "01000011" => + dest <= 75; + src <= 75; + when "01000100" => + dest <= 83; + src <= 23; + when "01000101" => + dest <= 75; + src <= 75; + when "01000110" => + dest <= 17; + src <= 41; + when "01000111" => + dest <= 60; + src <= 79; + when "01001000" => + dest <= 42; + src <= 50; + when "01001001" => + dest <= 75; + src <= 67; + when "01001010" => + dest <= 75; + src <= 75; + when "01001011" => + dest <= 75; + src <= 27; + when "01001100" => + dest <= 59; + src <= 75; + when "01001101" => + dest <= 26; + src <= 75; + when "01001110" => + dest <= 75; + src <= 33; + when "01001111" => + dest <= 92; + src <= 80; + when "01010000" => + dest <= 75; + src <= 8; + when "01010001" => + dest <= 3; + src <= 75; + when "01010010" => + dest <= 8; + src <= 75; + when "01010011" => + dest <= 48; + src <= 75; + when "01010100" => + dest <= 75; + src <= 91; + when "01010101" => + dest <= 75; + src <= 24; + when "01010110" => + dest <= 37; + src <= 58; + when "01010111" => + dest <= 75; + src <= 75; + when "01011000" => + dest <= 14; + src <= 47; + when "01011001" => + dest <= 76; + src <= 75; + when "01011010" => + dest <= 24; + src <= 42; + when "01011011" => + dest <= 75; + src <= 2; + when "01011100" => + dest <= 99; + src <= 74; + when "01011101" => + dest <= 4; + src <= 75; + when "01011110" => + dest <= 75; + src <= 13; + when "01011111" => + dest <= 33; + src <= 36; + when "01100000" => + dest <= 80; + src <= 75; + when "01100001" => + dest <= 75; + src <= 75; + when "01100010" => + dest <= 75; + src <= 26; + when "01100011" => + dest <= 93; + src <= 46; + when "01100100" => + dest <= 69; + src <= 51; + when "01100101" => + dest <= 52; + src <= 75; + when "01100110" => + dest <= 75; + src <= 75; + when "01100111" => + dest <= 58; + src <= 17; + when "01101000" => + dest <= 94; + src <= 60; + when "01101001" => + dest <= 47; + src <= 57; + when "01101010" => + dest <= 75; + src <= 75; + when "01101011" => + dest <= 82; + src <= 81; + when "01101100" => + dest <= 36; + src <= 98; + when "01101101" => + dest <= 75; + src <= 56; + when "01101110" => + dest <= 7; + src <= 92; + when "01101111" => + dest <= 75; + src <= 75; + when "01110000" => + dest <= 34; + src <= 3; + when "01110001" => + dest <= 98; + src <= 68; + when "01110010" => + dest <= 75; + src <= 75; + when "01110011" => + dest <= 75; + src <= 14; + when "01110100" => + dest <= 43; + src <= 75; + when "01110101" => + dest <= 89; + src <= 43; + when "01110110" => + dest <= 75; + src <= 75; + when "01110111" => + dest <= 25; + src <= 16; + when "01111000" => + dest <= 75; + src <= 52; + when "01111001" => + dest <= 70; + src <= 75; + when "01111010" => + dest <= 75; + src <= 75; + when "01111011" => + dest <= 75; + src <= 7; + when "01111100" => + dest <= 62; + src <= 61; + when "01111101" => + dest <= 68; + src <= 75; + when "01111110" => + dest <= 75; + src <= 25; + when "01111111" => + dest <= 57; + src <= 75; + when "10000000" => + dest <= 75; + src <= 70; + when "10000001" => + dest <= 46; + src <= 75; + when "10000010" => + dest <= 75; + src <= 88; + when "10000011" => + dest <= 6; + src <= 82; + when "10000100" => + dest <= 44; + src <= 75; + when "10000101" => + dest <= 97; + src <= 93; + when "10000110" => + dest <= 75; + src <= 75; + when "10000111" => + dest <= 53; + src <= 4; + when "10001000" => + dest <= 88; + src <= 75; + when "10001001" => + dest <= 35; + src <= 44; + when "10001010" => + dest <= 75; + src <= 6; + when "10001011" => + dest <= 79; + src <= 53; + when "10001100" => + dest <= 75; + src <= 75; + when "10001101" => + dest <= 75; + src <= 97; + when "10001110" => + dest <= 67; + src <= 62; + when "10001111" => + dest <= 56; + src <= 15; + when "10010000" => + dest <= 75; + src <= 75; + when "10010001" => + dest <= 54; + src <= 71; + when "10010010" => + dest <= 96; + src <= 75; + when "10010011" => + dest <= 45; + src <= 83; + when "10010100" => + dest <= 78; + src <= 94; + when "10010101" => + dest <= 75; + src <= 75; + when "10010110" => + dest <= 66; + src <= 96; + when "10010111" => + dest <= 55; + src <= 54; + when "10011000" => + dest <= 77; + src <= 5; + when "10011001" => + dest <= 75; + src <= 72; + when "10011010" => + dest <= 75; + src <= 84; + when "10011011" => + dest <= 20; + src <= 95; + when "10011100" => + dest <= 19; + src <= 73; + when others => + dest <= 75; + src <= 75; + + end case; + end process; + + end generate NI_NUM75; + + NI_NUM76 : if NI_NUM = 76 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 82; + src <= 76; + when "00000001" => + dest <= 96; + src <= 76; + when "00000010" => + dest <= 76; + src <= 76; + when "00000011" => + dest <= 66; + src <= 76; + when "00000100" => + dest <= 31; + src <= 76; + when "00000101" => + dest <= 22; + src <= 56; + when "00000110" => + dest <= 76; + src <= 86; + when "00000111" => + dest <= 12; + src <= 60; + when "00001000" => + dest <= 64; + src <= 76; + when "00001001" => + dest <= 76; + src <= 32; + when "00001010" => + dest <= 76; + src <= 21; + when "00001011" => + dest <= 86; + src <= 76; + when "00001100" => + dest <= 2; + src <= 76; + when "00001101" => + dest <= 11; + src <= 88; + when "00001110" => + dest <= 20; + src <= 66; + when "00001111" => + dest <= 6; + src <= 11; + when "00010000" => + dest <= 76; + src <= 20; + when "00010001" => + dest <= 76; + src <= 30; + when "00010010" => + dest <= 76; + src <= 76; + when "00010011" => + dest <= 62; + src <= 76; + when "00010100" => + dest <= 41; + src <= 46; + when "00010101" => + dest <= 76; + src <= 40; + when "00010110" => + dest <= 16; + src <= 76; + when "00010111" => + dest <= 65; + src <= 76; + when "00011000" => + dest <= 30; + src <= 31; + when "00011001" => + dest <= 23; + src <= 22; + when "00011010" => + dest <= 76; + src <= 80; + when "00011011" => + dest <= 13; + src <= 87; + when "00011100" => + dest <= 73; + src <= 36; + when "00011101" => + dest <= 76; + src <= 76; + when "00011110" => + dest <= 76; + src <= 1; + when "00011111" => + dest <= 3; + src <= 76; + when "00100000" => + dest <= 88; + src <= 76; + when "00100001" => + dest <= 32; + src <= 79; + when "00100010" => + dest <= 29; + src <= 12; + when "00100011" => + dest <= 1; + src <= 29; + when "00100100" => + dest <= 76; + src <= 39; + when "00100101" => + dest <= 87; + src <= 64; + when "00100110" => + dest <= 76; + src <= 76; + when "00100111" => + dest <= 92; + src <= 49; + when "00101000" => + dest <= 76; + src <= 76; + when "00101001" => + dest <= 76; + src <= 65; + when "00101010" => + dest <= 51; + src <= 76; + when "00101011" => + dest <= 17; + src <= 10; + when "00101100" => + dest <= 52; + src <= 23; + when "00101101" => + dest <= 75; + src <= 41; + when "00101110" => + dest <= 40; + src <= 76; + when "00101111" => + dest <= 76; + src <= 50; + when "00110000" => + dest <= 76; + src <= 77; + when "00110001" => + dest <= 39; + src <= 76; + when "00110010" => + dest <= 33; + src <= 35; + when "00110011" => + dest <= 76; + src <= 91; + when "00110100" => + dest <= 14; + src <= 90; + when "00110101" => + dest <= 74; + src <= 76; + when "00110110" => + dest <= 76; + src <= 76; + when "00110111" => + dest <= 76; + src <= 2; + when "00111000" => + dest <= 19; + src <= 76; + when "00111001" => + dest <= 42; + src <= 78; + when "00111010" => + dest <= 85; + src <= 13; + when "00111011" => + dest <= 28; + src <= 19; + when "00111100" => + dest <= 76; + src <= 38; + when "00111101" => + dest <= 0; + src <= 76; + when "00111110" => + dest <= 76; + src <= 67; + when "00111111" => + dest <= 91; + src <= 76; + when "01000000" => + dest <= 76; + src <= 76; + when "01000001" => + dest <= 72; + src <= 33; + when "01000010" => + dest <= 24; + src <= 0; + when "01000011" => + dest <= 76; + src <= 76; + when "01000100" => + dest <= 84; + src <= 24; + when "01000101" => + dest <= 76; + src <= 76; + when "01000110" => + dest <= 18; + src <= 42; + when "01000111" => + dest <= 61; + src <= 70; + when "01001000" => + dest <= 43; + src <= 51; + when "01001001" => + dest <= 76; + src <= 68; + when "01001010" => + dest <= 76; + src <= 76; + when "01001011" => + dest <= 76; + src <= 28; + when "01001100" => + dest <= 50; + src <= 76; + when "01001101" => + dest <= 27; + src <= 76; + when "01001110" => + dest <= 76; + src <= 34; + when "01001111" => + dest <= 93; + src <= 81; + when "01010000" => + dest <= 76; + src <= 9; + when "01010001" => + dest <= 4; + src <= 76; + when "01010010" => + dest <= 9; + src <= 76; + when "01010011" => + dest <= 49; + src <= 76; + when "01010100" => + dest <= 76; + src <= 92; + when "01010101" => + dest <= 76; + src <= 25; + when "01010110" => + dest <= 38; + src <= 59; + when "01010111" => + dest <= 76; + src <= 76; + when "01011000" => + dest <= 15; + src <= 48; + when "01011001" => + dest <= 77; + src <= 76; + when "01011010" => + dest <= 25; + src <= 43; + when "01011011" => + dest <= 76; + src <= 3; + when "01011100" => + dest <= 90; + src <= 75; + when "01011101" => + dest <= 5; + src <= 76; + when "01011110" => + dest <= 76; + src <= 14; + when "01011111" => + dest <= 34; + src <= 37; + when "01100000" => + dest <= 81; + src <= 76; + when "01100001" => + dest <= 76; + src <= 76; + when "01100010" => + dest <= 76; + src <= 27; + when "01100011" => + dest <= 94; + src <= 47; + when "01100100" => + dest <= 60; + src <= 52; + when "01100101" => + dest <= 53; + src <= 76; + when "01100110" => + dest <= 76; + src <= 76; + when "01100111" => + dest <= 59; + src <= 18; + when "01101000" => + dest <= 95; + src <= 61; + when "01101001" => + dest <= 48; + src <= 58; + when "01101010" => + dest <= 76; + src <= 76; + when "01101011" => + dest <= 83; + src <= 82; + when "01101100" => + dest <= 37; + src <= 99; + when "01101101" => + dest <= 76; + src <= 57; + when "01101110" => + dest <= 8; + src <= 93; + when "01101111" => + dest <= 76; + src <= 76; + when "01110000" => + dest <= 35; + src <= 4; + when "01110001" => + dest <= 99; + src <= 69; + when "01110010" => + dest <= 76; + src <= 76; + when "01110011" => + dest <= 76; + src <= 15; + when "01110100" => + dest <= 44; + src <= 76; + when "01110101" => + dest <= 80; + src <= 44; + when "01110110" => + dest <= 76; + src <= 76; + when "01110111" => + dest <= 26; + src <= 17; + when "01111000" => + dest <= 76; + src <= 53; + when "01111001" => + dest <= 71; + src <= 76; + when "01111010" => + dest <= 76; + src <= 76; + when "01111011" => + dest <= 76; + src <= 8; + when "01111100" => + dest <= 63; + src <= 62; + when "01111101" => + dest <= 69; + src <= 76; + when "01111110" => + dest <= 76; + src <= 26; + when "01111111" => + dest <= 58; + src <= 76; + when "10000000" => + dest <= 76; + src <= 71; + when "10000001" => + dest <= 47; + src <= 76; + when "10000010" => + dest <= 76; + src <= 89; + when "10000011" => + dest <= 7; + src <= 83; + when "10000100" => + dest <= 45; + src <= 76; + when "10000101" => + dest <= 98; + src <= 94; + when "10000110" => + dest <= 76; + src <= 76; + when "10000111" => + dest <= 54; + src <= 5; + when "10001000" => + dest <= 89; + src <= 76; + when "10001001" => + dest <= 36; + src <= 45; + when "10001010" => + dest <= 76; + src <= 7; + when "10001011" => + dest <= 70; + src <= 54; + when "10001100" => + dest <= 76; + src <= 76; + when "10001101" => + dest <= 76; + src <= 98; + when "10001110" => + dest <= 68; + src <= 63; + when "10001111" => + dest <= 57; + src <= 16; + when "10010000" => + dest <= 76; + src <= 76; + when "10010001" => + dest <= 55; + src <= 72; + when "10010010" => + dest <= 97; + src <= 76; + when "10010011" => + dest <= 46; + src <= 84; + when "10010100" => + dest <= 79; + src <= 95; + when "10010101" => + dest <= 76; + src <= 76; + when "10010110" => + dest <= 67; + src <= 97; + when "10010111" => + dest <= 56; + src <= 55; + when "10011000" => + dest <= 78; + src <= 6; + when "10011001" => + dest <= 76; + src <= 73; + when "10011010" => + dest <= 76; + src <= 85; + when "10011011" => + dest <= 21; + src <= 96; + when "10011100" => + dest <= 10; + src <= 74; + when others => + dest <= 76; + src <= 76; + + end case; + end process; + + end generate NI_NUM76; + + NI_NUM77 : if NI_NUM = 77 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 83; + src <= 77; + when "00000001" => + dest <= 97; + src <= 77; + when "00000010" => + dest <= 77; + src <= 77; + when "00000011" => + dest <= 67; + src <= 77; + when "00000100" => + dest <= 32; + src <= 77; + when "00000101" => + dest <= 23; + src <= 57; + when "00000110" => + dest <= 77; + src <= 87; + when "00000111" => + dest <= 13; + src <= 61; + when "00001000" => + dest <= 65; + src <= 77; + when "00001001" => + dest <= 77; + src <= 33; + when "00001010" => + dest <= 77; + src <= 22; + when "00001011" => + dest <= 87; + src <= 77; + when "00001100" => + dest <= 3; + src <= 77; + when "00001101" => + dest <= 12; + src <= 89; + when "00001110" => + dest <= 21; + src <= 67; + when "00001111" => + dest <= 7; + src <= 12; + when "00010000" => + dest <= 77; + src <= 21; + when "00010001" => + dest <= 77; + src <= 31; + when "00010010" => + dest <= 77; + src <= 77; + when "00010011" => + dest <= 63; + src <= 77; + when "00010100" => + dest <= 42; + src <= 47; + when "00010101" => + dest <= 77; + src <= 41; + when "00010110" => + dest <= 17; + src <= 77; + when "00010111" => + dest <= 66; + src <= 77; + when "00011000" => + dest <= 31; + src <= 32; + when "00011001" => + dest <= 24; + src <= 23; + when "00011010" => + dest <= 77; + src <= 81; + when "00011011" => + dest <= 14; + src <= 88; + when "00011100" => + dest <= 74; + src <= 37; + when "00011101" => + dest <= 77; + src <= 77; + when "00011110" => + dest <= 77; + src <= 2; + when "00011111" => + dest <= 4; + src <= 77; + when "00100000" => + dest <= 89; + src <= 77; + when "00100001" => + dest <= 33; + src <= 70; + when "00100010" => + dest <= 20; + src <= 13; + when "00100011" => + dest <= 2; + src <= 20; + when "00100100" => + dest <= 77; + src <= 30; + when "00100101" => + dest <= 88; + src <= 65; + when "00100110" => + dest <= 77; + src <= 77; + when "00100111" => + dest <= 93; + src <= 40; + when "00101000" => + dest <= 77; + src <= 77; + when "00101001" => + dest <= 77; + src <= 66; + when "00101010" => + dest <= 52; + src <= 77; + when "00101011" => + dest <= 18; + src <= 11; + when "00101100" => + dest <= 53; + src <= 24; + when "00101101" => + dest <= 76; + src <= 42; + when "00101110" => + dest <= 41; + src <= 77; + when "00101111" => + dest <= 77; + src <= 51; + when "00110000" => + dest <= 77; + src <= 78; + when "00110001" => + dest <= 30; + src <= 77; + when "00110010" => + dest <= 34; + src <= 36; + when "00110011" => + dest <= 77; + src <= 92; + when "00110100" => + dest <= 15; + src <= 91; + when "00110101" => + dest <= 75; + src <= 77; + when "00110110" => + dest <= 77; + src <= 77; + when "00110111" => + dest <= 77; + src <= 3; + when "00111000" => + dest <= 10; + src <= 77; + when "00111001" => + dest <= 43; + src <= 79; + when "00111010" => + dest <= 86; + src <= 14; + when "00111011" => + dest <= 29; + src <= 10; + when "00111100" => + dest <= 77; + src <= 39; + when "00111101" => + dest <= 1; + src <= 77; + when "00111110" => + dest <= 77; + src <= 68; + when "00111111" => + dest <= 92; + src <= 77; + when "01000000" => + dest <= 77; + src <= 77; + when "01000001" => + dest <= 73; + src <= 34; + when "01000010" => + dest <= 25; + src <= 1; + when "01000011" => + dest <= 77; + src <= 77; + when "01000100" => + dest <= 85; + src <= 25; + when "01000101" => + dest <= 77; + src <= 77; + when "01000110" => + dest <= 19; + src <= 43; + when "01000111" => + dest <= 62; + src <= 71; + when "01001000" => + dest <= 44; + src <= 52; + when "01001001" => + dest <= 77; + src <= 69; + when "01001010" => + dest <= 77; + src <= 77; + when "01001011" => + dest <= 77; + src <= 29; + when "01001100" => + dest <= 51; + src <= 77; + when "01001101" => + dest <= 28; + src <= 77; + when "01001110" => + dest <= 77; + src <= 35; + when "01001111" => + dest <= 94; + src <= 82; + when "01010000" => + dest <= 77; + src <= 0; + when "01010001" => + dest <= 5; + src <= 77; + when "01010010" => + dest <= 0; + src <= 77; + when "01010011" => + dest <= 40; + src <= 77; + when "01010100" => + dest <= 77; + src <= 93; + when "01010101" => + dest <= 77; + src <= 26; + when "01010110" => + dest <= 39; + src <= 50; + when "01010111" => + dest <= 77; + src <= 77; + when "01011000" => + dest <= 16; + src <= 49; + when "01011001" => + dest <= 78; + src <= 77; + when "01011010" => + dest <= 26; + src <= 44; + when "01011011" => + dest <= 77; + src <= 4; + when "01011100" => + dest <= 91; + src <= 76; + when "01011101" => + dest <= 6; + src <= 77; + when "01011110" => + dest <= 77; + src <= 15; + when "01011111" => + dest <= 35; + src <= 38; + when "01100000" => + dest <= 82; + src <= 77; + when "01100001" => + dest <= 77; + src <= 77; + when "01100010" => + dest <= 77; + src <= 28; + when "01100011" => + dest <= 95; + src <= 48; + when "01100100" => + dest <= 61; + src <= 53; + when "01100101" => + dest <= 54; + src <= 77; + when "01100110" => + dest <= 77; + src <= 77; + when "01100111" => + dest <= 50; + src <= 19; + when "01101000" => + dest <= 96; + src <= 62; + when "01101001" => + dest <= 49; + src <= 59; + when "01101010" => + dest <= 77; + src <= 77; + when "01101011" => + dest <= 84; + src <= 83; + when "01101100" => + dest <= 38; + src <= 90; + when "01101101" => + dest <= 77; + src <= 58; + when "01101110" => + dest <= 9; + src <= 94; + when "01101111" => + dest <= 77; + src <= 77; + when "01110000" => + dest <= 36; + src <= 5; + when "01110001" => + dest <= 90; + src <= 60; + when "01110010" => + dest <= 77; + src <= 77; + when "01110011" => + dest <= 77; + src <= 16; + when "01110100" => + dest <= 45; + src <= 77; + when "01110101" => + dest <= 81; + src <= 45; + when "01110110" => + dest <= 77; + src <= 77; + when "01110111" => + dest <= 27; + src <= 18; + when "01111000" => + dest <= 77; + src <= 54; + when "01111001" => + dest <= 72; + src <= 77; + when "01111010" => + dest <= 77; + src <= 77; + when "01111011" => + dest <= 77; + src <= 9; + when "01111100" => + dest <= 64; + src <= 63; + when "01111101" => + dest <= 60; + src <= 77; + when "01111110" => + dest <= 77; + src <= 27; + when "01111111" => + dest <= 59; + src <= 77; + when "10000000" => + dest <= 77; + src <= 72; + when "10000001" => + dest <= 48; + src <= 77; + when "10000010" => + dest <= 77; + src <= 80; + when "10000011" => + dest <= 8; + src <= 84; + when "10000100" => + dest <= 46; + src <= 77; + when "10000101" => + dest <= 99; + src <= 95; + when "10000110" => + dest <= 77; + src <= 77; + when "10000111" => + dest <= 55; + src <= 6; + when "10001000" => + dest <= 80; + src <= 77; + when "10001001" => + dest <= 37; + src <= 46; + when "10001010" => + dest <= 77; + src <= 8; + when "10001011" => + dest <= 71; + src <= 55; + when "10001100" => + dest <= 77; + src <= 77; + when "10001101" => + dest <= 77; + src <= 99; + when "10001110" => + dest <= 69; + src <= 64; + when "10001111" => + dest <= 58; + src <= 17; + when "10010000" => + dest <= 77; + src <= 77; + when "10010001" => + dest <= 56; + src <= 73; + when "10010010" => + dest <= 98; + src <= 77; + when "10010011" => + dest <= 47; + src <= 85; + when "10010100" => + dest <= 70; + src <= 96; + when "10010101" => + dest <= 77; + src <= 77; + when "10010110" => + dest <= 68; + src <= 98; + when "10010111" => + dest <= 57; + src <= 56; + when "10011000" => + dest <= 79; + src <= 7; + when "10011001" => + dest <= 77; + src <= 74; + when "10011010" => + dest <= 77; + src <= 86; + when "10011011" => + dest <= 22; + src <= 97; + when "10011100" => + dest <= 11; + src <= 75; + when others => + dest <= 77; + src <= 77; + + end case; + end process; + + end generate NI_NUM77; + + NI_NUM78 : if NI_NUM = 78 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 84; + src <= 78; + when "00000001" => + dest <= 98; + src <= 78; + when "00000010" => + dest <= 78; + src <= 78; + when "00000011" => + dest <= 68; + src <= 78; + when "00000100" => + dest <= 33; + src <= 78; + when "00000101" => + dest <= 24; + src <= 58; + when "00000110" => + dest <= 78; + src <= 88; + when "00000111" => + dest <= 14; + src <= 62; + when "00001000" => + dest <= 66; + src <= 78; + when "00001001" => + dest <= 78; + src <= 34; + when "00001010" => + dest <= 78; + src <= 23; + when "00001011" => + dest <= 88; + src <= 78; + when "00001100" => + dest <= 4; + src <= 78; + when "00001101" => + dest <= 13; + src <= 80; + when "00001110" => + dest <= 22; + src <= 68; + when "00001111" => + dest <= 8; + src <= 13; + when "00010000" => + dest <= 78; + src <= 22; + when "00010001" => + dest <= 78; + src <= 32; + when "00010010" => + dest <= 78; + src <= 78; + when "00010011" => + dest <= 64; + src <= 78; + when "00010100" => + dest <= 43; + src <= 48; + when "00010101" => + dest <= 78; + src <= 42; + when "00010110" => + dest <= 18; + src <= 78; + when "00010111" => + dest <= 67; + src <= 78; + when "00011000" => + dest <= 32; + src <= 33; + when "00011001" => + dest <= 25; + src <= 24; + when "00011010" => + dest <= 78; + src <= 82; + when "00011011" => + dest <= 15; + src <= 89; + when "00011100" => + dest <= 75; + src <= 38; + when "00011101" => + dest <= 78; + src <= 78; + when "00011110" => + dest <= 78; + src <= 3; + when "00011111" => + dest <= 5; + src <= 78; + when "00100000" => + dest <= 80; + src <= 78; + when "00100001" => + dest <= 34; + src <= 71; + when "00100010" => + dest <= 21; + src <= 14; + when "00100011" => + dest <= 3; + src <= 21; + when "00100100" => + dest <= 78; + src <= 31; + when "00100101" => + dest <= 89; + src <= 66; + when "00100110" => + dest <= 78; + src <= 78; + when "00100111" => + dest <= 94; + src <= 41; + when "00101000" => + dest <= 78; + src <= 78; + when "00101001" => + dest <= 78; + src <= 67; + when "00101010" => + dest <= 53; + src <= 78; + when "00101011" => + dest <= 19; + src <= 12; + when "00101100" => + dest <= 54; + src <= 25; + when "00101101" => + dest <= 77; + src <= 43; + when "00101110" => + dest <= 42; + src <= 78; + when "00101111" => + dest <= 78; + src <= 52; + when "00110000" => + dest <= 78; + src <= 79; + when "00110001" => + dest <= 31; + src <= 78; + when "00110010" => + dest <= 35; + src <= 37; + when "00110011" => + dest <= 78; + src <= 93; + when "00110100" => + dest <= 16; + src <= 92; + when "00110101" => + dest <= 76; + src <= 78; + when "00110110" => + dest <= 78; + src <= 78; + when "00110111" => + dest <= 78; + src <= 4; + when "00111000" => + dest <= 11; + src <= 78; + when "00111001" => + dest <= 44; + src <= 70; + when "00111010" => + dest <= 87; + src <= 15; + when "00111011" => + dest <= 20; + src <= 11; + when "00111100" => + dest <= 78; + src <= 30; + when "00111101" => + dest <= 2; + src <= 78; + when "00111110" => + dest <= 78; + src <= 69; + when "00111111" => + dest <= 93; + src <= 78; + when "01000000" => + dest <= 78; + src <= 78; + when "01000001" => + dest <= 74; + src <= 35; + when "01000010" => + dest <= 26; + src <= 2; + when "01000011" => + dest <= 78; + src <= 78; + when "01000100" => + dest <= 86; + src <= 26; + when "01000101" => + dest <= 78; + src <= 78; + when "01000110" => + dest <= 10; + src <= 44; + when "01000111" => + dest <= 63; + src <= 72; + when "01001000" => + dest <= 45; + src <= 53; + when "01001001" => + dest <= 78; + src <= 60; + when "01001010" => + dest <= 78; + src <= 78; + when "01001011" => + dest <= 78; + src <= 20; + when "01001100" => + dest <= 52; + src <= 78; + when "01001101" => + dest <= 29; + src <= 78; + when "01001110" => + dest <= 78; + src <= 36; + when "01001111" => + dest <= 95; + src <= 83; + when "01010000" => + dest <= 78; + src <= 1; + when "01010001" => + dest <= 6; + src <= 78; + when "01010010" => + dest <= 1; + src <= 78; + when "01010011" => + dest <= 41; + src <= 78; + when "01010100" => + dest <= 78; + src <= 94; + when "01010101" => + dest <= 78; + src <= 27; + when "01010110" => + dest <= 30; + src <= 51; + when "01010111" => + dest <= 78; + src <= 78; + when "01011000" => + dest <= 17; + src <= 40; + when "01011001" => + dest <= 79; + src <= 78; + when "01011010" => + dest <= 27; + src <= 45; + when "01011011" => + dest <= 78; + src <= 5; + when "01011100" => + dest <= 92; + src <= 77; + when "01011101" => + dest <= 7; + src <= 78; + when "01011110" => + dest <= 78; + src <= 16; + when "01011111" => + dest <= 36; + src <= 39; + when "01100000" => + dest <= 83; + src <= 78; + when "01100001" => + dest <= 78; + src <= 78; + when "01100010" => + dest <= 78; + src <= 29; + when "01100011" => + dest <= 96; + src <= 49; + when "01100100" => + dest <= 62; + src <= 54; + when "01100101" => + dest <= 55; + src <= 78; + when "01100110" => + dest <= 78; + src <= 78; + when "01100111" => + dest <= 51; + src <= 10; + when "01101000" => + dest <= 97; + src <= 63; + when "01101001" => + dest <= 40; + src <= 50; + when "01101010" => + dest <= 78; + src <= 78; + when "01101011" => + dest <= 85; + src <= 84; + when "01101100" => + dest <= 39; + src <= 91; + when "01101101" => + dest <= 78; + src <= 59; + when "01101110" => + dest <= 0; + src <= 95; + when "01101111" => + dest <= 78; + src <= 78; + when "01110000" => + dest <= 37; + src <= 6; + when "01110001" => + dest <= 91; + src <= 61; + when "01110010" => + dest <= 78; + src <= 78; + when "01110011" => + dest <= 78; + src <= 17; + when "01110100" => + dest <= 46; + src <= 78; + when "01110101" => + dest <= 82; + src <= 46; + when "01110110" => + dest <= 78; + src <= 78; + when "01110111" => + dest <= 28; + src <= 19; + when "01111000" => + dest <= 78; + src <= 55; + when "01111001" => + dest <= 73; + src <= 78; + when "01111010" => + dest <= 78; + src <= 78; + when "01111011" => + dest <= 78; + src <= 0; + when "01111100" => + dest <= 65; + src <= 64; + when "01111101" => + dest <= 61; + src <= 78; + when "01111110" => + dest <= 78; + src <= 28; + when "01111111" => + dest <= 50; + src <= 78; + when "10000000" => + dest <= 78; + src <= 73; + when "10000001" => + dest <= 49; + src <= 78; + when "10000010" => + dest <= 78; + src <= 81; + when "10000011" => + dest <= 9; + src <= 85; + when "10000100" => + dest <= 47; + src <= 78; + when "10000101" => + dest <= 90; + src <= 96; + when "10000110" => + dest <= 78; + src <= 78; + when "10000111" => + dest <= 56; + src <= 7; + when "10001000" => + dest <= 81; + src <= 78; + when "10001001" => + dest <= 38; + src <= 47; + when "10001010" => + dest <= 78; + src <= 9; + when "10001011" => + dest <= 72; + src <= 56; + when "10001100" => + dest <= 78; + src <= 78; + when "10001101" => + dest <= 78; + src <= 90; + when "10001110" => + dest <= 60; + src <= 65; + when "10001111" => + dest <= 59; + src <= 18; + when "10010000" => + dest <= 78; + src <= 78; + when "10010001" => + dest <= 57; + src <= 74; + when "10010010" => + dest <= 99; + src <= 78; + when "10010011" => + dest <= 48; + src <= 86; + when "10010100" => + dest <= 71; + src <= 97; + when "10010101" => + dest <= 78; + src <= 78; + when "10010110" => + dest <= 69; + src <= 99; + when "10010111" => + dest <= 58; + src <= 57; + when "10011000" => + dest <= 70; + src <= 8; + when "10011001" => + dest <= 78; + src <= 75; + when "10011010" => + dest <= 78; + src <= 87; + when "10011011" => + dest <= 23; + src <= 98; + when "10011100" => + dest <= 12; + src <= 76; + when others => + dest <= 78; + src <= 78; + + end case; + end process; + + end generate NI_NUM78; + + NI_NUM79 : if NI_NUM = 79 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 85; + src <= 79; + when "00000001" => + dest <= 99; + src <= 79; + when "00000010" => + dest <= 79; + src <= 79; + when "00000011" => + dest <= 69; + src <= 79; + when "00000100" => + dest <= 34; + src <= 79; + when "00000101" => + dest <= 25; + src <= 59; + when "00000110" => + dest <= 79; + src <= 89; + when "00000111" => + dest <= 15; + src <= 63; + when "00001000" => + dest <= 67; + src <= 79; + when "00001001" => + dest <= 79; + src <= 35; + when "00001010" => + dest <= 79; + src <= 24; + when "00001011" => + dest <= 89; + src <= 79; + when "00001100" => + dest <= 5; + src <= 79; + when "00001101" => + dest <= 14; + src <= 81; + when "00001110" => + dest <= 23; + src <= 69; + when "00001111" => + dest <= 9; + src <= 14; + when "00010000" => + dest <= 79; + src <= 23; + when "00010001" => + dest <= 79; + src <= 33; + when "00010010" => + dest <= 79; + src <= 79; + when "00010011" => + dest <= 65; + src <= 79; + when "00010100" => + dest <= 44; + src <= 49; + when "00010101" => + dest <= 79; + src <= 43; + when "00010110" => + dest <= 19; + src <= 79; + when "00010111" => + dest <= 68; + src <= 79; + when "00011000" => + dest <= 33; + src <= 34; + when "00011001" => + dest <= 26; + src <= 25; + when "00011010" => + dest <= 79; + src <= 83; + when "00011011" => + dest <= 16; + src <= 80; + when "00011100" => + dest <= 76; + src <= 39; + when "00011101" => + dest <= 79; + src <= 79; + when "00011110" => + dest <= 79; + src <= 4; + when "00011111" => + dest <= 6; + src <= 79; + when "00100000" => + dest <= 81; + src <= 79; + when "00100001" => + dest <= 35; + src <= 72; + when "00100010" => + dest <= 22; + src <= 15; + when "00100011" => + dest <= 4; + src <= 22; + when "00100100" => + dest <= 79; + src <= 32; + when "00100101" => + dest <= 80; + src <= 67; + when "00100110" => + dest <= 79; + src <= 79; + when "00100111" => + dest <= 95; + src <= 42; + when "00101000" => + dest <= 79; + src <= 79; + when "00101001" => + dest <= 79; + src <= 68; + when "00101010" => + dest <= 54; + src <= 79; + when "00101011" => + dest <= 10; + src <= 13; + when "00101100" => + dest <= 55; + src <= 26; + when "00101101" => + dest <= 78; + src <= 44; + when "00101110" => + dest <= 43; + src <= 79; + when "00101111" => + dest <= 79; + src <= 53; + when "00110000" => + dest <= 79; + src <= 70; + when "00110001" => + dest <= 32; + src <= 79; + when "00110010" => + dest <= 36; + src <= 38; + when "00110011" => + dest <= 79; + src <= 94; + when "00110100" => + dest <= 17; + src <= 93; + when "00110101" => + dest <= 77; + src <= 79; + when "00110110" => + dest <= 79; + src <= 79; + when "00110111" => + dest <= 79; + src <= 5; + when "00111000" => + dest <= 12; + src <= 79; + when "00111001" => + dest <= 45; + src <= 71; + when "00111010" => + dest <= 88; + src <= 16; + when "00111011" => + dest <= 21; + src <= 12; + when "00111100" => + dest <= 79; + src <= 31; + when "00111101" => + dest <= 3; + src <= 79; + when "00111110" => + dest <= 79; + src <= 60; + when "00111111" => + dest <= 94; + src <= 79; + when "01000000" => + dest <= 79; + src <= 79; + when "01000001" => + dest <= 75; + src <= 36; + when "01000010" => + dest <= 27; + src <= 3; + when "01000011" => + dest <= 79; + src <= 79; + when "01000100" => + dest <= 87; + src <= 27; + when "01000101" => + dest <= 79; + src <= 79; + when "01000110" => + dest <= 11; + src <= 45; + when "01000111" => + dest <= 64; + src <= 73; + when "01001000" => + dest <= 46; + src <= 54; + when "01001001" => + dest <= 79; + src <= 61; + when "01001010" => + dest <= 79; + src <= 79; + when "01001011" => + dest <= 79; + src <= 21; + when "01001100" => + dest <= 53; + src <= 79; + when "01001101" => + dest <= 20; + src <= 79; + when "01001110" => + dest <= 79; + src <= 37; + when "01001111" => + dest <= 96; + src <= 84; + when "01010000" => + dest <= 79; + src <= 2; + when "01010001" => + dest <= 7; + src <= 79; + when "01010010" => + dest <= 2; + src <= 79; + when "01010011" => + dest <= 42; + src <= 79; + when "01010100" => + dest <= 79; + src <= 95; + when "01010101" => + dest <= 79; + src <= 28; + when "01010110" => + dest <= 31; + src <= 52; + when "01010111" => + dest <= 79; + src <= 79; + when "01011000" => + dest <= 18; + src <= 41; + when "01011001" => + dest <= 70; + src <= 79; + when "01011010" => + dest <= 28; + src <= 46; + when "01011011" => + dest <= 79; + src <= 6; + when "01011100" => + dest <= 93; + src <= 78; + when "01011101" => + dest <= 8; + src <= 79; + when "01011110" => + dest <= 79; + src <= 17; + when "01011111" => + dest <= 37; + src <= 30; + when "01100000" => + dest <= 84; + src <= 79; + when "01100001" => + dest <= 79; + src <= 79; + when "01100010" => + dest <= 79; + src <= 20; + when "01100011" => + dest <= 97; + src <= 40; + when "01100100" => + dest <= 63; + src <= 55; + when "01100101" => + dest <= 56; + src <= 79; + when "01100110" => + dest <= 79; + src <= 79; + when "01100111" => + dest <= 52; + src <= 11; + when "01101000" => + dest <= 98; + src <= 64; + when "01101001" => + dest <= 41; + src <= 51; + when "01101010" => + dest <= 79; + src <= 79; + when "01101011" => + dest <= 86; + src <= 85; + when "01101100" => + dest <= 30; + src <= 92; + when "01101101" => + dest <= 79; + src <= 50; + when "01101110" => + dest <= 1; + src <= 96; + when "01101111" => + dest <= 79; + src <= 79; + when "01110000" => + dest <= 38; + src <= 7; + when "01110001" => + dest <= 92; + src <= 62; + when "01110010" => + dest <= 79; + src <= 79; + when "01110011" => + dest <= 79; + src <= 18; + when "01110100" => + dest <= 47; + src <= 79; + when "01110101" => + dest <= 83; + src <= 47; + when "01110110" => + dest <= 79; + src <= 79; + when "01110111" => + dest <= 29; + src <= 10; + when "01111000" => + dest <= 79; + src <= 56; + when "01111001" => + dest <= 74; + src <= 79; + when "01111010" => + dest <= 79; + src <= 79; + when "01111011" => + dest <= 79; + src <= 1; + when "01111100" => + dest <= 66; + src <= 65; + when "01111101" => + dest <= 62; + src <= 79; + when "01111110" => + dest <= 79; + src <= 29; + when "01111111" => + dest <= 51; + src <= 79; + when "10000000" => + dest <= 79; + src <= 74; + when "10000001" => + dest <= 40; + src <= 79; + when "10000010" => + dest <= 79; + src <= 82; + when "10000011" => + dest <= 0; + src <= 86; + when "10000100" => + dest <= 48; + src <= 79; + when "10000101" => + dest <= 91; + src <= 97; + when "10000110" => + dest <= 79; + src <= 79; + when "10000111" => + dest <= 57; + src <= 8; + when "10001000" => + dest <= 82; + src <= 79; + when "10001001" => + dest <= 39; + src <= 48; + when "10001010" => + dest <= 79; + src <= 0; + when "10001011" => + dest <= 73; + src <= 57; + when "10001100" => + dest <= 79; + src <= 79; + when "10001101" => + dest <= 79; + src <= 91; + when "10001110" => + dest <= 61; + src <= 66; + when "10001111" => + dest <= 50; + src <= 19; + when "10010000" => + dest <= 79; + src <= 79; + when "10010001" => + dest <= 58; + src <= 75; + when "10010010" => + dest <= 90; + src <= 79; + when "10010011" => + dest <= 49; + src <= 87; + when "10010100" => + dest <= 72; + src <= 98; + when "10010101" => + dest <= 79; + src <= 79; + when "10010110" => + dest <= 60; + src <= 90; + when "10010111" => + dest <= 59; + src <= 58; + when "10011000" => + dest <= 71; + src <= 9; + when "10011001" => + dest <= 79; + src <= 76; + when "10011010" => + dest <= 79; + src <= 88; + when "10011011" => + dest <= 24; + src <= 99; + when "10011100" => + dest <= 13; + src <= 77; + when others => + dest <= 79; + src <= 79; + + end case; + end process; + + end generate NI_NUM79; + + NI_NUM80 : if NI_NUM = 80 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 96; + src <= 80; + when "00000001" => + dest <= 0; + src <= 80; + when "00000010" => + dest <= 80; + src <= 80; + when "00000011" => + dest <= 70; + src <= 80; + when "00000100" => + dest <= 45; + src <= 80; + when "00000101" => + dest <= 36; + src <= 60; + when "00000110" => + dest <= 80; + src <= 90; + when "00000111" => + dest <= 26; + src <= 74; + when "00001000" => + dest <= 78; + src <= 80; + when "00001001" => + dest <= 80; + src <= 46; + when "00001010" => + dest <= 80; + src <= 35; + when "00001011" => + dest <= 90; + src <= 80; + when "00001100" => + dest <= 16; + src <= 80; + when "00001101" => + dest <= 25; + src <= 92; + when "00001110" => + dest <= 34; + src <= 70; + when "00001111" => + dest <= 10; + src <= 25; + when "00010000" => + dest <= 80; + src <= 34; + when "00010001" => + dest <= 80; + src <= 44; + when "00010010" => + dest <= 80; + src <= 80; + when "00010011" => + dest <= 76; + src <= 80; + when "00010100" => + dest <= 55; + src <= 50; + when "00010101" => + dest <= 80; + src <= 54; + when "00010110" => + dest <= 20; + src <= 80; + when "00010111" => + dest <= 79; + src <= 80; + when "00011000" => + dest <= 44; + src <= 45; + when "00011001" => + dest <= 37; + src <= 36; + when "00011010" => + dest <= 80; + src <= 94; + when "00011011" => + dest <= 27; + src <= 91; + when "00011100" => + dest <= 87; + src <= 40; + when "00011101" => + dest <= 80; + src <= 80; + when "00011110" => + dest <= 80; + src <= 15; + when "00011111" => + dest <= 17; + src <= 80; + when "00100000" => + dest <= 92; + src <= 80; + when "00100001" => + dest <= 46; + src <= 83; + when "00100010" => + dest <= 33; + src <= 26; + when "00100011" => + dest <= 15; + src <= 33; + when "00100100" => + dest <= 80; + src <= 43; + when "00100101" => + dest <= 91; + src <= 78; + when "00100110" => + dest <= 80; + src <= 80; + when "00100111" => + dest <= 6; + src <= 53; + when "00101000" => + dest <= 80; + src <= 80; + when "00101001" => + dest <= 80; + src <= 79; + when "00101010" => + dest <= 65; + src <= 80; + when "00101011" => + dest <= 21; + src <= 24; + when "00101100" => + dest <= 66; + src <= 37; + when "00101101" => + dest <= 89; + src <= 55; + when "00101110" => + dest <= 54; + src <= 80; + when "00101111" => + dest <= 80; + src <= 64; + when "00110000" => + dest <= 80; + src <= 81; + when "00110001" => + dest <= 43; + src <= 80; + when "00110010" => + dest <= 47; + src <= 49; + when "00110011" => + dest <= 80; + src <= 5; + when "00110100" => + dest <= 28; + src <= 4; + when "00110101" => + dest <= 88; + src <= 80; + when "00110110" => + dest <= 80; + src <= 80; + when "00110111" => + dest <= 80; + src <= 16; + when "00111000" => + dest <= 23; + src <= 80; + when "00111001" => + dest <= 56; + src <= 82; + when "00111010" => + dest <= 99; + src <= 27; + when "00111011" => + dest <= 32; + src <= 23; + when "00111100" => + dest <= 80; + src <= 42; + when "00111101" => + dest <= 14; + src <= 80; + when "00111110" => + dest <= 80; + src <= 71; + when "00111111" => + dest <= 5; + src <= 80; + when "01000000" => + dest <= 80; + src <= 80; + when "01000001" => + dest <= 86; + src <= 47; + when "01000010" => + dest <= 38; + src <= 14; + when "01000011" => + dest <= 80; + src <= 80; + when "01000100" => + dest <= 98; + src <= 38; + when "01000101" => + dest <= 80; + src <= 80; + when "01000110" => + dest <= 22; + src <= 56; + when "01000111" => + dest <= 75; + src <= 84; + when "01001000" => + dest <= 57; + src <= 65; + when "01001001" => + dest <= 80; + src <= 72; + when "01001010" => + dest <= 80; + src <= 80; + when "01001011" => + dest <= 80; + src <= 32; + when "01001100" => + dest <= 64; + src <= 80; + when "01001101" => + dest <= 31; + src <= 80; + when "01001110" => + dest <= 80; + src <= 48; + when "01001111" => + dest <= 7; + src <= 95; + when "01010000" => + dest <= 80; + src <= 13; + when "01010001" => + dest <= 18; + src <= 80; + when "01010010" => + dest <= 13; + src <= 80; + when "01010011" => + dest <= 53; + src <= 80; + when "01010100" => + dest <= 80; + src <= 6; + when "01010101" => + dest <= 80; + src <= 39; + when "01010110" => + dest <= 42; + src <= 63; + when "01010111" => + dest <= 80; + src <= 80; + when "01011000" => + dest <= 29; + src <= 52; + when "01011001" => + dest <= 81; + src <= 80; + when "01011010" => + dest <= 39; + src <= 57; + when "01011011" => + dest <= 80; + src <= 17; + when "01011100" => + dest <= 4; + src <= 89; + when "01011101" => + dest <= 19; + src <= 80; + when "01011110" => + dest <= 80; + src <= 28; + when "01011111" => + dest <= 48; + src <= 41; + when "01100000" => + dest <= 95; + src <= 80; + when "01100001" => + dest <= 80; + src <= 80; + when "01100010" => + dest <= 80; + src <= 31; + when "01100011" => + dest <= 8; + src <= 51; + when "01100100" => + dest <= 74; + src <= 66; + when "01100101" => + dest <= 67; + src <= 80; + when "01100110" => + dest <= 80; + src <= 80; + when "01100111" => + dest <= 63; + src <= 22; + when "01101000" => + dest <= 9; + src <= 75; + when "01101001" => + dest <= 52; + src <= 62; + when "01101010" => + dest <= 80; + src <= 80; + when "01101011" => + dest <= 97; + src <= 96; + when "01101100" => + dest <= 41; + src <= 3; + when "01101101" => + dest <= 80; + src <= 61; + when "01101110" => + dest <= 12; + src <= 7; + when "01101111" => + dest <= 80; + src <= 80; + when "01110000" => + dest <= 49; + src <= 18; + when "01110001" => + dest <= 3; + src <= 73; + when "01110010" => + dest <= 80; + src <= 80; + when "01110011" => + dest <= 80; + src <= 29; + when "01110100" => + dest <= 58; + src <= 80; + when "01110101" => + dest <= 94; + src <= 58; + when "01110110" => + dest <= 80; + src <= 80; + when "01110111" => + dest <= 30; + src <= 21; + when "01111000" => + dest <= 80; + src <= 67; + when "01111001" => + dest <= 85; + src <= 80; + when "01111010" => + dest <= 80; + src <= 80; + when "01111011" => + dest <= 80; + src <= 12; + when "01111100" => + dest <= 77; + src <= 76; + when "01111101" => + dest <= 73; + src <= 80; + when "01111110" => + dest <= 80; + src <= 30; + when "01111111" => + dest <= 62; + src <= 80; + when "10000000" => + dest <= 80; + src <= 85; + when "10000001" => + dest <= 51; + src <= 80; + when "10000010" => + dest <= 80; + src <= 93; + when "10000011" => + dest <= 11; + src <= 97; + when "10000100" => + dest <= 59; + src <= 80; + when "10000101" => + dest <= 2; + src <= 8; + when "10000110" => + dest <= 80; + src <= 80; + when "10000111" => + dest <= 68; + src <= 19; + when "10001000" => + dest <= 93; + src <= 80; + when "10001001" => + dest <= 40; + src <= 59; + when "10001010" => + dest <= 80; + src <= 11; + when "10001011" => + dest <= 84; + src <= 68; + when "10001100" => + dest <= 80; + src <= 80; + when "10001101" => + dest <= 80; + src <= 2; + when "10001110" => + dest <= 72; + src <= 77; + when "10001111" => + dest <= 61; + src <= 20; + when "10010000" => + dest <= 80; + src <= 80; + when "10010001" => + dest <= 69; + src <= 86; + when "10010010" => + dest <= 1; + src <= 80; + when "10010011" => + dest <= 50; + src <= 98; + when "10010100" => + dest <= 83; + src <= 9; + when "10010101" => + dest <= 80; + src <= 80; + when "10010110" => + dest <= 71; + src <= 1; + when "10010111" => + dest <= 60; + src <= 69; + when "10011000" => + dest <= 82; + src <= 10; + when "10011001" => + dest <= 80; + src <= 87; + when "10011010" => + dest <= 80; + src <= 99; + when "10011011" => + dest <= 35; + src <= 0; + when "10011100" => + dest <= 24; + src <= 88; + when others => + dest <= 80; + src <= 80; + + end case; + end process; + + end generate NI_NUM80; + + NI_NUM81 : if NI_NUM = 81 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 97; + src <= 81; + when "00000001" => + dest <= 1; + src <= 81; + when "00000010" => + dest <= 81; + src <= 81; + when "00000011" => + dest <= 71; + src <= 81; + when "00000100" => + dest <= 46; + src <= 81; + when "00000101" => + dest <= 37; + src <= 61; + when "00000110" => + dest <= 81; + src <= 91; + when "00000111" => + dest <= 27; + src <= 75; + when "00001000" => + dest <= 79; + src <= 81; + when "00001001" => + dest <= 81; + src <= 47; + when "00001010" => + dest <= 81; + src <= 36; + when "00001011" => + dest <= 91; + src <= 81; + when "00001100" => + dest <= 17; + src <= 81; + when "00001101" => + dest <= 26; + src <= 93; + when "00001110" => + dest <= 35; + src <= 71; + when "00001111" => + dest <= 11; + src <= 26; + when "00010000" => + dest <= 81; + src <= 35; + when "00010001" => + dest <= 81; + src <= 45; + when "00010010" => + dest <= 81; + src <= 81; + when "00010011" => + dest <= 77; + src <= 81; + when "00010100" => + dest <= 56; + src <= 51; + when "00010101" => + dest <= 81; + src <= 55; + when "00010110" => + dest <= 21; + src <= 81; + when "00010111" => + dest <= 70; + src <= 81; + when "00011000" => + dest <= 45; + src <= 46; + when "00011001" => + dest <= 38; + src <= 37; + when "00011010" => + dest <= 81; + src <= 95; + when "00011011" => + dest <= 28; + src <= 92; + when "00011100" => + dest <= 88; + src <= 41; + when "00011101" => + dest <= 81; + src <= 81; + when "00011110" => + dest <= 81; + src <= 16; + when "00011111" => + dest <= 18; + src <= 81; + when "00100000" => + dest <= 93; + src <= 81; + when "00100001" => + dest <= 47; + src <= 84; + when "00100010" => + dest <= 34; + src <= 27; + when "00100011" => + dest <= 16; + src <= 34; + when "00100100" => + dest <= 81; + src <= 44; + when "00100101" => + dest <= 92; + src <= 79; + when "00100110" => + dest <= 81; + src <= 81; + when "00100111" => + dest <= 7; + src <= 54; + when "00101000" => + dest <= 81; + src <= 81; + when "00101001" => + dest <= 81; + src <= 70; + when "00101010" => + dest <= 66; + src <= 81; + when "00101011" => + dest <= 22; + src <= 25; + when "00101100" => + dest <= 67; + src <= 38; + when "00101101" => + dest <= 80; + src <= 56; + when "00101110" => + dest <= 55; + src <= 81; + when "00101111" => + dest <= 81; + src <= 65; + when "00110000" => + dest <= 81; + src <= 82; + when "00110001" => + dest <= 44; + src <= 81; + when "00110010" => + dest <= 48; + src <= 40; + when "00110011" => + dest <= 81; + src <= 6; + when "00110100" => + dest <= 29; + src <= 5; + when "00110101" => + dest <= 89; + src <= 81; + when "00110110" => + dest <= 81; + src <= 81; + when "00110111" => + dest <= 81; + src <= 17; + when "00111000" => + dest <= 24; + src <= 81; + when "00111001" => + dest <= 57; + src <= 83; + when "00111010" => + dest <= 90; + src <= 28; + when "00111011" => + dest <= 33; + src <= 24; + when "00111100" => + dest <= 81; + src <= 43; + when "00111101" => + dest <= 15; + src <= 81; + when "00111110" => + dest <= 81; + src <= 72; + when "00111111" => + dest <= 6; + src <= 81; + when "01000000" => + dest <= 81; + src <= 81; + when "01000001" => + dest <= 87; + src <= 48; + when "01000010" => + dest <= 39; + src <= 15; + when "01000011" => + dest <= 81; + src <= 81; + when "01000100" => + dest <= 99; + src <= 39; + when "01000101" => + dest <= 81; + src <= 81; + when "01000110" => + dest <= 23; + src <= 57; + when "01000111" => + dest <= 76; + src <= 85; + when "01001000" => + dest <= 58; + src <= 66; + when "01001001" => + dest <= 81; + src <= 73; + when "01001010" => + dest <= 81; + src <= 81; + when "01001011" => + dest <= 81; + src <= 33; + when "01001100" => + dest <= 65; + src <= 81; + when "01001101" => + dest <= 32; + src <= 81; + when "01001110" => + dest <= 81; + src <= 49; + when "01001111" => + dest <= 8; + src <= 96; + when "01010000" => + dest <= 81; + src <= 14; + when "01010001" => + dest <= 19; + src <= 81; + when "01010010" => + dest <= 14; + src <= 81; + when "01010011" => + dest <= 54; + src <= 81; + when "01010100" => + dest <= 81; + src <= 7; + when "01010101" => + dest <= 81; + src <= 30; + when "01010110" => + dest <= 43; + src <= 64; + when "01010111" => + dest <= 81; + src <= 81; + when "01011000" => + dest <= 20; + src <= 53; + when "01011001" => + dest <= 82; + src <= 81; + when "01011010" => + dest <= 30; + src <= 58; + when "01011011" => + dest <= 81; + src <= 18; + when "01011100" => + dest <= 5; + src <= 80; + when "01011101" => + dest <= 10; + src <= 81; + when "01011110" => + dest <= 81; + src <= 29; + when "01011111" => + dest <= 49; + src <= 42; + when "01100000" => + dest <= 96; + src <= 81; + when "01100001" => + dest <= 81; + src <= 81; + when "01100010" => + dest <= 81; + src <= 32; + when "01100011" => + dest <= 9; + src <= 52; + when "01100100" => + dest <= 75; + src <= 67; + when "01100101" => + dest <= 68; + src <= 81; + when "01100110" => + dest <= 81; + src <= 81; + when "01100111" => + dest <= 64; + src <= 23; + when "01101000" => + dest <= 0; + src <= 76; + when "01101001" => + dest <= 53; + src <= 63; + when "01101010" => + dest <= 81; + src <= 81; + when "01101011" => + dest <= 98; + src <= 97; + when "01101100" => + dest <= 42; + src <= 4; + when "01101101" => + dest <= 81; + src <= 62; + when "01101110" => + dest <= 13; + src <= 8; + when "01101111" => + dest <= 81; + src <= 81; + when "01110000" => + dest <= 40; + src <= 19; + when "01110001" => + dest <= 4; + src <= 74; + when "01110010" => + dest <= 81; + src <= 81; + when "01110011" => + dest <= 81; + src <= 20; + when "01110100" => + dest <= 59; + src <= 81; + when "01110101" => + dest <= 95; + src <= 59; + when "01110110" => + dest <= 81; + src <= 81; + when "01110111" => + dest <= 31; + src <= 22; + when "01111000" => + dest <= 81; + src <= 68; + when "01111001" => + dest <= 86; + src <= 81; + when "01111010" => + dest <= 81; + src <= 81; + when "01111011" => + dest <= 81; + src <= 13; + when "01111100" => + dest <= 78; + src <= 77; + when "01111101" => + dest <= 74; + src <= 81; + when "01111110" => + dest <= 81; + src <= 31; + when "01111111" => + dest <= 63; + src <= 81; + when "10000000" => + dest <= 81; + src <= 86; + when "10000001" => + dest <= 52; + src <= 81; + when "10000010" => + dest <= 81; + src <= 94; + when "10000011" => + dest <= 12; + src <= 98; + when "10000100" => + dest <= 50; + src <= 81; + when "10000101" => + dest <= 3; + src <= 9; + when "10000110" => + dest <= 81; + src <= 81; + when "10000111" => + dest <= 69; + src <= 10; + when "10001000" => + dest <= 94; + src <= 81; + when "10001001" => + dest <= 41; + src <= 50; + when "10001010" => + dest <= 81; + src <= 12; + when "10001011" => + dest <= 85; + src <= 69; + when "10001100" => + dest <= 81; + src <= 81; + when "10001101" => + dest <= 81; + src <= 3; + when "10001110" => + dest <= 73; + src <= 78; + when "10001111" => + dest <= 62; + src <= 21; + when "10010000" => + dest <= 81; + src <= 81; + when "10010001" => + dest <= 60; + src <= 87; + when "10010010" => + dest <= 2; + src <= 81; + when "10010011" => + dest <= 51; + src <= 99; + when "10010100" => + dest <= 84; + src <= 0; + when "10010101" => + dest <= 81; + src <= 81; + when "10010110" => + dest <= 72; + src <= 2; + when "10010111" => + dest <= 61; + src <= 60; + when "10011000" => + dest <= 83; + src <= 11; + when "10011001" => + dest <= 81; + src <= 88; + when "10011010" => + dest <= 81; + src <= 90; + when "10011011" => + dest <= 36; + src <= 1; + when "10011100" => + dest <= 25; + src <= 89; + when others => + dest <= 81; + src <= 81; + + end case; + end process; + + end generate NI_NUM81; + + NI_NUM82 : if NI_NUM = 82 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 98; + src <= 82; + when "00000001" => + dest <= 2; + src <= 82; + when "00000010" => + dest <= 82; + src <= 82; + when "00000011" => + dest <= 72; + src <= 82; + when "00000100" => + dest <= 47; + src <= 82; + when "00000101" => + dest <= 38; + src <= 62; + when "00000110" => + dest <= 82; + src <= 92; + when "00000111" => + dest <= 28; + src <= 76; + when "00001000" => + dest <= 70; + src <= 82; + when "00001001" => + dest <= 82; + src <= 48; + when "00001010" => + dest <= 82; + src <= 37; + when "00001011" => + dest <= 92; + src <= 82; + when "00001100" => + dest <= 18; + src <= 82; + when "00001101" => + dest <= 27; + src <= 94; + when "00001110" => + dest <= 36; + src <= 72; + when "00001111" => + dest <= 12; + src <= 27; + when "00010000" => + dest <= 82; + src <= 36; + when "00010001" => + dest <= 82; + src <= 46; + when "00010010" => + dest <= 82; + src <= 82; + when "00010011" => + dest <= 78; + src <= 82; + when "00010100" => + dest <= 57; + src <= 52; + when "00010101" => + dest <= 82; + src <= 56; + when "00010110" => + dest <= 22; + src <= 82; + when "00010111" => + dest <= 71; + src <= 82; + when "00011000" => + dest <= 46; + src <= 47; + when "00011001" => + dest <= 39; + src <= 38; + when "00011010" => + dest <= 82; + src <= 96; + when "00011011" => + dest <= 29; + src <= 93; + when "00011100" => + dest <= 89; + src <= 42; + when "00011101" => + dest <= 82; + src <= 82; + when "00011110" => + dest <= 82; + src <= 17; + when "00011111" => + dest <= 19; + src <= 82; + when "00100000" => + dest <= 94; + src <= 82; + when "00100001" => + dest <= 48; + src <= 85; + when "00100010" => + dest <= 35; + src <= 28; + when "00100011" => + dest <= 17; + src <= 35; + when "00100100" => + dest <= 82; + src <= 45; + when "00100101" => + dest <= 93; + src <= 70; + when "00100110" => + dest <= 82; + src <= 82; + when "00100111" => + dest <= 8; + src <= 55; + when "00101000" => + dest <= 82; + src <= 82; + when "00101001" => + dest <= 82; + src <= 71; + when "00101010" => + dest <= 67; + src <= 82; + when "00101011" => + dest <= 23; + src <= 26; + when "00101100" => + dest <= 68; + src <= 39; + when "00101101" => + dest <= 81; + src <= 57; + when "00101110" => + dest <= 56; + src <= 82; + when "00101111" => + dest <= 82; + src <= 66; + when "00110000" => + dest <= 82; + src <= 83; + when "00110001" => + dest <= 45; + src <= 82; + when "00110010" => + dest <= 49; + src <= 41; + when "00110011" => + dest <= 82; + src <= 7; + when "00110100" => + dest <= 20; + src <= 6; + when "00110101" => + dest <= 80; + src <= 82; + when "00110110" => + dest <= 82; + src <= 82; + when "00110111" => + dest <= 82; + src <= 18; + when "00111000" => + dest <= 25; + src <= 82; + when "00111001" => + dest <= 58; + src <= 84; + when "00111010" => + dest <= 91; + src <= 29; + when "00111011" => + dest <= 34; + src <= 25; + when "00111100" => + dest <= 82; + src <= 44; + when "00111101" => + dest <= 16; + src <= 82; + when "00111110" => + dest <= 82; + src <= 73; + when "00111111" => + dest <= 7; + src <= 82; + when "01000000" => + dest <= 82; + src <= 82; + when "01000001" => + dest <= 88; + src <= 49; + when "01000010" => + dest <= 30; + src <= 16; + when "01000011" => + dest <= 82; + src <= 82; + when "01000100" => + dest <= 90; + src <= 30; + when "01000101" => + dest <= 82; + src <= 82; + when "01000110" => + dest <= 24; + src <= 58; + when "01000111" => + dest <= 77; + src <= 86; + when "01001000" => + dest <= 59; + src <= 67; + when "01001001" => + dest <= 82; + src <= 74; + when "01001010" => + dest <= 82; + src <= 82; + when "01001011" => + dest <= 82; + src <= 34; + when "01001100" => + dest <= 66; + src <= 82; + when "01001101" => + dest <= 33; + src <= 82; + when "01001110" => + dest <= 82; + src <= 40; + when "01001111" => + dest <= 9; + src <= 97; + when "01010000" => + dest <= 82; + src <= 15; + when "01010001" => + dest <= 10; + src <= 82; + when "01010010" => + dest <= 15; + src <= 82; + when "01010011" => + dest <= 55; + src <= 82; + when "01010100" => + dest <= 82; + src <= 8; + when "01010101" => + dest <= 82; + src <= 31; + when "01010110" => + dest <= 44; + src <= 65; + when "01010111" => + dest <= 82; + src <= 82; + when "01011000" => + dest <= 21; + src <= 54; + when "01011001" => + dest <= 83; + src <= 82; + when "01011010" => + dest <= 31; + src <= 59; + when "01011011" => + dest <= 82; + src <= 19; + when "01011100" => + dest <= 6; + src <= 81; + when "01011101" => + dest <= 11; + src <= 82; + when "01011110" => + dest <= 82; + src <= 20; + when "01011111" => + dest <= 40; + src <= 43; + when "01100000" => + dest <= 97; + src <= 82; + when "01100001" => + dest <= 82; + src <= 82; + when "01100010" => + dest <= 82; + src <= 33; + when "01100011" => + dest <= 0; + src <= 53; + when "01100100" => + dest <= 76; + src <= 68; + when "01100101" => + dest <= 69; + src <= 82; + when "01100110" => + dest <= 82; + src <= 82; + when "01100111" => + dest <= 65; + src <= 24; + when "01101000" => + dest <= 1; + src <= 77; + when "01101001" => + dest <= 54; + src <= 64; + when "01101010" => + dest <= 82; + src <= 82; + when "01101011" => + dest <= 99; + src <= 98; + when "01101100" => + dest <= 43; + src <= 5; + when "01101101" => + dest <= 82; + src <= 63; + when "01101110" => + dest <= 14; + src <= 9; + when "01101111" => + dest <= 82; + src <= 82; + when "01110000" => + dest <= 41; + src <= 10; + when "01110001" => + dest <= 5; + src <= 75; + when "01110010" => + dest <= 82; + src <= 82; + when "01110011" => + dest <= 82; + src <= 21; + when "01110100" => + dest <= 50; + src <= 82; + when "01110101" => + dest <= 96; + src <= 50; + when "01110110" => + dest <= 82; + src <= 82; + when "01110111" => + dest <= 32; + src <= 23; + when "01111000" => + dest <= 82; + src <= 69; + when "01111001" => + dest <= 87; + src <= 82; + when "01111010" => + dest <= 82; + src <= 82; + when "01111011" => + dest <= 82; + src <= 14; + when "01111100" => + dest <= 79; + src <= 78; + when "01111101" => + dest <= 75; + src <= 82; + when "01111110" => + dest <= 82; + src <= 32; + when "01111111" => + dest <= 64; + src <= 82; + when "10000000" => + dest <= 82; + src <= 87; + when "10000001" => + dest <= 53; + src <= 82; + when "10000010" => + dest <= 82; + src <= 95; + when "10000011" => + dest <= 13; + src <= 99; + when "10000100" => + dest <= 51; + src <= 82; + when "10000101" => + dest <= 4; + src <= 0; + when "10000110" => + dest <= 82; + src <= 82; + when "10000111" => + dest <= 60; + src <= 11; + when "10001000" => + dest <= 95; + src <= 82; + when "10001001" => + dest <= 42; + src <= 51; + when "10001010" => + dest <= 82; + src <= 13; + when "10001011" => + dest <= 86; + src <= 60; + when "10001100" => + dest <= 82; + src <= 82; + when "10001101" => + dest <= 82; + src <= 4; + when "10001110" => + dest <= 74; + src <= 79; + when "10001111" => + dest <= 63; + src <= 22; + when "10010000" => + dest <= 82; + src <= 82; + when "10010001" => + dest <= 61; + src <= 88; + when "10010010" => + dest <= 3; + src <= 82; + when "10010011" => + dest <= 52; + src <= 90; + when "10010100" => + dest <= 85; + src <= 1; + when "10010101" => + dest <= 82; + src <= 82; + when "10010110" => + dest <= 73; + src <= 3; + when "10010111" => + dest <= 62; + src <= 61; + when "10011000" => + dest <= 84; + src <= 12; + when "10011001" => + dest <= 82; + src <= 89; + when "10011010" => + dest <= 82; + src <= 91; + when "10011011" => + dest <= 37; + src <= 2; + when "10011100" => + dest <= 26; + src <= 80; + when others => + dest <= 82; + src <= 82; + + end case; + end process; + + end generate NI_NUM82; + + NI_NUM83 : if NI_NUM = 83 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 99; + src <= 83; + when "00000001" => + dest <= 3; + src <= 83; + when "00000010" => + dest <= 83; + src <= 83; + when "00000011" => + dest <= 73; + src <= 83; + when "00000100" => + dest <= 48; + src <= 83; + when "00000101" => + dest <= 39; + src <= 63; + when "00000110" => + dest <= 83; + src <= 93; + when "00000111" => + dest <= 29; + src <= 77; + when "00001000" => + dest <= 71; + src <= 83; + when "00001001" => + dest <= 83; + src <= 49; + when "00001010" => + dest <= 83; + src <= 38; + when "00001011" => + dest <= 93; + src <= 83; + when "00001100" => + dest <= 19; + src <= 83; + when "00001101" => + dest <= 28; + src <= 95; + when "00001110" => + dest <= 37; + src <= 73; + when "00001111" => + dest <= 13; + src <= 28; + when "00010000" => + dest <= 83; + src <= 37; + when "00010001" => + dest <= 83; + src <= 47; + when "00010010" => + dest <= 83; + src <= 83; + when "00010011" => + dest <= 79; + src <= 83; + when "00010100" => + dest <= 58; + src <= 53; + when "00010101" => + dest <= 83; + src <= 57; + when "00010110" => + dest <= 23; + src <= 83; + when "00010111" => + dest <= 72; + src <= 83; + when "00011000" => + dest <= 47; + src <= 48; + when "00011001" => + dest <= 30; + src <= 39; + when "00011010" => + dest <= 83; + src <= 97; + when "00011011" => + dest <= 20; + src <= 94; + when "00011100" => + dest <= 80; + src <= 43; + when "00011101" => + dest <= 83; + src <= 83; + when "00011110" => + dest <= 83; + src <= 18; + when "00011111" => + dest <= 10; + src <= 83; + when "00100000" => + dest <= 95; + src <= 83; + when "00100001" => + dest <= 49; + src <= 86; + when "00100010" => + dest <= 36; + src <= 29; + when "00100011" => + dest <= 18; + src <= 36; + when "00100100" => + dest <= 83; + src <= 46; + when "00100101" => + dest <= 94; + src <= 71; + when "00100110" => + dest <= 83; + src <= 83; + when "00100111" => + dest <= 9; + src <= 56; + when "00101000" => + dest <= 83; + src <= 83; + when "00101001" => + dest <= 83; + src <= 72; + when "00101010" => + dest <= 68; + src <= 83; + when "00101011" => + dest <= 24; + src <= 27; + when "00101100" => + dest <= 69; + src <= 30; + when "00101101" => + dest <= 82; + src <= 58; + when "00101110" => + dest <= 57; + src <= 83; + when "00101111" => + dest <= 83; + src <= 67; + when "00110000" => + dest <= 83; + src <= 84; + when "00110001" => + dest <= 46; + src <= 83; + when "00110010" => + dest <= 40; + src <= 42; + when "00110011" => + dest <= 83; + src <= 8; + when "00110100" => + dest <= 21; + src <= 7; + when "00110101" => + dest <= 81; + src <= 83; + when "00110110" => + dest <= 83; + src <= 83; + when "00110111" => + dest <= 83; + src <= 19; + when "00111000" => + dest <= 26; + src <= 83; + when "00111001" => + dest <= 59; + src <= 85; + when "00111010" => + dest <= 92; + src <= 20; + when "00111011" => + dest <= 35; + src <= 26; + when "00111100" => + dest <= 83; + src <= 45; + when "00111101" => + dest <= 17; + src <= 83; + when "00111110" => + dest <= 83; + src <= 74; + when "00111111" => + dest <= 8; + src <= 83; + when "01000000" => + dest <= 83; + src <= 83; + when "01000001" => + dest <= 89; + src <= 40; + when "01000010" => + dest <= 31; + src <= 17; + when "01000011" => + dest <= 83; + src <= 83; + when "01000100" => + dest <= 91; + src <= 31; + when "01000101" => + dest <= 83; + src <= 83; + when "01000110" => + dest <= 25; + src <= 59; + when "01000111" => + dest <= 78; + src <= 87; + when "01001000" => + dest <= 50; + src <= 68; + when "01001001" => + dest <= 83; + src <= 75; + when "01001010" => + dest <= 83; + src <= 83; + when "01001011" => + dest <= 83; + src <= 35; + when "01001100" => + dest <= 67; + src <= 83; + when "01001101" => + dest <= 34; + src <= 83; + when "01001110" => + dest <= 83; + src <= 41; + when "01001111" => + dest <= 0; + src <= 98; + when "01010000" => + dest <= 83; + src <= 16; + when "01010001" => + dest <= 11; + src <= 83; + when "01010010" => + dest <= 16; + src <= 83; + when "01010011" => + dest <= 56; + src <= 83; + when "01010100" => + dest <= 83; + src <= 9; + when "01010101" => + dest <= 83; + src <= 32; + when "01010110" => + dest <= 45; + src <= 66; + when "01010111" => + dest <= 83; + src <= 83; + when "01011000" => + dest <= 22; + src <= 55; + when "01011001" => + dest <= 84; + src <= 83; + when "01011010" => + dest <= 32; + src <= 50; + when "01011011" => + dest <= 83; + src <= 10; + when "01011100" => + dest <= 7; + src <= 82; + when "01011101" => + dest <= 12; + src <= 83; + when "01011110" => + dest <= 83; + src <= 21; + when "01011111" => + dest <= 41; + src <= 44; + when "01100000" => + dest <= 98; + src <= 83; + when "01100001" => + dest <= 83; + src <= 83; + when "01100010" => + dest <= 83; + src <= 34; + when "01100011" => + dest <= 1; + src <= 54; + when "01100100" => + dest <= 77; + src <= 69; + when "01100101" => + dest <= 60; + src <= 83; + when "01100110" => + dest <= 83; + src <= 83; + when "01100111" => + dest <= 66; + src <= 25; + when "01101000" => + dest <= 2; + src <= 78; + when "01101001" => + dest <= 55; + src <= 65; + when "01101010" => + dest <= 83; + src <= 83; + when "01101011" => + dest <= 90; + src <= 99; + when "01101100" => + dest <= 44; + src <= 6; + when "01101101" => + dest <= 83; + src <= 64; + when "01101110" => + dest <= 15; + src <= 0; + when "01101111" => + dest <= 83; + src <= 83; + when "01110000" => + dest <= 42; + src <= 11; + when "01110001" => + dest <= 6; + src <= 76; + when "01110010" => + dest <= 83; + src <= 83; + when "01110011" => + dest <= 83; + src <= 22; + when "01110100" => + dest <= 51; + src <= 83; + when "01110101" => + dest <= 97; + src <= 51; + when "01110110" => + dest <= 83; + src <= 83; + when "01110111" => + dest <= 33; + src <= 24; + when "01111000" => + dest <= 83; + src <= 60; + when "01111001" => + dest <= 88; + src <= 83; + when "01111010" => + dest <= 83; + src <= 83; + when "01111011" => + dest <= 83; + src <= 15; + when "01111100" => + dest <= 70; + src <= 79; + when "01111101" => + dest <= 76; + src <= 83; + when "01111110" => + dest <= 83; + src <= 33; + when "01111111" => + dest <= 65; + src <= 83; + when "10000000" => + dest <= 83; + src <= 88; + when "10000001" => + dest <= 54; + src <= 83; + when "10000010" => + dest <= 83; + src <= 96; + when "10000011" => + dest <= 14; + src <= 90; + when "10000100" => + dest <= 52; + src <= 83; + when "10000101" => + dest <= 5; + src <= 1; + when "10000110" => + dest <= 83; + src <= 83; + when "10000111" => + dest <= 61; + src <= 12; + when "10001000" => + dest <= 96; + src <= 83; + when "10001001" => + dest <= 43; + src <= 52; + when "10001010" => + dest <= 83; + src <= 14; + when "10001011" => + dest <= 87; + src <= 61; + when "10001100" => + dest <= 83; + src <= 83; + when "10001101" => + dest <= 83; + src <= 5; + when "10001110" => + dest <= 75; + src <= 70; + when "10001111" => + dest <= 64; + src <= 23; + when "10010000" => + dest <= 83; + src <= 83; + when "10010001" => + dest <= 62; + src <= 89; + when "10010010" => + dest <= 4; + src <= 83; + when "10010011" => + dest <= 53; + src <= 91; + when "10010100" => + dest <= 86; + src <= 2; + when "10010101" => + dest <= 83; + src <= 83; + when "10010110" => + dest <= 74; + src <= 4; + when "10010111" => + dest <= 63; + src <= 62; + when "10011000" => + dest <= 85; + src <= 13; + when "10011001" => + dest <= 83; + src <= 80; + when "10011010" => + dest <= 83; + src <= 92; + when "10011011" => + dest <= 38; + src <= 3; + when "10011100" => + dest <= 27; + src <= 81; + when others => + dest <= 83; + src <= 83; + + end case; + end process; + + end generate NI_NUM83; + + NI_NUM84 : if NI_NUM = 84 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 90; + src <= 84; + when "00000001" => + dest <= 4; + src <= 84; + when "00000010" => + dest <= 84; + src <= 84; + when "00000011" => + dest <= 74; + src <= 84; + when "00000100" => + dest <= 49; + src <= 84; + when "00000101" => + dest <= 30; + src <= 64; + when "00000110" => + dest <= 84; + src <= 94; + when "00000111" => + dest <= 20; + src <= 78; + when "00001000" => + dest <= 72; + src <= 84; + when "00001001" => + dest <= 84; + src <= 40; + when "00001010" => + dest <= 84; + src <= 39; + when "00001011" => + dest <= 94; + src <= 84; + when "00001100" => + dest <= 10; + src <= 84; + when "00001101" => + dest <= 29; + src <= 96; + when "00001110" => + dest <= 38; + src <= 74; + when "00001111" => + dest <= 14; + src <= 29; + when "00010000" => + dest <= 84; + src <= 38; + when "00010001" => + dest <= 84; + src <= 48; + when "00010010" => + dest <= 84; + src <= 84; + when "00010011" => + dest <= 70; + src <= 84; + when "00010100" => + dest <= 59; + src <= 54; + when "00010101" => + dest <= 84; + src <= 58; + when "00010110" => + dest <= 24; + src <= 84; + when "00010111" => + dest <= 73; + src <= 84; + when "00011000" => + dest <= 48; + src <= 49; + when "00011001" => + dest <= 31; + src <= 30; + when "00011010" => + dest <= 84; + src <= 98; + when "00011011" => + dest <= 21; + src <= 95; + when "00011100" => + dest <= 81; + src <= 44; + when "00011101" => + dest <= 84; + src <= 84; + when "00011110" => + dest <= 84; + src <= 19; + when "00011111" => + dest <= 11; + src <= 84; + when "00100000" => + dest <= 96; + src <= 84; + when "00100001" => + dest <= 40; + src <= 87; + when "00100010" => + dest <= 37; + src <= 20; + when "00100011" => + dest <= 19; + src <= 37; + when "00100100" => + dest <= 84; + src <= 47; + when "00100101" => + dest <= 95; + src <= 72; + when "00100110" => + dest <= 84; + src <= 84; + when "00100111" => + dest <= 0; + src <= 57; + when "00101000" => + dest <= 84; + src <= 84; + when "00101001" => + dest <= 84; + src <= 73; + when "00101010" => + dest <= 69; + src <= 84; + when "00101011" => + dest <= 25; + src <= 28; + when "00101100" => + dest <= 60; + src <= 31; + when "00101101" => + dest <= 83; + src <= 59; + when "00101110" => + dest <= 58; + src <= 84; + when "00101111" => + dest <= 84; + src <= 68; + when "00110000" => + dest <= 84; + src <= 85; + when "00110001" => + dest <= 47; + src <= 84; + when "00110010" => + dest <= 41; + src <= 43; + when "00110011" => + dest <= 84; + src <= 9; + when "00110100" => + dest <= 22; + src <= 8; + when "00110101" => + dest <= 82; + src <= 84; + when "00110110" => + dest <= 84; + src <= 84; + when "00110111" => + dest <= 84; + src <= 10; + when "00111000" => + dest <= 27; + src <= 84; + when "00111001" => + dest <= 50; + src <= 86; + when "00111010" => + dest <= 93; + src <= 21; + when "00111011" => + dest <= 36; + src <= 27; + when "00111100" => + dest <= 84; + src <= 46; + when "00111101" => + dest <= 18; + src <= 84; + when "00111110" => + dest <= 84; + src <= 75; + when "00111111" => + dest <= 9; + src <= 84; + when "01000000" => + dest <= 84; + src <= 84; + when "01000001" => + dest <= 80; + src <= 41; + when "01000010" => + dest <= 32; + src <= 18; + when "01000011" => + dest <= 84; + src <= 84; + when "01000100" => + dest <= 92; + src <= 32; + when "01000101" => + dest <= 84; + src <= 84; + when "01000110" => + dest <= 26; + src <= 50; + when "01000111" => + dest <= 79; + src <= 88; + when "01001000" => + dest <= 51; + src <= 69; + when "01001001" => + dest <= 84; + src <= 76; + when "01001010" => + dest <= 84; + src <= 84; + when "01001011" => + dest <= 84; + src <= 36; + when "01001100" => + dest <= 68; + src <= 84; + when "01001101" => + dest <= 35; + src <= 84; + when "01001110" => + dest <= 84; + src <= 42; + when "01001111" => + dest <= 1; + src <= 99; + when "01010000" => + dest <= 84; + src <= 17; + when "01010001" => + dest <= 12; + src <= 84; + when "01010010" => + dest <= 17; + src <= 84; + when "01010011" => + dest <= 57; + src <= 84; + when "01010100" => + dest <= 84; + src <= 0; + when "01010101" => + dest <= 84; + src <= 33; + when "01010110" => + dest <= 46; + src <= 67; + when "01010111" => + dest <= 84; + src <= 84; + when "01011000" => + dest <= 23; + src <= 56; + when "01011001" => + dest <= 85; + src <= 84; + when "01011010" => + dest <= 33; + src <= 51; + when "01011011" => + dest <= 84; + src <= 11; + when "01011100" => + dest <= 8; + src <= 83; + when "01011101" => + dest <= 13; + src <= 84; + when "01011110" => + dest <= 84; + src <= 22; + when "01011111" => + dest <= 42; + src <= 45; + when "01100000" => + dest <= 99; + src <= 84; + when "01100001" => + dest <= 84; + src <= 84; + when "01100010" => + dest <= 84; + src <= 35; + when "01100011" => + dest <= 2; + src <= 55; + when "01100100" => + dest <= 78; + src <= 60; + when "01100101" => + dest <= 61; + src <= 84; + when "01100110" => + dest <= 84; + src <= 84; + when "01100111" => + dest <= 67; + src <= 26; + when "01101000" => + dest <= 3; + src <= 79; + when "01101001" => + dest <= 56; + src <= 66; + when "01101010" => + dest <= 84; + src <= 84; + when "01101011" => + dest <= 91; + src <= 90; + when "01101100" => + dest <= 45; + src <= 7; + when "01101101" => + dest <= 84; + src <= 65; + when "01101110" => + dest <= 16; + src <= 1; + when "01101111" => + dest <= 84; + src <= 84; + when "01110000" => + dest <= 43; + src <= 12; + when "01110001" => + dest <= 7; + src <= 77; + when "01110010" => + dest <= 84; + src <= 84; + when "01110011" => + dest <= 84; + src <= 23; + when "01110100" => + dest <= 52; + src <= 84; + when "01110101" => + dest <= 98; + src <= 52; + when "01110110" => + dest <= 84; + src <= 84; + when "01110111" => + dest <= 34; + src <= 25; + when "01111000" => + dest <= 84; + src <= 61; + when "01111001" => + dest <= 89; + src <= 84; + when "01111010" => + dest <= 84; + src <= 84; + when "01111011" => + dest <= 84; + src <= 16; + when "01111100" => + dest <= 71; + src <= 70; + when "01111101" => + dest <= 77; + src <= 84; + when "01111110" => + dest <= 84; + src <= 34; + when "01111111" => + dest <= 66; + src <= 84; + when "10000000" => + dest <= 84; + src <= 89; + when "10000001" => + dest <= 55; + src <= 84; + when "10000010" => + dest <= 84; + src <= 97; + when "10000011" => + dest <= 15; + src <= 91; + when "10000100" => + dest <= 53; + src <= 84; + when "10000101" => + dest <= 6; + src <= 2; + when "10000110" => + dest <= 84; + src <= 84; + when "10000111" => + dest <= 62; + src <= 13; + when "10001000" => + dest <= 97; + src <= 84; + when "10001001" => + dest <= 44; + src <= 53; + when "10001010" => + dest <= 84; + src <= 15; + when "10001011" => + dest <= 88; + src <= 62; + when "10001100" => + dest <= 84; + src <= 84; + when "10001101" => + dest <= 84; + src <= 6; + when "10001110" => + dest <= 76; + src <= 71; + when "10001111" => + dest <= 65; + src <= 24; + when "10010000" => + dest <= 84; + src <= 84; + when "10010001" => + dest <= 63; + src <= 80; + when "10010010" => + dest <= 5; + src <= 84; + when "10010011" => + dest <= 54; + src <= 92; + when "10010100" => + dest <= 87; + src <= 3; + when "10010101" => + dest <= 84; + src <= 84; + when "10010110" => + dest <= 75; + src <= 5; + when "10010111" => + dest <= 64; + src <= 63; + when "10011000" => + dest <= 86; + src <= 14; + when "10011001" => + dest <= 84; + src <= 81; + when "10011010" => + dest <= 84; + src <= 93; + when "10011011" => + dest <= 39; + src <= 4; + when "10011100" => + dest <= 28; + src <= 82; + when others => + dest <= 84; + src <= 84; + + end case; + end process; + + end generate NI_NUM84; + + NI_NUM85 : if NI_NUM = 85 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 91; + src <= 85; + when "00000001" => + dest <= 5; + src <= 85; + when "00000010" => + dest <= 85; + src <= 85; + when "00000011" => + dest <= 75; + src <= 85; + when "00000100" => + dest <= 40; + src <= 85; + when "00000101" => + dest <= 31; + src <= 65; + when "00000110" => + dest <= 85; + src <= 95; + when "00000111" => + dest <= 21; + src <= 79; + when "00001000" => + dest <= 73; + src <= 85; + when "00001001" => + dest <= 85; + src <= 41; + when "00001010" => + dest <= 85; + src <= 30; + when "00001011" => + dest <= 95; + src <= 85; + when "00001100" => + dest <= 11; + src <= 85; + when "00001101" => + dest <= 20; + src <= 97; + when "00001110" => + dest <= 39; + src <= 75; + when "00001111" => + dest <= 15; + src <= 20; + when "00010000" => + dest <= 85; + src <= 39; + when "00010001" => + dest <= 85; + src <= 49; + when "00010010" => + dest <= 85; + src <= 85; + when "00010011" => + dest <= 71; + src <= 85; + when "00010100" => + dest <= 50; + src <= 55; + when "00010101" => + dest <= 85; + src <= 59; + when "00010110" => + dest <= 25; + src <= 85; + when "00010111" => + dest <= 74; + src <= 85; + when "00011000" => + dest <= 49; + src <= 40; + when "00011001" => + dest <= 32; + src <= 31; + when "00011010" => + dest <= 85; + src <= 99; + when "00011011" => + dest <= 22; + src <= 96; + when "00011100" => + dest <= 82; + src <= 45; + when "00011101" => + dest <= 85; + src <= 85; + when "00011110" => + dest <= 85; + src <= 10; + when "00011111" => + dest <= 12; + src <= 85; + when "00100000" => + dest <= 97; + src <= 85; + when "00100001" => + dest <= 41; + src <= 88; + when "00100010" => + dest <= 38; + src <= 21; + when "00100011" => + dest <= 10; + src <= 38; + when "00100100" => + dest <= 85; + src <= 48; + when "00100101" => + dest <= 96; + src <= 73; + when "00100110" => + dest <= 85; + src <= 85; + when "00100111" => + dest <= 1; + src <= 58; + when "00101000" => + dest <= 85; + src <= 85; + when "00101001" => + dest <= 85; + src <= 74; + when "00101010" => + dest <= 60; + src <= 85; + when "00101011" => + dest <= 26; + src <= 29; + when "00101100" => + dest <= 61; + src <= 32; + when "00101101" => + dest <= 84; + src <= 50; + when "00101110" => + dest <= 59; + src <= 85; + when "00101111" => + dest <= 85; + src <= 69; + when "00110000" => + dest <= 85; + src <= 86; + when "00110001" => + dest <= 48; + src <= 85; + when "00110010" => + dest <= 42; + src <= 44; + when "00110011" => + dest <= 85; + src <= 0; + when "00110100" => + dest <= 23; + src <= 9; + when "00110101" => + dest <= 83; + src <= 85; + when "00110110" => + dest <= 85; + src <= 85; + when "00110111" => + dest <= 85; + src <= 11; + when "00111000" => + dest <= 28; + src <= 85; + when "00111001" => + dest <= 51; + src <= 87; + when "00111010" => + dest <= 94; + src <= 22; + when "00111011" => + dest <= 37; + src <= 28; + when "00111100" => + dest <= 85; + src <= 47; + when "00111101" => + dest <= 19; + src <= 85; + when "00111110" => + dest <= 85; + src <= 76; + when "00111111" => + dest <= 0; + src <= 85; + when "01000000" => + dest <= 85; + src <= 85; + when "01000001" => + dest <= 81; + src <= 42; + when "01000010" => + dest <= 33; + src <= 19; + when "01000011" => + dest <= 85; + src <= 85; + when "01000100" => + dest <= 93; + src <= 33; + when "01000101" => + dest <= 85; + src <= 85; + when "01000110" => + dest <= 27; + src <= 51; + when "01000111" => + dest <= 70; + src <= 89; + when "01001000" => + dest <= 52; + src <= 60; + when "01001001" => + dest <= 85; + src <= 77; + when "01001010" => + dest <= 85; + src <= 85; + when "01001011" => + dest <= 85; + src <= 37; + when "01001100" => + dest <= 69; + src <= 85; + when "01001101" => + dest <= 36; + src <= 85; + when "01001110" => + dest <= 85; + src <= 43; + when "01001111" => + dest <= 2; + src <= 90; + when "01010000" => + dest <= 85; + src <= 18; + when "01010001" => + dest <= 13; + src <= 85; + when "01010010" => + dest <= 18; + src <= 85; + when "01010011" => + dest <= 58; + src <= 85; + when "01010100" => + dest <= 85; + src <= 1; + when "01010101" => + dest <= 85; + src <= 34; + when "01010110" => + dest <= 47; + src <= 68; + when "01010111" => + dest <= 85; + src <= 85; + when "01011000" => + dest <= 24; + src <= 57; + when "01011001" => + dest <= 86; + src <= 85; + when "01011010" => + dest <= 34; + src <= 52; + when "01011011" => + dest <= 85; + src <= 12; + when "01011100" => + dest <= 9; + src <= 84; + when "01011101" => + dest <= 14; + src <= 85; + when "01011110" => + dest <= 85; + src <= 23; + when "01011111" => + dest <= 43; + src <= 46; + when "01100000" => + dest <= 90; + src <= 85; + when "01100001" => + dest <= 85; + src <= 85; + when "01100010" => + dest <= 85; + src <= 36; + when "01100011" => + dest <= 3; + src <= 56; + when "01100100" => + dest <= 79; + src <= 61; + when "01100101" => + dest <= 62; + src <= 85; + when "01100110" => + dest <= 85; + src <= 85; + when "01100111" => + dest <= 68; + src <= 27; + when "01101000" => + dest <= 4; + src <= 70; + when "01101001" => + dest <= 57; + src <= 67; + when "01101010" => + dest <= 85; + src <= 85; + when "01101011" => + dest <= 92; + src <= 91; + when "01101100" => + dest <= 46; + src <= 8; + when "01101101" => + dest <= 85; + src <= 66; + when "01101110" => + dest <= 17; + src <= 2; + when "01101111" => + dest <= 85; + src <= 85; + when "01110000" => + dest <= 44; + src <= 13; + when "01110001" => + dest <= 8; + src <= 78; + when "01110010" => + dest <= 85; + src <= 85; + when "01110011" => + dest <= 85; + src <= 24; + when "01110100" => + dest <= 53; + src <= 85; + when "01110101" => + dest <= 99; + src <= 53; + when "01110110" => + dest <= 85; + src <= 85; + when "01110111" => + dest <= 35; + src <= 26; + when "01111000" => + dest <= 85; + src <= 62; + when "01111001" => + dest <= 80; + src <= 85; + when "01111010" => + dest <= 85; + src <= 85; + when "01111011" => + dest <= 85; + src <= 17; + when "01111100" => + dest <= 72; + src <= 71; + when "01111101" => + dest <= 78; + src <= 85; + when "01111110" => + dest <= 85; + src <= 35; + when "01111111" => + dest <= 67; + src <= 85; + when "10000000" => + dest <= 85; + src <= 80; + when "10000001" => + dest <= 56; + src <= 85; + when "10000010" => + dest <= 85; + src <= 98; + when "10000011" => + dest <= 16; + src <= 92; + when "10000100" => + dest <= 54; + src <= 85; + when "10000101" => + dest <= 7; + src <= 3; + when "10000110" => + dest <= 85; + src <= 85; + when "10000111" => + dest <= 63; + src <= 14; + when "10001000" => + dest <= 98; + src <= 85; + when "10001001" => + dest <= 45; + src <= 54; + when "10001010" => + dest <= 85; + src <= 16; + when "10001011" => + dest <= 89; + src <= 63; + when "10001100" => + dest <= 85; + src <= 85; + when "10001101" => + dest <= 85; + src <= 7; + when "10001110" => + dest <= 77; + src <= 72; + when "10001111" => + dest <= 66; + src <= 25; + when "10010000" => + dest <= 85; + src <= 85; + when "10010001" => + dest <= 64; + src <= 81; + when "10010010" => + dest <= 6; + src <= 85; + when "10010011" => + dest <= 55; + src <= 93; + when "10010100" => + dest <= 88; + src <= 4; + when "10010101" => + dest <= 85; + src <= 85; + when "10010110" => + dest <= 76; + src <= 6; + when "10010111" => + dest <= 65; + src <= 64; + when "10011000" => + dest <= 87; + src <= 15; + when "10011001" => + dest <= 85; + src <= 82; + when "10011010" => + dest <= 85; + src <= 94; + when "10011011" => + dest <= 30; + src <= 5; + when "10011100" => + dest <= 29; + src <= 83; + when others => + dest <= 85; + src <= 85; + + end case; + end process; + + end generate NI_NUM85; + + NI_NUM86 : if NI_NUM = 86 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 92; + src <= 86; + when "00000001" => + dest <= 6; + src <= 86; + when "00000010" => + dest <= 86; + src <= 86; + when "00000011" => + dest <= 76; + src <= 86; + when "00000100" => + dest <= 41; + src <= 86; + when "00000101" => + dest <= 32; + src <= 66; + when "00000110" => + dest <= 86; + src <= 96; + when "00000111" => + dest <= 22; + src <= 70; + when "00001000" => + dest <= 74; + src <= 86; + when "00001001" => + dest <= 86; + src <= 42; + when "00001010" => + dest <= 86; + src <= 31; + when "00001011" => + dest <= 96; + src <= 86; + when "00001100" => + dest <= 12; + src <= 86; + when "00001101" => + dest <= 21; + src <= 98; + when "00001110" => + dest <= 30; + src <= 76; + when "00001111" => + dest <= 16; + src <= 21; + when "00010000" => + dest <= 86; + src <= 30; + when "00010001" => + dest <= 86; + src <= 40; + when "00010010" => + dest <= 86; + src <= 86; + when "00010011" => + dest <= 72; + src <= 86; + when "00010100" => + dest <= 51; + src <= 56; + when "00010101" => + dest <= 86; + src <= 50; + when "00010110" => + dest <= 26; + src <= 86; + when "00010111" => + dest <= 75; + src <= 86; + when "00011000" => + dest <= 40; + src <= 41; + when "00011001" => + dest <= 33; + src <= 32; + when "00011010" => + dest <= 86; + src <= 90; + when "00011011" => + dest <= 23; + src <= 97; + when "00011100" => + dest <= 83; + src <= 46; + when "00011101" => + dest <= 86; + src <= 86; + when "00011110" => + dest <= 86; + src <= 11; + when "00011111" => + dest <= 13; + src <= 86; + when "00100000" => + dest <= 98; + src <= 86; + when "00100001" => + dest <= 42; + src <= 89; + when "00100010" => + dest <= 39; + src <= 22; + when "00100011" => + dest <= 11; + src <= 39; + when "00100100" => + dest <= 86; + src <= 49; + when "00100101" => + dest <= 97; + src <= 74; + when "00100110" => + dest <= 86; + src <= 86; + when "00100111" => + dest <= 2; + src <= 59; + when "00101000" => + dest <= 86; + src <= 86; + when "00101001" => + dest <= 86; + src <= 75; + when "00101010" => + dest <= 61; + src <= 86; + when "00101011" => + dest <= 27; + src <= 20; + when "00101100" => + dest <= 62; + src <= 33; + when "00101101" => + dest <= 85; + src <= 51; + when "00101110" => + dest <= 50; + src <= 86; + when "00101111" => + dest <= 86; + src <= 60; + when "00110000" => + dest <= 86; + src <= 87; + when "00110001" => + dest <= 49; + src <= 86; + when "00110010" => + dest <= 43; + src <= 45; + when "00110011" => + dest <= 86; + src <= 1; + when "00110100" => + dest <= 24; + src <= 0; + when "00110101" => + dest <= 84; + src <= 86; + when "00110110" => + dest <= 86; + src <= 86; + when "00110111" => + dest <= 86; + src <= 12; + when "00111000" => + dest <= 29; + src <= 86; + when "00111001" => + dest <= 52; + src <= 88; + when "00111010" => + dest <= 95; + src <= 23; + when "00111011" => + dest <= 38; + src <= 29; + when "00111100" => + dest <= 86; + src <= 48; + when "00111101" => + dest <= 10; + src <= 86; + when "00111110" => + dest <= 86; + src <= 77; + when "00111111" => + dest <= 1; + src <= 86; + when "01000000" => + dest <= 86; + src <= 86; + when "01000001" => + dest <= 82; + src <= 43; + when "01000010" => + dest <= 34; + src <= 10; + when "01000011" => + dest <= 86; + src <= 86; + when "01000100" => + dest <= 94; + src <= 34; + when "01000101" => + dest <= 86; + src <= 86; + when "01000110" => + dest <= 28; + src <= 52; + when "01000111" => + dest <= 71; + src <= 80; + when "01001000" => + dest <= 53; + src <= 61; + when "01001001" => + dest <= 86; + src <= 78; + when "01001010" => + dest <= 86; + src <= 86; + when "01001011" => + dest <= 86; + src <= 38; + when "01001100" => + dest <= 60; + src <= 86; + when "01001101" => + dest <= 37; + src <= 86; + when "01001110" => + dest <= 86; + src <= 44; + when "01001111" => + dest <= 3; + src <= 91; + when "01010000" => + dest <= 86; + src <= 19; + when "01010001" => + dest <= 14; + src <= 86; + when "01010010" => + dest <= 19; + src <= 86; + when "01010011" => + dest <= 59; + src <= 86; + when "01010100" => + dest <= 86; + src <= 2; + when "01010101" => + dest <= 86; + src <= 35; + when "01010110" => + dest <= 48; + src <= 69; + when "01010111" => + dest <= 86; + src <= 86; + when "01011000" => + dest <= 25; + src <= 58; + when "01011001" => + dest <= 87; + src <= 86; + when "01011010" => + dest <= 35; + src <= 53; + when "01011011" => + dest <= 86; + src <= 13; + when "01011100" => + dest <= 0; + src <= 85; + when "01011101" => + dest <= 15; + src <= 86; + when "01011110" => + dest <= 86; + src <= 24; + when "01011111" => + dest <= 44; + src <= 47; + when "01100000" => + dest <= 91; + src <= 86; + when "01100001" => + dest <= 86; + src <= 86; + when "01100010" => + dest <= 86; + src <= 37; + when "01100011" => + dest <= 4; + src <= 57; + when "01100100" => + dest <= 70; + src <= 62; + when "01100101" => + dest <= 63; + src <= 86; + when "01100110" => + dest <= 86; + src <= 86; + when "01100111" => + dest <= 69; + src <= 28; + when "01101000" => + dest <= 5; + src <= 71; + when "01101001" => + dest <= 58; + src <= 68; + when "01101010" => + dest <= 86; + src <= 86; + when "01101011" => + dest <= 93; + src <= 92; + when "01101100" => + dest <= 47; + src <= 9; + when "01101101" => + dest <= 86; + src <= 67; + when "01101110" => + dest <= 18; + src <= 3; + when "01101111" => + dest <= 86; + src <= 86; + when "01110000" => + dest <= 45; + src <= 14; + when "01110001" => + dest <= 9; + src <= 79; + when "01110010" => + dest <= 86; + src <= 86; + when "01110011" => + dest <= 86; + src <= 25; + when "01110100" => + dest <= 54; + src <= 86; + when "01110101" => + dest <= 90; + src <= 54; + when "01110110" => + dest <= 86; + src <= 86; + when "01110111" => + dest <= 36; + src <= 27; + when "01111000" => + dest <= 86; + src <= 63; + when "01111001" => + dest <= 81; + src <= 86; + when "01111010" => + dest <= 86; + src <= 86; + when "01111011" => + dest <= 86; + src <= 18; + when "01111100" => + dest <= 73; + src <= 72; + when "01111101" => + dest <= 79; + src <= 86; + when "01111110" => + dest <= 86; + src <= 36; + when "01111111" => + dest <= 68; + src <= 86; + when "10000000" => + dest <= 86; + src <= 81; + when "10000001" => + dest <= 57; + src <= 86; + when "10000010" => + dest <= 86; + src <= 99; + when "10000011" => + dest <= 17; + src <= 93; + when "10000100" => + dest <= 55; + src <= 86; + when "10000101" => + dest <= 8; + src <= 4; + when "10000110" => + dest <= 86; + src <= 86; + when "10000111" => + dest <= 64; + src <= 15; + when "10001000" => + dest <= 99; + src <= 86; + when "10001001" => + dest <= 46; + src <= 55; + when "10001010" => + dest <= 86; + src <= 17; + when "10001011" => + dest <= 80; + src <= 64; + when "10001100" => + dest <= 86; + src <= 86; + when "10001101" => + dest <= 86; + src <= 8; + when "10001110" => + dest <= 78; + src <= 73; + when "10001111" => + dest <= 67; + src <= 26; + when "10010000" => + dest <= 86; + src <= 86; + when "10010001" => + dest <= 65; + src <= 82; + when "10010010" => + dest <= 7; + src <= 86; + when "10010011" => + dest <= 56; + src <= 94; + when "10010100" => + dest <= 89; + src <= 5; + when "10010101" => + dest <= 86; + src <= 86; + when "10010110" => + dest <= 77; + src <= 7; + when "10010111" => + dest <= 66; + src <= 65; + when "10011000" => + dest <= 88; + src <= 16; + when "10011001" => + dest <= 86; + src <= 83; + when "10011010" => + dest <= 86; + src <= 95; + when "10011011" => + dest <= 31; + src <= 6; + when "10011100" => + dest <= 20; + src <= 84; + when others => + dest <= 86; + src <= 86; + + end case; + end process; + + end generate NI_NUM86; + + NI_NUM87 : if NI_NUM = 87 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 93; + src <= 87; + when "00000001" => + dest <= 7; + src <= 87; + when "00000010" => + dest <= 87; + src <= 87; + when "00000011" => + dest <= 77; + src <= 87; + when "00000100" => + dest <= 42; + src <= 87; + when "00000101" => + dest <= 33; + src <= 67; + when "00000110" => + dest <= 87; + src <= 97; + when "00000111" => + dest <= 23; + src <= 71; + when "00001000" => + dest <= 75; + src <= 87; + when "00001001" => + dest <= 87; + src <= 43; + when "00001010" => + dest <= 87; + src <= 32; + when "00001011" => + dest <= 97; + src <= 87; + when "00001100" => + dest <= 13; + src <= 87; + when "00001101" => + dest <= 22; + src <= 99; + when "00001110" => + dest <= 31; + src <= 77; + when "00001111" => + dest <= 17; + src <= 22; + when "00010000" => + dest <= 87; + src <= 31; + when "00010001" => + dest <= 87; + src <= 41; + when "00010010" => + dest <= 87; + src <= 87; + when "00010011" => + dest <= 73; + src <= 87; + when "00010100" => + dest <= 52; + src <= 57; + when "00010101" => + dest <= 87; + src <= 51; + when "00010110" => + dest <= 27; + src <= 87; + when "00010111" => + dest <= 76; + src <= 87; + when "00011000" => + dest <= 41; + src <= 42; + when "00011001" => + dest <= 34; + src <= 33; + when "00011010" => + dest <= 87; + src <= 91; + when "00011011" => + dest <= 24; + src <= 98; + when "00011100" => + dest <= 84; + src <= 47; + when "00011101" => + dest <= 87; + src <= 87; + when "00011110" => + dest <= 87; + src <= 12; + when "00011111" => + dest <= 14; + src <= 87; + when "00100000" => + dest <= 99; + src <= 87; + when "00100001" => + dest <= 43; + src <= 80; + when "00100010" => + dest <= 30; + src <= 23; + when "00100011" => + dest <= 12; + src <= 30; + when "00100100" => + dest <= 87; + src <= 40; + when "00100101" => + dest <= 98; + src <= 75; + when "00100110" => + dest <= 87; + src <= 87; + when "00100111" => + dest <= 3; + src <= 50; + when "00101000" => + dest <= 87; + src <= 87; + when "00101001" => + dest <= 87; + src <= 76; + when "00101010" => + dest <= 62; + src <= 87; + when "00101011" => + dest <= 28; + src <= 21; + when "00101100" => + dest <= 63; + src <= 34; + when "00101101" => + dest <= 86; + src <= 52; + when "00101110" => + dest <= 51; + src <= 87; + when "00101111" => + dest <= 87; + src <= 61; + when "00110000" => + dest <= 87; + src <= 88; + when "00110001" => + dest <= 40; + src <= 87; + when "00110010" => + dest <= 44; + src <= 46; + when "00110011" => + dest <= 87; + src <= 2; + when "00110100" => + dest <= 25; + src <= 1; + when "00110101" => + dest <= 85; + src <= 87; + when "00110110" => + dest <= 87; + src <= 87; + when "00110111" => + dest <= 87; + src <= 13; + when "00111000" => + dest <= 20; + src <= 87; + when "00111001" => + dest <= 53; + src <= 89; + when "00111010" => + dest <= 96; + src <= 24; + when "00111011" => + dest <= 39; + src <= 20; + when "00111100" => + dest <= 87; + src <= 49; + when "00111101" => + dest <= 11; + src <= 87; + when "00111110" => + dest <= 87; + src <= 78; + when "00111111" => + dest <= 2; + src <= 87; + when "01000000" => + dest <= 87; + src <= 87; + when "01000001" => + dest <= 83; + src <= 44; + when "01000010" => + dest <= 35; + src <= 11; + when "01000011" => + dest <= 87; + src <= 87; + when "01000100" => + dest <= 95; + src <= 35; + when "01000101" => + dest <= 87; + src <= 87; + when "01000110" => + dest <= 29; + src <= 53; + when "01000111" => + dest <= 72; + src <= 81; + when "01001000" => + dest <= 54; + src <= 62; + when "01001001" => + dest <= 87; + src <= 79; + when "01001010" => + dest <= 87; + src <= 87; + when "01001011" => + dest <= 87; + src <= 39; + when "01001100" => + dest <= 61; + src <= 87; + when "01001101" => + dest <= 38; + src <= 87; + when "01001110" => + dest <= 87; + src <= 45; + when "01001111" => + dest <= 4; + src <= 92; + when "01010000" => + dest <= 87; + src <= 10; + when "01010001" => + dest <= 15; + src <= 87; + when "01010010" => + dest <= 10; + src <= 87; + when "01010011" => + dest <= 50; + src <= 87; + when "01010100" => + dest <= 87; + src <= 3; + when "01010101" => + dest <= 87; + src <= 36; + when "01010110" => + dest <= 49; + src <= 60; + when "01010111" => + dest <= 87; + src <= 87; + when "01011000" => + dest <= 26; + src <= 59; + when "01011001" => + dest <= 88; + src <= 87; + when "01011010" => + dest <= 36; + src <= 54; + when "01011011" => + dest <= 87; + src <= 14; + when "01011100" => + dest <= 1; + src <= 86; + when "01011101" => + dest <= 16; + src <= 87; + when "01011110" => + dest <= 87; + src <= 25; + when "01011111" => + dest <= 45; + src <= 48; + when "01100000" => + dest <= 92; + src <= 87; + when "01100001" => + dest <= 87; + src <= 87; + when "01100010" => + dest <= 87; + src <= 38; + when "01100011" => + dest <= 5; + src <= 58; + when "01100100" => + dest <= 71; + src <= 63; + when "01100101" => + dest <= 64; + src <= 87; + when "01100110" => + dest <= 87; + src <= 87; + when "01100111" => + dest <= 60; + src <= 29; + when "01101000" => + dest <= 6; + src <= 72; + when "01101001" => + dest <= 59; + src <= 69; + when "01101010" => + dest <= 87; + src <= 87; + when "01101011" => + dest <= 94; + src <= 93; + when "01101100" => + dest <= 48; + src <= 0; + when "01101101" => + dest <= 87; + src <= 68; + when "01101110" => + dest <= 19; + src <= 4; + when "01101111" => + dest <= 87; + src <= 87; + when "01110000" => + dest <= 46; + src <= 15; + when "01110001" => + dest <= 0; + src <= 70; + when "01110010" => + dest <= 87; + src <= 87; + when "01110011" => + dest <= 87; + src <= 26; + when "01110100" => + dest <= 55; + src <= 87; + when "01110101" => + dest <= 91; + src <= 55; + when "01110110" => + dest <= 87; + src <= 87; + when "01110111" => + dest <= 37; + src <= 28; + when "01111000" => + dest <= 87; + src <= 64; + when "01111001" => + dest <= 82; + src <= 87; + when "01111010" => + dest <= 87; + src <= 87; + when "01111011" => + dest <= 87; + src <= 19; + when "01111100" => + dest <= 74; + src <= 73; + when "01111101" => + dest <= 70; + src <= 87; + when "01111110" => + dest <= 87; + src <= 37; + when "01111111" => + dest <= 69; + src <= 87; + when "10000000" => + dest <= 87; + src <= 82; + when "10000001" => + dest <= 58; + src <= 87; + when "10000010" => + dest <= 87; + src <= 90; + when "10000011" => + dest <= 18; + src <= 94; + when "10000100" => + dest <= 56; + src <= 87; + when "10000101" => + dest <= 9; + src <= 5; + when "10000110" => + dest <= 87; + src <= 87; + when "10000111" => + dest <= 65; + src <= 16; + when "10001000" => + dest <= 90; + src <= 87; + when "10001001" => + dest <= 47; + src <= 56; + when "10001010" => + dest <= 87; + src <= 18; + when "10001011" => + dest <= 81; + src <= 65; + when "10001100" => + dest <= 87; + src <= 87; + when "10001101" => + dest <= 87; + src <= 9; + when "10001110" => + dest <= 79; + src <= 74; + when "10001111" => + dest <= 68; + src <= 27; + when "10010000" => + dest <= 87; + src <= 87; + when "10010001" => + dest <= 66; + src <= 83; + when "10010010" => + dest <= 8; + src <= 87; + when "10010011" => + dest <= 57; + src <= 95; + when "10010100" => + dest <= 80; + src <= 6; + when "10010101" => + dest <= 87; + src <= 87; + when "10010110" => + dest <= 78; + src <= 8; + when "10010111" => + dest <= 67; + src <= 66; + when "10011000" => + dest <= 89; + src <= 17; + when "10011001" => + dest <= 87; + src <= 84; + when "10011010" => + dest <= 87; + src <= 96; + when "10011011" => + dest <= 32; + src <= 7; + when "10011100" => + dest <= 21; + src <= 85; + when others => + dest <= 87; + src <= 87; + + end case; + end process; + + end generate NI_NUM87; + + NI_NUM88 : if NI_NUM = 88 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 94; + src <= 88; + when "00000001" => + dest <= 8; + src <= 88; + when "00000010" => + dest <= 88; + src <= 88; + when "00000011" => + dest <= 78; + src <= 88; + when "00000100" => + dest <= 43; + src <= 88; + when "00000101" => + dest <= 34; + src <= 68; + when "00000110" => + dest <= 88; + src <= 98; + when "00000111" => + dest <= 24; + src <= 72; + when "00001000" => + dest <= 76; + src <= 88; + when "00001001" => + dest <= 88; + src <= 44; + when "00001010" => + dest <= 88; + src <= 33; + when "00001011" => + dest <= 98; + src <= 88; + when "00001100" => + dest <= 14; + src <= 88; + when "00001101" => + dest <= 23; + src <= 90; + when "00001110" => + dest <= 32; + src <= 78; + when "00001111" => + dest <= 18; + src <= 23; + when "00010000" => + dest <= 88; + src <= 32; + when "00010001" => + dest <= 88; + src <= 42; + when "00010010" => + dest <= 88; + src <= 88; + when "00010011" => + dest <= 74; + src <= 88; + when "00010100" => + dest <= 53; + src <= 58; + when "00010101" => + dest <= 88; + src <= 52; + when "00010110" => + dest <= 28; + src <= 88; + when "00010111" => + dest <= 77; + src <= 88; + when "00011000" => + dest <= 42; + src <= 43; + when "00011001" => + dest <= 35; + src <= 34; + when "00011010" => + dest <= 88; + src <= 92; + when "00011011" => + dest <= 25; + src <= 99; + when "00011100" => + dest <= 85; + src <= 48; + when "00011101" => + dest <= 88; + src <= 88; + when "00011110" => + dest <= 88; + src <= 13; + when "00011111" => + dest <= 15; + src <= 88; + when "00100000" => + dest <= 90; + src <= 88; + when "00100001" => + dest <= 44; + src <= 81; + when "00100010" => + dest <= 31; + src <= 24; + when "00100011" => + dest <= 13; + src <= 31; + when "00100100" => + dest <= 88; + src <= 41; + when "00100101" => + dest <= 99; + src <= 76; + when "00100110" => + dest <= 88; + src <= 88; + when "00100111" => + dest <= 4; + src <= 51; + when "00101000" => + dest <= 88; + src <= 88; + when "00101001" => + dest <= 88; + src <= 77; + when "00101010" => + dest <= 63; + src <= 88; + when "00101011" => + dest <= 29; + src <= 22; + when "00101100" => + dest <= 64; + src <= 35; + when "00101101" => + dest <= 87; + src <= 53; + when "00101110" => + dest <= 52; + src <= 88; + when "00101111" => + dest <= 88; + src <= 62; + when "00110000" => + dest <= 88; + src <= 89; + when "00110001" => + dest <= 41; + src <= 88; + when "00110010" => + dest <= 45; + src <= 47; + when "00110011" => + dest <= 88; + src <= 3; + when "00110100" => + dest <= 26; + src <= 2; + when "00110101" => + dest <= 86; + src <= 88; + when "00110110" => + dest <= 88; + src <= 88; + when "00110111" => + dest <= 88; + src <= 14; + when "00111000" => + dest <= 21; + src <= 88; + when "00111001" => + dest <= 54; + src <= 80; + when "00111010" => + dest <= 97; + src <= 25; + when "00111011" => + dest <= 30; + src <= 21; + when "00111100" => + dest <= 88; + src <= 40; + when "00111101" => + dest <= 12; + src <= 88; + when "00111110" => + dest <= 88; + src <= 79; + when "00111111" => + dest <= 3; + src <= 88; + when "01000000" => + dest <= 88; + src <= 88; + when "01000001" => + dest <= 84; + src <= 45; + when "01000010" => + dest <= 36; + src <= 12; + when "01000011" => + dest <= 88; + src <= 88; + when "01000100" => + dest <= 96; + src <= 36; + when "01000101" => + dest <= 88; + src <= 88; + when "01000110" => + dest <= 20; + src <= 54; + when "01000111" => + dest <= 73; + src <= 82; + when "01001000" => + dest <= 55; + src <= 63; + when "01001001" => + dest <= 88; + src <= 70; + when "01001010" => + dest <= 88; + src <= 88; + when "01001011" => + dest <= 88; + src <= 30; + when "01001100" => + dest <= 62; + src <= 88; + when "01001101" => + dest <= 39; + src <= 88; + when "01001110" => + dest <= 88; + src <= 46; + when "01001111" => + dest <= 5; + src <= 93; + when "01010000" => + dest <= 88; + src <= 11; + when "01010001" => + dest <= 16; + src <= 88; + when "01010010" => + dest <= 11; + src <= 88; + when "01010011" => + dest <= 51; + src <= 88; + when "01010100" => + dest <= 88; + src <= 4; + when "01010101" => + dest <= 88; + src <= 37; + when "01010110" => + dest <= 40; + src <= 61; + when "01010111" => + dest <= 88; + src <= 88; + when "01011000" => + dest <= 27; + src <= 50; + when "01011001" => + dest <= 89; + src <= 88; + when "01011010" => + dest <= 37; + src <= 55; + when "01011011" => + dest <= 88; + src <= 15; + when "01011100" => + dest <= 2; + src <= 87; + when "01011101" => + dest <= 17; + src <= 88; + when "01011110" => + dest <= 88; + src <= 26; + when "01011111" => + dest <= 46; + src <= 49; + when "01100000" => + dest <= 93; + src <= 88; + when "01100001" => + dest <= 88; + src <= 88; + when "01100010" => + dest <= 88; + src <= 39; + when "01100011" => + dest <= 6; + src <= 59; + when "01100100" => + dest <= 72; + src <= 64; + when "01100101" => + dest <= 65; + src <= 88; + when "01100110" => + dest <= 88; + src <= 88; + when "01100111" => + dest <= 61; + src <= 20; + when "01101000" => + dest <= 7; + src <= 73; + when "01101001" => + dest <= 50; + src <= 60; + when "01101010" => + dest <= 88; + src <= 88; + when "01101011" => + dest <= 95; + src <= 94; + when "01101100" => + dest <= 49; + src <= 1; + when "01101101" => + dest <= 88; + src <= 69; + when "01101110" => + dest <= 10; + src <= 5; + when "01101111" => + dest <= 88; + src <= 88; + when "01110000" => + dest <= 47; + src <= 16; + when "01110001" => + dest <= 1; + src <= 71; + when "01110010" => + dest <= 88; + src <= 88; + when "01110011" => + dest <= 88; + src <= 27; + when "01110100" => + dest <= 56; + src <= 88; + when "01110101" => + dest <= 92; + src <= 56; + when "01110110" => + dest <= 88; + src <= 88; + when "01110111" => + dest <= 38; + src <= 29; + when "01111000" => + dest <= 88; + src <= 65; + when "01111001" => + dest <= 83; + src <= 88; + when "01111010" => + dest <= 88; + src <= 88; + when "01111011" => + dest <= 88; + src <= 10; + when "01111100" => + dest <= 75; + src <= 74; + when "01111101" => + dest <= 71; + src <= 88; + when "01111110" => + dest <= 88; + src <= 38; + when "01111111" => + dest <= 60; + src <= 88; + when "10000000" => + dest <= 88; + src <= 83; + when "10000001" => + dest <= 59; + src <= 88; + when "10000010" => + dest <= 88; + src <= 91; + when "10000011" => + dest <= 19; + src <= 95; + when "10000100" => + dest <= 57; + src <= 88; + when "10000101" => + dest <= 0; + src <= 6; + when "10000110" => + dest <= 88; + src <= 88; + when "10000111" => + dest <= 66; + src <= 17; + when "10001000" => + dest <= 91; + src <= 88; + when "10001001" => + dest <= 48; + src <= 57; + when "10001010" => + dest <= 88; + src <= 19; + when "10001011" => + dest <= 82; + src <= 66; + when "10001100" => + dest <= 88; + src <= 88; + when "10001101" => + dest <= 88; + src <= 0; + when "10001110" => + dest <= 70; + src <= 75; + when "10001111" => + dest <= 69; + src <= 28; + when "10010000" => + dest <= 88; + src <= 88; + when "10010001" => + dest <= 67; + src <= 84; + when "10010010" => + dest <= 9; + src <= 88; + when "10010011" => + dest <= 58; + src <= 96; + when "10010100" => + dest <= 81; + src <= 7; + when "10010101" => + dest <= 88; + src <= 88; + when "10010110" => + dest <= 79; + src <= 9; + when "10010111" => + dest <= 68; + src <= 67; + when "10011000" => + dest <= 80; + src <= 18; + when "10011001" => + dest <= 88; + src <= 85; + when "10011010" => + dest <= 88; + src <= 97; + when "10011011" => + dest <= 33; + src <= 8; + when "10011100" => + dest <= 22; + src <= 86; + when others => + dest <= 88; + src <= 88; + + end case; + end process; + + end generate NI_NUM88; + + NI_NUM89 : if NI_NUM = 89 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 95; + src <= 89; + when "00000001" => + dest <= 9; + src <= 89; + when "00000010" => + dest <= 89; + src <= 89; + when "00000011" => + dest <= 79; + src <= 89; + when "00000100" => + dest <= 44; + src <= 89; + when "00000101" => + dest <= 35; + src <= 69; + when "00000110" => + dest <= 89; + src <= 99; + when "00000111" => + dest <= 25; + src <= 73; + when "00001000" => + dest <= 77; + src <= 89; + when "00001001" => + dest <= 89; + src <= 45; + when "00001010" => + dest <= 89; + src <= 34; + when "00001011" => + dest <= 99; + src <= 89; + when "00001100" => + dest <= 15; + src <= 89; + when "00001101" => + dest <= 24; + src <= 91; + when "00001110" => + dest <= 33; + src <= 79; + when "00001111" => + dest <= 19; + src <= 24; + when "00010000" => + dest <= 89; + src <= 33; + when "00010001" => + dest <= 89; + src <= 43; + when "00010010" => + dest <= 89; + src <= 89; + when "00010011" => + dest <= 75; + src <= 89; + when "00010100" => + dest <= 54; + src <= 59; + when "00010101" => + dest <= 89; + src <= 53; + when "00010110" => + dest <= 29; + src <= 89; + when "00010111" => + dest <= 78; + src <= 89; + when "00011000" => + dest <= 43; + src <= 44; + when "00011001" => + dest <= 36; + src <= 35; + when "00011010" => + dest <= 89; + src <= 93; + when "00011011" => + dest <= 26; + src <= 90; + when "00011100" => + dest <= 86; + src <= 49; + when "00011101" => + dest <= 89; + src <= 89; + when "00011110" => + dest <= 89; + src <= 14; + when "00011111" => + dest <= 16; + src <= 89; + when "00100000" => + dest <= 91; + src <= 89; + when "00100001" => + dest <= 45; + src <= 82; + when "00100010" => + dest <= 32; + src <= 25; + when "00100011" => + dest <= 14; + src <= 32; + when "00100100" => + dest <= 89; + src <= 42; + when "00100101" => + dest <= 90; + src <= 77; + when "00100110" => + dest <= 89; + src <= 89; + when "00100111" => + dest <= 5; + src <= 52; + when "00101000" => + dest <= 89; + src <= 89; + when "00101001" => + dest <= 89; + src <= 78; + when "00101010" => + dest <= 64; + src <= 89; + when "00101011" => + dest <= 20; + src <= 23; + when "00101100" => + dest <= 65; + src <= 36; + when "00101101" => + dest <= 88; + src <= 54; + when "00101110" => + dest <= 53; + src <= 89; + when "00101111" => + dest <= 89; + src <= 63; + when "00110000" => + dest <= 89; + src <= 80; + when "00110001" => + dest <= 42; + src <= 89; + when "00110010" => + dest <= 46; + src <= 48; + when "00110011" => + dest <= 89; + src <= 4; + when "00110100" => + dest <= 27; + src <= 3; + when "00110101" => + dest <= 87; + src <= 89; + when "00110110" => + dest <= 89; + src <= 89; + when "00110111" => + dest <= 89; + src <= 15; + when "00111000" => + dest <= 22; + src <= 89; + when "00111001" => + dest <= 55; + src <= 81; + when "00111010" => + dest <= 98; + src <= 26; + when "00111011" => + dest <= 31; + src <= 22; + when "00111100" => + dest <= 89; + src <= 41; + when "00111101" => + dest <= 13; + src <= 89; + when "00111110" => + dest <= 89; + src <= 70; + when "00111111" => + dest <= 4; + src <= 89; + when "01000000" => + dest <= 89; + src <= 89; + when "01000001" => + dest <= 85; + src <= 46; + when "01000010" => + dest <= 37; + src <= 13; + when "01000011" => + dest <= 89; + src <= 89; + when "01000100" => + dest <= 97; + src <= 37; + when "01000101" => + dest <= 89; + src <= 89; + when "01000110" => + dest <= 21; + src <= 55; + when "01000111" => + dest <= 74; + src <= 83; + when "01001000" => + dest <= 56; + src <= 64; + when "01001001" => + dest <= 89; + src <= 71; + when "01001010" => + dest <= 89; + src <= 89; + when "01001011" => + dest <= 89; + src <= 31; + when "01001100" => + dest <= 63; + src <= 89; + when "01001101" => + dest <= 30; + src <= 89; + when "01001110" => + dest <= 89; + src <= 47; + when "01001111" => + dest <= 6; + src <= 94; + when "01010000" => + dest <= 89; + src <= 12; + when "01010001" => + dest <= 17; + src <= 89; + when "01010010" => + dest <= 12; + src <= 89; + when "01010011" => + dest <= 52; + src <= 89; + when "01010100" => + dest <= 89; + src <= 5; + when "01010101" => + dest <= 89; + src <= 38; + when "01010110" => + dest <= 41; + src <= 62; + when "01010111" => + dest <= 89; + src <= 89; + when "01011000" => + dest <= 28; + src <= 51; + when "01011001" => + dest <= 80; + src <= 89; + when "01011010" => + dest <= 38; + src <= 56; + when "01011011" => + dest <= 89; + src <= 16; + when "01011100" => + dest <= 3; + src <= 88; + when "01011101" => + dest <= 18; + src <= 89; + when "01011110" => + dest <= 89; + src <= 27; + when "01011111" => + dest <= 47; + src <= 40; + when "01100000" => + dest <= 94; + src <= 89; + when "01100001" => + dest <= 89; + src <= 89; + when "01100010" => + dest <= 89; + src <= 30; + when "01100011" => + dest <= 7; + src <= 50; + when "01100100" => + dest <= 73; + src <= 65; + when "01100101" => + dest <= 66; + src <= 89; + when "01100110" => + dest <= 89; + src <= 89; + when "01100111" => + dest <= 62; + src <= 21; + when "01101000" => + dest <= 8; + src <= 74; + when "01101001" => + dest <= 51; + src <= 61; + when "01101010" => + dest <= 89; + src <= 89; + when "01101011" => + dest <= 96; + src <= 95; + when "01101100" => + dest <= 40; + src <= 2; + when "01101101" => + dest <= 89; + src <= 60; + when "01101110" => + dest <= 11; + src <= 6; + when "01101111" => + dest <= 89; + src <= 89; + when "01110000" => + dest <= 48; + src <= 17; + when "01110001" => + dest <= 2; + src <= 72; + when "01110010" => + dest <= 89; + src <= 89; + when "01110011" => + dest <= 89; + src <= 28; + when "01110100" => + dest <= 57; + src <= 89; + when "01110101" => + dest <= 93; + src <= 57; + when "01110110" => + dest <= 89; + src <= 89; + when "01110111" => + dest <= 39; + src <= 20; + when "01111000" => + dest <= 89; + src <= 66; + when "01111001" => + dest <= 84; + src <= 89; + when "01111010" => + dest <= 89; + src <= 89; + when "01111011" => + dest <= 89; + src <= 11; + when "01111100" => + dest <= 76; + src <= 75; + when "01111101" => + dest <= 72; + src <= 89; + when "01111110" => + dest <= 89; + src <= 39; + when "01111111" => + dest <= 61; + src <= 89; + when "10000000" => + dest <= 89; + src <= 84; + when "10000001" => + dest <= 50; + src <= 89; + when "10000010" => + dest <= 89; + src <= 92; + when "10000011" => + dest <= 10; + src <= 96; + when "10000100" => + dest <= 58; + src <= 89; + when "10000101" => + dest <= 1; + src <= 7; + when "10000110" => + dest <= 89; + src <= 89; + when "10000111" => + dest <= 67; + src <= 18; + when "10001000" => + dest <= 92; + src <= 89; + when "10001001" => + dest <= 49; + src <= 58; + when "10001010" => + dest <= 89; + src <= 10; + when "10001011" => + dest <= 83; + src <= 67; + when "10001100" => + dest <= 89; + src <= 89; + when "10001101" => + dest <= 89; + src <= 1; + when "10001110" => + dest <= 71; + src <= 76; + when "10001111" => + dest <= 60; + src <= 29; + when "10010000" => + dest <= 89; + src <= 89; + when "10010001" => + dest <= 68; + src <= 85; + when "10010010" => + dest <= 0; + src <= 89; + when "10010011" => + dest <= 59; + src <= 97; + when "10010100" => + dest <= 82; + src <= 8; + when "10010101" => + dest <= 89; + src <= 89; + when "10010110" => + dest <= 70; + src <= 0; + when "10010111" => + dest <= 69; + src <= 68; + when "10011000" => + dest <= 81; + src <= 19; + when "10011001" => + dest <= 89; + src <= 86; + when "10011010" => + dest <= 89; + src <= 98; + when "10011011" => + dest <= 34; + src <= 9; + when "10011100" => + dest <= 23; + src <= 87; + when others => + dest <= 89; + src <= 89; + + end case; + end process; + + end generate NI_NUM89; + + NI_NUM90 : if NI_NUM = 90 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 6; + src <= 90; + when "00000001" => + dest <= 10; + src <= 90; + when "00000010" => + dest <= 90; + src <= 90; + when "00000011" => + dest <= 80; + src <= 90; + when "00000100" => + dest <= 55; + src <= 90; + when "00000101" => + dest <= 46; + src <= 70; + when "00000110" => + dest <= 90; + src <= 0; + when "00000111" => + dest <= 36; + src <= 84; + when "00001000" => + dest <= 88; + src <= 90; + when "00001001" => + dest <= 90; + src <= 56; + when "00001010" => + dest <= 90; + src <= 45; + when "00001011" => + dest <= 0; + src <= 90; + when "00001100" => + dest <= 26; + src <= 90; + when "00001101" => + dest <= 35; + src <= 2; + when "00001110" => + dest <= 44; + src <= 80; + when "00001111" => + dest <= 20; + src <= 35; + when "00010000" => + dest <= 90; + src <= 44; + when "00010001" => + dest <= 90; + src <= 54; + when "00010010" => + dest <= 90; + src <= 90; + when "00010011" => + dest <= 86; + src <= 90; + when "00010100" => + dest <= 65; + src <= 60; + when "00010101" => + dest <= 90; + src <= 64; + when "00010110" => + dest <= 30; + src <= 90; + when "00010111" => + dest <= 89; + src <= 90; + when "00011000" => + dest <= 54; + src <= 55; + when "00011001" => + dest <= 47; + src <= 46; + when "00011010" => + dest <= 90; + src <= 4; + when "00011011" => + dest <= 37; + src <= 1; + when "00011100" => + dest <= 97; + src <= 50; + when "00011101" => + dest <= 90; + src <= 90; + when "00011110" => + dest <= 90; + src <= 25; + when "00011111" => + dest <= 27; + src <= 90; + when "00100000" => + dest <= 2; + src <= 90; + when "00100001" => + dest <= 56; + src <= 93; + when "00100010" => + dest <= 43; + src <= 36; + when "00100011" => + dest <= 25; + src <= 43; + when "00100100" => + dest <= 90; + src <= 53; + when "00100101" => + dest <= 1; + src <= 88; + when "00100110" => + dest <= 90; + src <= 90; + when "00100111" => + dest <= 16; + src <= 63; + when "00101000" => + dest <= 90; + src <= 90; + when "00101001" => + dest <= 90; + src <= 89; + when "00101010" => + dest <= 75; + src <= 90; + when "00101011" => + dest <= 31; + src <= 34; + when "00101100" => + dest <= 76; + src <= 47; + when "00101101" => + dest <= 99; + src <= 65; + when "00101110" => + dest <= 64; + src <= 90; + when "00101111" => + dest <= 90; + src <= 74; + when "00110000" => + dest <= 90; + src <= 91; + when "00110001" => + dest <= 53; + src <= 90; + when "00110010" => + dest <= 57; + src <= 59; + when "00110011" => + dest <= 90; + src <= 15; + when "00110100" => + dest <= 38; + src <= 14; + when "00110101" => + dest <= 98; + src <= 90; + when "00110110" => + dest <= 90; + src <= 90; + when "00110111" => + dest <= 90; + src <= 26; + when "00111000" => + dest <= 33; + src <= 90; + when "00111001" => + dest <= 66; + src <= 92; + when "00111010" => + dest <= 9; + src <= 37; + when "00111011" => + dest <= 42; + src <= 33; + when "00111100" => + dest <= 90; + src <= 52; + when "00111101" => + dest <= 24; + src <= 90; + when "00111110" => + dest <= 90; + src <= 81; + when "00111111" => + dest <= 15; + src <= 90; + when "01000000" => + dest <= 90; + src <= 90; + when "01000001" => + dest <= 96; + src <= 57; + when "01000010" => + dest <= 48; + src <= 24; + when "01000011" => + dest <= 90; + src <= 90; + when "01000100" => + dest <= 8; + src <= 48; + when "01000101" => + dest <= 90; + src <= 90; + when "01000110" => + dest <= 32; + src <= 66; + when "01000111" => + dest <= 85; + src <= 94; + when "01001000" => + dest <= 67; + src <= 75; + when "01001001" => + dest <= 90; + src <= 82; + when "01001010" => + dest <= 90; + src <= 90; + when "01001011" => + dest <= 90; + src <= 42; + when "01001100" => + dest <= 74; + src <= 90; + when "01001101" => + dest <= 41; + src <= 90; + when "01001110" => + dest <= 90; + src <= 58; + when "01001111" => + dest <= 17; + src <= 5; + when "01010000" => + dest <= 90; + src <= 23; + when "01010001" => + dest <= 28; + src <= 90; + when "01010010" => + dest <= 23; + src <= 90; + when "01010011" => + dest <= 63; + src <= 90; + when "01010100" => + dest <= 90; + src <= 16; + when "01010101" => + dest <= 90; + src <= 49; + when "01010110" => + dest <= 52; + src <= 73; + when "01010111" => + dest <= 90; + src <= 90; + when "01011000" => + dest <= 39; + src <= 62; + when "01011001" => + dest <= 91; + src <= 90; + when "01011010" => + dest <= 49; + src <= 67; + when "01011011" => + dest <= 90; + src <= 27; + when "01011100" => + dest <= 14; + src <= 99; + when "01011101" => + dest <= 29; + src <= 90; + when "01011110" => + dest <= 90; + src <= 38; + when "01011111" => + dest <= 58; + src <= 51; + when "01100000" => + dest <= 5; + src <= 90; + when "01100001" => + dest <= 90; + src <= 90; + when "01100010" => + dest <= 90; + src <= 41; + when "01100011" => + dest <= 18; + src <= 61; + when "01100100" => + dest <= 84; + src <= 76; + when "01100101" => + dest <= 77; + src <= 90; + when "01100110" => + dest <= 90; + src <= 90; + when "01100111" => + dest <= 73; + src <= 32; + when "01101000" => + dest <= 19; + src <= 85; + when "01101001" => + dest <= 62; + src <= 72; + when "01101010" => + dest <= 90; + src <= 90; + when "01101011" => + dest <= 7; + src <= 6; + when "01101100" => + dest <= 51; + src <= 13; + when "01101101" => + dest <= 90; + src <= 71; + when "01101110" => + dest <= 22; + src <= 17; + when "01101111" => + dest <= 90; + src <= 90; + when "01110000" => + dest <= 59; + src <= 28; + when "01110001" => + dest <= 13; + src <= 83; + when "01110010" => + dest <= 90; + src <= 90; + when "01110011" => + dest <= 90; + src <= 39; + when "01110100" => + dest <= 68; + src <= 90; + when "01110101" => + dest <= 4; + src <= 68; + when "01110110" => + dest <= 90; + src <= 90; + when "01110111" => + dest <= 40; + src <= 31; + when "01111000" => + dest <= 90; + src <= 77; + when "01111001" => + dest <= 95; + src <= 90; + when "01111010" => + dest <= 90; + src <= 90; + when "01111011" => + dest <= 90; + src <= 22; + when "01111100" => + dest <= 87; + src <= 86; + when "01111101" => + dest <= 83; + src <= 90; + when "01111110" => + dest <= 90; + src <= 40; + when "01111111" => + dest <= 72; + src <= 90; + when "10000000" => + dest <= 90; + src <= 95; + when "10000001" => + dest <= 61; + src <= 90; + when "10000010" => + dest <= 90; + src <= 3; + when "10000011" => + dest <= 21; + src <= 7; + when "10000100" => + dest <= 69; + src <= 90; + when "10000101" => + dest <= 12; + src <= 18; + when "10000110" => + dest <= 90; + src <= 90; + when "10000111" => + dest <= 78; + src <= 29; + when "10001000" => + dest <= 3; + src <= 90; + when "10001001" => + dest <= 50; + src <= 69; + when "10001010" => + dest <= 90; + src <= 21; + when "10001011" => + dest <= 94; + src <= 78; + when "10001100" => + dest <= 90; + src <= 90; + when "10001101" => + dest <= 90; + src <= 12; + when "10001110" => + dest <= 82; + src <= 87; + when "10001111" => + dest <= 71; + src <= 30; + when "10010000" => + dest <= 90; + src <= 90; + when "10010001" => + dest <= 79; + src <= 96; + when "10010010" => + dest <= 11; + src <= 90; + when "10010011" => + dest <= 60; + src <= 8; + when "10010100" => + dest <= 93; + src <= 19; + when "10010101" => + dest <= 90; + src <= 90; + when "10010110" => + dest <= 81; + src <= 11; + when "10010111" => + dest <= 70; + src <= 79; + when "10011000" => + dest <= 92; + src <= 20; + when "10011001" => + dest <= 90; + src <= 97; + when "10011010" => + dest <= 90; + src <= 9; + when "10011011" => + dest <= 45; + src <= 10; + when "10011100" => + dest <= 34; + src <= 98; + when others => + dest <= 90; + src <= 90; + + end case; + end process; + + end generate NI_NUM90; + + NI_NUM91 : if NI_NUM = 91 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 7; + src <= 91; + when "00000001" => + dest <= 11; + src <= 91; + when "00000010" => + dest <= 91; + src <= 91; + when "00000011" => + dest <= 81; + src <= 91; + when "00000100" => + dest <= 56; + src <= 91; + when "00000101" => + dest <= 47; + src <= 71; + when "00000110" => + dest <= 91; + src <= 1; + when "00000111" => + dest <= 37; + src <= 85; + when "00001000" => + dest <= 89; + src <= 91; + when "00001001" => + dest <= 91; + src <= 57; + when "00001010" => + dest <= 91; + src <= 46; + when "00001011" => + dest <= 1; + src <= 91; + when "00001100" => + dest <= 27; + src <= 91; + when "00001101" => + dest <= 36; + src <= 3; + when "00001110" => + dest <= 45; + src <= 81; + when "00001111" => + dest <= 21; + src <= 36; + when "00010000" => + dest <= 91; + src <= 45; + when "00010001" => + dest <= 91; + src <= 55; + when "00010010" => + dest <= 91; + src <= 91; + when "00010011" => + dest <= 87; + src <= 91; + when "00010100" => + dest <= 66; + src <= 61; + when "00010101" => + dest <= 91; + src <= 65; + when "00010110" => + dest <= 31; + src <= 91; + when "00010111" => + dest <= 80; + src <= 91; + when "00011000" => + dest <= 55; + src <= 56; + when "00011001" => + dest <= 48; + src <= 47; + when "00011010" => + dest <= 91; + src <= 5; + when "00011011" => + dest <= 38; + src <= 2; + when "00011100" => + dest <= 98; + src <= 51; + when "00011101" => + dest <= 91; + src <= 91; + when "00011110" => + dest <= 91; + src <= 26; + when "00011111" => + dest <= 28; + src <= 91; + when "00100000" => + dest <= 3; + src <= 91; + when "00100001" => + dest <= 57; + src <= 94; + when "00100010" => + dest <= 44; + src <= 37; + when "00100011" => + dest <= 26; + src <= 44; + when "00100100" => + dest <= 91; + src <= 54; + when "00100101" => + dest <= 2; + src <= 89; + when "00100110" => + dest <= 91; + src <= 91; + when "00100111" => + dest <= 17; + src <= 64; + when "00101000" => + dest <= 91; + src <= 91; + when "00101001" => + dest <= 91; + src <= 80; + when "00101010" => + dest <= 76; + src <= 91; + when "00101011" => + dest <= 32; + src <= 35; + when "00101100" => + dest <= 77; + src <= 48; + when "00101101" => + dest <= 90; + src <= 66; + when "00101110" => + dest <= 65; + src <= 91; + when "00101111" => + dest <= 91; + src <= 75; + when "00110000" => + dest <= 91; + src <= 92; + when "00110001" => + dest <= 54; + src <= 91; + when "00110010" => + dest <= 58; + src <= 50; + when "00110011" => + dest <= 91; + src <= 16; + when "00110100" => + dest <= 39; + src <= 15; + when "00110101" => + dest <= 99; + src <= 91; + when "00110110" => + dest <= 91; + src <= 91; + when "00110111" => + dest <= 91; + src <= 27; + when "00111000" => + dest <= 34; + src <= 91; + when "00111001" => + dest <= 67; + src <= 93; + when "00111010" => + dest <= 0; + src <= 38; + when "00111011" => + dest <= 43; + src <= 34; + when "00111100" => + dest <= 91; + src <= 53; + when "00111101" => + dest <= 25; + src <= 91; + when "00111110" => + dest <= 91; + src <= 82; + when "00111111" => + dest <= 16; + src <= 91; + when "01000000" => + dest <= 91; + src <= 91; + when "01000001" => + dest <= 97; + src <= 58; + when "01000010" => + dest <= 49; + src <= 25; + when "01000011" => + dest <= 91; + src <= 91; + when "01000100" => + dest <= 9; + src <= 49; + when "01000101" => + dest <= 91; + src <= 91; + when "01000110" => + dest <= 33; + src <= 67; + when "01000111" => + dest <= 86; + src <= 95; + when "01001000" => + dest <= 68; + src <= 76; + when "01001001" => + dest <= 91; + src <= 83; + when "01001010" => + dest <= 91; + src <= 91; + when "01001011" => + dest <= 91; + src <= 43; + when "01001100" => + dest <= 75; + src <= 91; + when "01001101" => + dest <= 42; + src <= 91; + when "01001110" => + dest <= 91; + src <= 59; + when "01001111" => + dest <= 18; + src <= 6; + when "01010000" => + dest <= 91; + src <= 24; + when "01010001" => + dest <= 29; + src <= 91; + when "01010010" => + dest <= 24; + src <= 91; + when "01010011" => + dest <= 64; + src <= 91; + when "01010100" => + dest <= 91; + src <= 17; + when "01010101" => + dest <= 91; + src <= 40; + when "01010110" => + dest <= 53; + src <= 74; + when "01010111" => + dest <= 91; + src <= 91; + when "01011000" => + dest <= 30; + src <= 63; + when "01011001" => + dest <= 92; + src <= 91; + when "01011010" => + dest <= 40; + src <= 68; + when "01011011" => + dest <= 91; + src <= 28; + when "01011100" => + dest <= 15; + src <= 90; + when "01011101" => + dest <= 20; + src <= 91; + when "01011110" => + dest <= 91; + src <= 39; + when "01011111" => + dest <= 59; + src <= 52; + when "01100000" => + dest <= 6; + src <= 91; + when "01100001" => + dest <= 91; + src <= 91; + when "01100010" => + dest <= 91; + src <= 42; + when "01100011" => + dest <= 19; + src <= 62; + when "01100100" => + dest <= 85; + src <= 77; + when "01100101" => + dest <= 78; + src <= 91; + when "01100110" => + dest <= 91; + src <= 91; + when "01100111" => + dest <= 74; + src <= 33; + when "01101000" => + dest <= 10; + src <= 86; + when "01101001" => + dest <= 63; + src <= 73; + when "01101010" => + dest <= 91; + src <= 91; + when "01101011" => + dest <= 8; + src <= 7; + when "01101100" => + dest <= 52; + src <= 14; + when "01101101" => + dest <= 91; + src <= 72; + when "01101110" => + dest <= 23; + src <= 18; + when "01101111" => + dest <= 91; + src <= 91; + when "01110000" => + dest <= 50; + src <= 29; + when "01110001" => + dest <= 14; + src <= 84; + when "01110010" => + dest <= 91; + src <= 91; + when "01110011" => + dest <= 91; + src <= 30; + when "01110100" => + dest <= 69; + src <= 91; + when "01110101" => + dest <= 5; + src <= 69; + when "01110110" => + dest <= 91; + src <= 91; + when "01110111" => + dest <= 41; + src <= 32; + when "01111000" => + dest <= 91; + src <= 78; + when "01111001" => + dest <= 96; + src <= 91; + when "01111010" => + dest <= 91; + src <= 91; + when "01111011" => + dest <= 91; + src <= 23; + when "01111100" => + dest <= 88; + src <= 87; + when "01111101" => + dest <= 84; + src <= 91; + when "01111110" => + dest <= 91; + src <= 41; + when "01111111" => + dest <= 73; + src <= 91; + when "10000000" => + dest <= 91; + src <= 96; + when "10000001" => + dest <= 62; + src <= 91; + when "10000010" => + dest <= 91; + src <= 4; + when "10000011" => + dest <= 22; + src <= 8; + when "10000100" => + dest <= 60; + src <= 91; + when "10000101" => + dest <= 13; + src <= 19; + when "10000110" => + dest <= 91; + src <= 91; + when "10000111" => + dest <= 79; + src <= 20; + when "10001000" => + dest <= 4; + src <= 91; + when "10001001" => + dest <= 51; + src <= 60; + when "10001010" => + dest <= 91; + src <= 22; + when "10001011" => + dest <= 95; + src <= 79; + when "10001100" => + dest <= 91; + src <= 91; + when "10001101" => + dest <= 91; + src <= 13; + when "10001110" => + dest <= 83; + src <= 88; + when "10001111" => + dest <= 72; + src <= 31; + when "10010000" => + dest <= 91; + src <= 91; + when "10010001" => + dest <= 70; + src <= 97; + when "10010010" => + dest <= 12; + src <= 91; + when "10010011" => + dest <= 61; + src <= 9; + when "10010100" => + dest <= 94; + src <= 10; + when "10010101" => + dest <= 91; + src <= 91; + when "10010110" => + dest <= 82; + src <= 12; + when "10010111" => + dest <= 71; + src <= 70; + when "10011000" => + dest <= 93; + src <= 21; + when "10011001" => + dest <= 91; + src <= 98; + when "10011010" => + dest <= 91; + src <= 0; + when "10011011" => + dest <= 46; + src <= 11; + when "10011100" => + dest <= 35; + src <= 99; + when others => + dest <= 91; + src <= 91; + + end case; + end process; + + end generate NI_NUM91; + + NI_NUM92 : if NI_NUM = 92 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 8; + src <= 92; + when "00000001" => + dest <= 12; + src <= 92; + when "00000010" => + dest <= 92; + src <= 92; + when "00000011" => + dest <= 82; + src <= 92; + when "00000100" => + dest <= 57; + src <= 92; + when "00000101" => + dest <= 48; + src <= 72; + when "00000110" => + dest <= 92; + src <= 2; + when "00000111" => + dest <= 38; + src <= 86; + when "00001000" => + dest <= 80; + src <= 92; + when "00001001" => + dest <= 92; + src <= 58; + when "00001010" => + dest <= 92; + src <= 47; + when "00001011" => + dest <= 2; + src <= 92; + when "00001100" => + dest <= 28; + src <= 92; + when "00001101" => + dest <= 37; + src <= 4; + when "00001110" => + dest <= 46; + src <= 82; + when "00001111" => + dest <= 22; + src <= 37; + when "00010000" => + dest <= 92; + src <= 46; + when "00010001" => + dest <= 92; + src <= 56; + when "00010010" => + dest <= 92; + src <= 92; + when "00010011" => + dest <= 88; + src <= 92; + when "00010100" => + dest <= 67; + src <= 62; + when "00010101" => + dest <= 92; + src <= 66; + when "00010110" => + dest <= 32; + src <= 92; + when "00010111" => + dest <= 81; + src <= 92; + when "00011000" => + dest <= 56; + src <= 57; + when "00011001" => + dest <= 49; + src <= 48; + when "00011010" => + dest <= 92; + src <= 6; + when "00011011" => + dest <= 39; + src <= 3; + when "00011100" => + dest <= 99; + src <= 52; + when "00011101" => + dest <= 92; + src <= 92; + when "00011110" => + dest <= 92; + src <= 27; + when "00011111" => + dest <= 29; + src <= 92; + when "00100000" => + dest <= 4; + src <= 92; + when "00100001" => + dest <= 58; + src <= 95; + when "00100010" => + dest <= 45; + src <= 38; + when "00100011" => + dest <= 27; + src <= 45; + when "00100100" => + dest <= 92; + src <= 55; + when "00100101" => + dest <= 3; + src <= 80; + when "00100110" => + dest <= 92; + src <= 92; + when "00100111" => + dest <= 18; + src <= 65; + when "00101000" => + dest <= 92; + src <= 92; + when "00101001" => + dest <= 92; + src <= 81; + when "00101010" => + dest <= 77; + src <= 92; + when "00101011" => + dest <= 33; + src <= 36; + when "00101100" => + dest <= 78; + src <= 49; + when "00101101" => + dest <= 91; + src <= 67; + when "00101110" => + dest <= 66; + src <= 92; + when "00101111" => + dest <= 92; + src <= 76; + when "00110000" => + dest <= 92; + src <= 93; + when "00110001" => + dest <= 55; + src <= 92; + when "00110010" => + dest <= 59; + src <= 51; + when "00110011" => + dest <= 92; + src <= 17; + when "00110100" => + dest <= 30; + src <= 16; + when "00110101" => + dest <= 90; + src <= 92; + when "00110110" => + dest <= 92; + src <= 92; + when "00110111" => + dest <= 92; + src <= 28; + when "00111000" => + dest <= 35; + src <= 92; + when "00111001" => + dest <= 68; + src <= 94; + when "00111010" => + dest <= 1; + src <= 39; + when "00111011" => + dest <= 44; + src <= 35; + when "00111100" => + dest <= 92; + src <= 54; + when "00111101" => + dest <= 26; + src <= 92; + when "00111110" => + dest <= 92; + src <= 83; + when "00111111" => + dest <= 17; + src <= 92; + when "01000000" => + dest <= 92; + src <= 92; + when "01000001" => + dest <= 98; + src <= 59; + when "01000010" => + dest <= 40; + src <= 26; + when "01000011" => + dest <= 92; + src <= 92; + when "01000100" => + dest <= 0; + src <= 40; + when "01000101" => + dest <= 92; + src <= 92; + when "01000110" => + dest <= 34; + src <= 68; + when "01000111" => + dest <= 87; + src <= 96; + when "01001000" => + dest <= 69; + src <= 77; + when "01001001" => + dest <= 92; + src <= 84; + when "01001010" => + dest <= 92; + src <= 92; + when "01001011" => + dest <= 92; + src <= 44; + when "01001100" => + dest <= 76; + src <= 92; + when "01001101" => + dest <= 43; + src <= 92; + when "01001110" => + dest <= 92; + src <= 50; + when "01001111" => + dest <= 19; + src <= 7; + when "01010000" => + dest <= 92; + src <= 25; + when "01010001" => + dest <= 20; + src <= 92; + when "01010010" => + dest <= 25; + src <= 92; + when "01010011" => + dest <= 65; + src <= 92; + when "01010100" => + dest <= 92; + src <= 18; + when "01010101" => + dest <= 92; + src <= 41; + when "01010110" => + dest <= 54; + src <= 75; + when "01010111" => + dest <= 92; + src <= 92; + when "01011000" => + dest <= 31; + src <= 64; + when "01011001" => + dest <= 93; + src <= 92; + when "01011010" => + dest <= 41; + src <= 69; + when "01011011" => + dest <= 92; + src <= 29; + when "01011100" => + dest <= 16; + src <= 91; + when "01011101" => + dest <= 21; + src <= 92; + when "01011110" => + dest <= 92; + src <= 30; + when "01011111" => + dest <= 50; + src <= 53; + when "01100000" => + dest <= 7; + src <= 92; + when "01100001" => + dest <= 92; + src <= 92; + when "01100010" => + dest <= 92; + src <= 43; + when "01100011" => + dest <= 10; + src <= 63; + when "01100100" => + dest <= 86; + src <= 78; + when "01100101" => + dest <= 79; + src <= 92; + when "01100110" => + dest <= 92; + src <= 92; + when "01100111" => + dest <= 75; + src <= 34; + when "01101000" => + dest <= 11; + src <= 87; + when "01101001" => + dest <= 64; + src <= 74; + when "01101010" => + dest <= 92; + src <= 92; + when "01101011" => + dest <= 9; + src <= 8; + when "01101100" => + dest <= 53; + src <= 15; + when "01101101" => + dest <= 92; + src <= 73; + when "01101110" => + dest <= 24; + src <= 19; + when "01101111" => + dest <= 92; + src <= 92; + when "01110000" => + dest <= 51; + src <= 20; + when "01110001" => + dest <= 15; + src <= 85; + when "01110010" => + dest <= 92; + src <= 92; + when "01110011" => + dest <= 92; + src <= 31; + when "01110100" => + dest <= 60; + src <= 92; + when "01110101" => + dest <= 6; + src <= 60; + when "01110110" => + dest <= 92; + src <= 92; + when "01110111" => + dest <= 42; + src <= 33; + when "01111000" => + dest <= 92; + src <= 79; + when "01111001" => + dest <= 97; + src <= 92; + when "01111010" => + dest <= 92; + src <= 92; + when "01111011" => + dest <= 92; + src <= 24; + when "01111100" => + dest <= 89; + src <= 88; + when "01111101" => + dest <= 85; + src <= 92; + when "01111110" => + dest <= 92; + src <= 42; + when "01111111" => + dest <= 74; + src <= 92; + when "10000000" => + dest <= 92; + src <= 97; + when "10000001" => + dest <= 63; + src <= 92; + when "10000010" => + dest <= 92; + src <= 5; + when "10000011" => + dest <= 23; + src <= 9; + when "10000100" => + dest <= 61; + src <= 92; + when "10000101" => + dest <= 14; + src <= 10; + when "10000110" => + dest <= 92; + src <= 92; + when "10000111" => + dest <= 70; + src <= 21; + when "10001000" => + dest <= 5; + src <= 92; + when "10001001" => + dest <= 52; + src <= 61; + when "10001010" => + dest <= 92; + src <= 23; + when "10001011" => + dest <= 96; + src <= 70; + when "10001100" => + dest <= 92; + src <= 92; + when "10001101" => + dest <= 92; + src <= 14; + when "10001110" => + dest <= 84; + src <= 89; + when "10001111" => + dest <= 73; + src <= 32; + when "10010000" => + dest <= 92; + src <= 92; + when "10010001" => + dest <= 71; + src <= 98; + when "10010010" => + dest <= 13; + src <= 92; + when "10010011" => + dest <= 62; + src <= 0; + when "10010100" => + dest <= 95; + src <= 11; + when "10010101" => + dest <= 92; + src <= 92; + when "10010110" => + dest <= 83; + src <= 13; + when "10010111" => + dest <= 72; + src <= 71; + when "10011000" => + dest <= 94; + src <= 22; + when "10011001" => + dest <= 92; + src <= 99; + when "10011010" => + dest <= 92; + src <= 1; + when "10011011" => + dest <= 47; + src <= 12; + when "10011100" => + dest <= 36; + src <= 90; + when others => + dest <= 92; + src <= 92; + + end case; + end process; + + end generate NI_NUM92; + + NI_NUM93 : if NI_NUM = 93 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 9; + src <= 93; + when "00000001" => + dest <= 13; + src <= 93; + when "00000010" => + dest <= 93; + src <= 93; + when "00000011" => + dest <= 83; + src <= 93; + when "00000100" => + dest <= 58; + src <= 93; + when "00000101" => + dest <= 49; + src <= 73; + when "00000110" => + dest <= 93; + src <= 3; + when "00000111" => + dest <= 39; + src <= 87; + when "00001000" => + dest <= 81; + src <= 93; + when "00001001" => + dest <= 93; + src <= 59; + when "00001010" => + dest <= 93; + src <= 48; + when "00001011" => + dest <= 3; + src <= 93; + when "00001100" => + dest <= 29; + src <= 93; + when "00001101" => + dest <= 38; + src <= 5; + when "00001110" => + dest <= 47; + src <= 83; + when "00001111" => + dest <= 23; + src <= 38; + when "00010000" => + dest <= 93; + src <= 47; + when "00010001" => + dest <= 93; + src <= 57; + when "00010010" => + dest <= 93; + src <= 93; + when "00010011" => + dest <= 89; + src <= 93; + when "00010100" => + dest <= 68; + src <= 63; + when "00010101" => + dest <= 93; + src <= 67; + when "00010110" => + dest <= 33; + src <= 93; + when "00010111" => + dest <= 82; + src <= 93; + when "00011000" => + dest <= 57; + src <= 58; + when "00011001" => + dest <= 40; + src <= 49; + when "00011010" => + dest <= 93; + src <= 7; + when "00011011" => + dest <= 30; + src <= 4; + when "00011100" => + dest <= 90; + src <= 53; + when "00011101" => + dest <= 93; + src <= 93; + when "00011110" => + dest <= 93; + src <= 28; + when "00011111" => + dest <= 20; + src <= 93; + when "00100000" => + dest <= 5; + src <= 93; + when "00100001" => + dest <= 59; + src <= 96; + when "00100010" => + dest <= 46; + src <= 39; + when "00100011" => + dest <= 28; + src <= 46; + when "00100100" => + dest <= 93; + src <= 56; + when "00100101" => + dest <= 4; + src <= 81; + when "00100110" => + dest <= 93; + src <= 93; + when "00100111" => + dest <= 19; + src <= 66; + when "00101000" => + dest <= 93; + src <= 93; + when "00101001" => + dest <= 93; + src <= 82; + when "00101010" => + dest <= 78; + src <= 93; + when "00101011" => + dest <= 34; + src <= 37; + when "00101100" => + dest <= 79; + src <= 40; + when "00101101" => + dest <= 92; + src <= 68; + when "00101110" => + dest <= 67; + src <= 93; + when "00101111" => + dest <= 93; + src <= 77; + when "00110000" => + dest <= 93; + src <= 94; + when "00110001" => + dest <= 56; + src <= 93; + when "00110010" => + dest <= 50; + src <= 52; + when "00110011" => + dest <= 93; + src <= 18; + when "00110100" => + dest <= 31; + src <= 17; + when "00110101" => + dest <= 91; + src <= 93; + when "00110110" => + dest <= 93; + src <= 93; + when "00110111" => + dest <= 93; + src <= 29; + when "00111000" => + dest <= 36; + src <= 93; + when "00111001" => + dest <= 69; + src <= 95; + when "00111010" => + dest <= 2; + src <= 30; + when "00111011" => + dest <= 45; + src <= 36; + when "00111100" => + dest <= 93; + src <= 55; + when "00111101" => + dest <= 27; + src <= 93; + when "00111110" => + dest <= 93; + src <= 84; + when "00111111" => + dest <= 18; + src <= 93; + when "01000000" => + dest <= 93; + src <= 93; + when "01000001" => + dest <= 99; + src <= 50; + when "01000010" => + dest <= 41; + src <= 27; + when "01000011" => + dest <= 93; + src <= 93; + when "01000100" => + dest <= 1; + src <= 41; + when "01000101" => + dest <= 93; + src <= 93; + when "01000110" => + dest <= 35; + src <= 69; + when "01000111" => + dest <= 88; + src <= 97; + when "01001000" => + dest <= 60; + src <= 78; + when "01001001" => + dest <= 93; + src <= 85; + when "01001010" => + dest <= 93; + src <= 93; + when "01001011" => + dest <= 93; + src <= 45; + when "01001100" => + dest <= 77; + src <= 93; + when "01001101" => + dest <= 44; + src <= 93; + when "01001110" => + dest <= 93; + src <= 51; + when "01001111" => + dest <= 10; + src <= 8; + when "01010000" => + dest <= 93; + src <= 26; + when "01010001" => + dest <= 21; + src <= 93; + when "01010010" => + dest <= 26; + src <= 93; + when "01010011" => + dest <= 66; + src <= 93; + when "01010100" => + dest <= 93; + src <= 19; + when "01010101" => + dest <= 93; + src <= 42; + when "01010110" => + dest <= 55; + src <= 76; + when "01010111" => + dest <= 93; + src <= 93; + when "01011000" => + dest <= 32; + src <= 65; + when "01011001" => + dest <= 94; + src <= 93; + when "01011010" => + dest <= 42; + src <= 60; + when "01011011" => + dest <= 93; + src <= 20; + when "01011100" => + dest <= 17; + src <= 92; + when "01011101" => + dest <= 22; + src <= 93; + when "01011110" => + dest <= 93; + src <= 31; + when "01011111" => + dest <= 51; + src <= 54; + when "01100000" => + dest <= 8; + src <= 93; + when "01100001" => + dest <= 93; + src <= 93; + when "01100010" => + dest <= 93; + src <= 44; + when "01100011" => + dest <= 11; + src <= 64; + when "01100100" => + dest <= 87; + src <= 79; + when "01100101" => + dest <= 70; + src <= 93; + when "01100110" => + dest <= 93; + src <= 93; + when "01100111" => + dest <= 76; + src <= 35; + when "01101000" => + dest <= 12; + src <= 88; + when "01101001" => + dest <= 65; + src <= 75; + when "01101010" => + dest <= 93; + src <= 93; + when "01101011" => + dest <= 0; + src <= 9; + when "01101100" => + dest <= 54; + src <= 16; + when "01101101" => + dest <= 93; + src <= 74; + when "01101110" => + dest <= 25; + src <= 10; + when "01101111" => + dest <= 93; + src <= 93; + when "01110000" => + dest <= 52; + src <= 21; + when "01110001" => + dest <= 16; + src <= 86; + when "01110010" => + dest <= 93; + src <= 93; + when "01110011" => + dest <= 93; + src <= 32; + when "01110100" => + dest <= 61; + src <= 93; + when "01110101" => + dest <= 7; + src <= 61; + when "01110110" => + dest <= 93; + src <= 93; + when "01110111" => + dest <= 43; + src <= 34; + when "01111000" => + dest <= 93; + src <= 70; + when "01111001" => + dest <= 98; + src <= 93; + when "01111010" => + dest <= 93; + src <= 93; + when "01111011" => + dest <= 93; + src <= 25; + when "01111100" => + dest <= 80; + src <= 89; + when "01111101" => + dest <= 86; + src <= 93; + when "01111110" => + dest <= 93; + src <= 43; + when "01111111" => + dest <= 75; + src <= 93; + when "10000000" => + dest <= 93; + src <= 98; + when "10000001" => + dest <= 64; + src <= 93; + when "10000010" => + dest <= 93; + src <= 6; + when "10000011" => + dest <= 24; + src <= 0; + when "10000100" => + dest <= 62; + src <= 93; + when "10000101" => + dest <= 15; + src <= 11; + when "10000110" => + dest <= 93; + src <= 93; + when "10000111" => + dest <= 71; + src <= 22; + when "10001000" => + dest <= 6; + src <= 93; + when "10001001" => + dest <= 53; + src <= 62; + when "10001010" => + dest <= 93; + src <= 24; + when "10001011" => + dest <= 97; + src <= 71; + when "10001100" => + dest <= 93; + src <= 93; + when "10001101" => + dest <= 93; + src <= 15; + when "10001110" => + dest <= 85; + src <= 80; + when "10001111" => + dest <= 74; + src <= 33; + when "10010000" => + dest <= 93; + src <= 93; + when "10010001" => + dest <= 72; + src <= 99; + when "10010010" => + dest <= 14; + src <= 93; + when "10010011" => + dest <= 63; + src <= 1; + when "10010100" => + dest <= 96; + src <= 12; + when "10010101" => + dest <= 93; + src <= 93; + when "10010110" => + dest <= 84; + src <= 14; + when "10010111" => + dest <= 73; + src <= 72; + when "10011000" => + dest <= 95; + src <= 23; + when "10011001" => + dest <= 93; + src <= 90; + when "10011010" => + dest <= 93; + src <= 2; + when "10011011" => + dest <= 48; + src <= 13; + when "10011100" => + dest <= 37; + src <= 91; + when others => + dest <= 93; + src <= 93; + + end case; + end process; + + end generate NI_NUM93; + + NI_NUM94 : if NI_NUM = 94 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 0; + src <= 94; + when "00000001" => + dest <= 14; + src <= 94; + when "00000010" => + dest <= 94; + src <= 94; + when "00000011" => + dest <= 84; + src <= 94; + when "00000100" => + dest <= 59; + src <= 94; + when "00000101" => + dest <= 40; + src <= 74; + when "00000110" => + dest <= 94; + src <= 4; + when "00000111" => + dest <= 30; + src <= 88; + when "00001000" => + dest <= 82; + src <= 94; + when "00001001" => + dest <= 94; + src <= 50; + when "00001010" => + dest <= 94; + src <= 49; + when "00001011" => + dest <= 4; + src <= 94; + when "00001100" => + dest <= 20; + src <= 94; + when "00001101" => + dest <= 39; + src <= 6; + when "00001110" => + dest <= 48; + src <= 84; + when "00001111" => + dest <= 24; + src <= 39; + when "00010000" => + dest <= 94; + src <= 48; + when "00010001" => + dest <= 94; + src <= 58; + when "00010010" => + dest <= 94; + src <= 94; + when "00010011" => + dest <= 80; + src <= 94; + when "00010100" => + dest <= 69; + src <= 64; + when "00010101" => + dest <= 94; + src <= 68; + when "00010110" => + dest <= 34; + src <= 94; + when "00010111" => + dest <= 83; + src <= 94; + when "00011000" => + dest <= 58; + src <= 59; + when "00011001" => + dest <= 41; + src <= 40; + when "00011010" => + dest <= 94; + src <= 8; + when "00011011" => + dest <= 31; + src <= 5; + when "00011100" => + dest <= 91; + src <= 54; + when "00011101" => + dest <= 94; + src <= 94; + when "00011110" => + dest <= 94; + src <= 29; + when "00011111" => + dest <= 21; + src <= 94; + when "00100000" => + dest <= 6; + src <= 94; + when "00100001" => + dest <= 50; + src <= 97; + when "00100010" => + dest <= 47; + src <= 30; + when "00100011" => + dest <= 29; + src <= 47; + when "00100100" => + dest <= 94; + src <= 57; + when "00100101" => + dest <= 5; + src <= 82; + when "00100110" => + dest <= 94; + src <= 94; + when "00100111" => + dest <= 10; + src <= 67; + when "00101000" => + dest <= 94; + src <= 94; + when "00101001" => + dest <= 94; + src <= 83; + when "00101010" => + dest <= 79; + src <= 94; + when "00101011" => + dest <= 35; + src <= 38; + when "00101100" => + dest <= 70; + src <= 41; + when "00101101" => + dest <= 93; + src <= 69; + when "00101110" => + dest <= 68; + src <= 94; + when "00101111" => + dest <= 94; + src <= 78; + when "00110000" => + dest <= 94; + src <= 95; + when "00110001" => + dest <= 57; + src <= 94; + when "00110010" => + dest <= 51; + src <= 53; + when "00110011" => + dest <= 94; + src <= 19; + when "00110100" => + dest <= 32; + src <= 18; + when "00110101" => + dest <= 92; + src <= 94; + when "00110110" => + dest <= 94; + src <= 94; + when "00110111" => + dest <= 94; + src <= 20; + when "00111000" => + dest <= 37; + src <= 94; + when "00111001" => + dest <= 60; + src <= 96; + when "00111010" => + dest <= 3; + src <= 31; + when "00111011" => + dest <= 46; + src <= 37; + when "00111100" => + dest <= 94; + src <= 56; + when "00111101" => + dest <= 28; + src <= 94; + when "00111110" => + dest <= 94; + src <= 85; + when "00111111" => + dest <= 19; + src <= 94; + when "01000000" => + dest <= 94; + src <= 94; + when "01000001" => + dest <= 90; + src <= 51; + when "01000010" => + dest <= 42; + src <= 28; + when "01000011" => + dest <= 94; + src <= 94; + when "01000100" => + dest <= 2; + src <= 42; + when "01000101" => + dest <= 94; + src <= 94; + when "01000110" => + dest <= 36; + src <= 60; + when "01000111" => + dest <= 89; + src <= 98; + when "01001000" => + dest <= 61; + src <= 79; + when "01001001" => + dest <= 94; + src <= 86; + when "01001010" => + dest <= 94; + src <= 94; + when "01001011" => + dest <= 94; + src <= 46; + when "01001100" => + dest <= 78; + src <= 94; + when "01001101" => + dest <= 45; + src <= 94; + when "01001110" => + dest <= 94; + src <= 52; + when "01001111" => + dest <= 11; + src <= 9; + when "01010000" => + dest <= 94; + src <= 27; + when "01010001" => + dest <= 22; + src <= 94; + when "01010010" => + dest <= 27; + src <= 94; + when "01010011" => + dest <= 67; + src <= 94; + when "01010100" => + dest <= 94; + src <= 10; + when "01010101" => + dest <= 94; + src <= 43; + when "01010110" => + dest <= 56; + src <= 77; + when "01010111" => + dest <= 94; + src <= 94; + when "01011000" => + dest <= 33; + src <= 66; + when "01011001" => + dest <= 95; + src <= 94; + when "01011010" => + dest <= 43; + src <= 61; + when "01011011" => + dest <= 94; + src <= 21; + when "01011100" => + dest <= 18; + src <= 93; + when "01011101" => + dest <= 23; + src <= 94; + when "01011110" => + dest <= 94; + src <= 32; + when "01011111" => + dest <= 52; + src <= 55; + when "01100000" => + dest <= 9; + src <= 94; + when "01100001" => + dest <= 94; + src <= 94; + when "01100010" => + dest <= 94; + src <= 45; + when "01100011" => + dest <= 12; + src <= 65; + when "01100100" => + dest <= 88; + src <= 70; + when "01100101" => + dest <= 71; + src <= 94; + when "01100110" => + dest <= 94; + src <= 94; + when "01100111" => + dest <= 77; + src <= 36; + when "01101000" => + dest <= 13; + src <= 89; + when "01101001" => + dest <= 66; + src <= 76; + when "01101010" => + dest <= 94; + src <= 94; + when "01101011" => + dest <= 1; + src <= 0; + when "01101100" => + dest <= 55; + src <= 17; + when "01101101" => + dest <= 94; + src <= 75; + when "01101110" => + dest <= 26; + src <= 11; + when "01101111" => + dest <= 94; + src <= 94; + when "01110000" => + dest <= 53; + src <= 22; + when "01110001" => + dest <= 17; + src <= 87; + when "01110010" => + dest <= 94; + src <= 94; + when "01110011" => + dest <= 94; + src <= 33; + when "01110100" => + dest <= 62; + src <= 94; + when "01110101" => + dest <= 8; + src <= 62; + when "01110110" => + dest <= 94; + src <= 94; + when "01110111" => + dest <= 44; + src <= 35; + when "01111000" => + dest <= 94; + src <= 71; + when "01111001" => + dest <= 99; + src <= 94; + when "01111010" => + dest <= 94; + src <= 94; + when "01111011" => + dest <= 94; + src <= 26; + when "01111100" => + dest <= 81; + src <= 80; + when "01111101" => + dest <= 87; + src <= 94; + when "01111110" => + dest <= 94; + src <= 44; + when "01111111" => + dest <= 76; + src <= 94; + when "10000000" => + dest <= 94; + src <= 99; + when "10000001" => + dest <= 65; + src <= 94; + when "10000010" => + dest <= 94; + src <= 7; + when "10000011" => + dest <= 25; + src <= 1; + when "10000100" => + dest <= 63; + src <= 94; + when "10000101" => + dest <= 16; + src <= 12; + when "10000110" => + dest <= 94; + src <= 94; + when "10000111" => + dest <= 72; + src <= 23; + when "10001000" => + dest <= 7; + src <= 94; + when "10001001" => + dest <= 54; + src <= 63; + when "10001010" => + dest <= 94; + src <= 25; + when "10001011" => + dest <= 98; + src <= 72; + when "10001100" => + dest <= 94; + src <= 94; + when "10001101" => + dest <= 94; + src <= 16; + when "10001110" => + dest <= 86; + src <= 81; + when "10001111" => + dest <= 75; + src <= 34; + when "10010000" => + dest <= 94; + src <= 94; + when "10010001" => + dest <= 73; + src <= 90; + when "10010010" => + dest <= 15; + src <= 94; + when "10010011" => + dest <= 64; + src <= 2; + when "10010100" => + dest <= 97; + src <= 13; + when "10010101" => + dest <= 94; + src <= 94; + when "10010110" => + dest <= 85; + src <= 15; + when "10010111" => + dest <= 74; + src <= 73; + when "10011000" => + dest <= 96; + src <= 24; + when "10011001" => + dest <= 94; + src <= 91; + when "10011010" => + dest <= 94; + src <= 3; + when "10011011" => + dest <= 49; + src <= 14; + when "10011100" => + dest <= 38; + src <= 92; + when others => + dest <= 94; + src <= 94; + + end case; + end process; + + end generate NI_NUM94; + + NI_NUM95 : if NI_NUM = 95 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 1; + src <= 95; + when "00000001" => + dest <= 15; + src <= 95; + when "00000010" => + dest <= 95; + src <= 95; + when "00000011" => + dest <= 85; + src <= 95; + when "00000100" => + dest <= 50; + src <= 95; + when "00000101" => + dest <= 41; + src <= 75; + when "00000110" => + dest <= 95; + src <= 5; + when "00000111" => + dest <= 31; + src <= 89; + when "00001000" => + dest <= 83; + src <= 95; + when "00001001" => + dest <= 95; + src <= 51; + when "00001010" => + dest <= 95; + src <= 40; + when "00001011" => + dest <= 5; + src <= 95; + when "00001100" => + dest <= 21; + src <= 95; + when "00001101" => + dest <= 30; + src <= 7; + when "00001110" => + dest <= 49; + src <= 85; + when "00001111" => + dest <= 25; + src <= 30; + when "00010000" => + dest <= 95; + src <= 49; + when "00010001" => + dest <= 95; + src <= 59; + when "00010010" => + dest <= 95; + src <= 95; + when "00010011" => + dest <= 81; + src <= 95; + when "00010100" => + dest <= 60; + src <= 65; + when "00010101" => + dest <= 95; + src <= 69; + when "00010110" => + dest <= 35; + src <= 95; + when "00010111" => + dest <= 84; + src <= 95; + when "00011000" => + dest <= 59; + src <= 50; + when "00011001" => + dest <= 42; + src <= 41; + when "00011010" => + dest <= 95; + src <= 9; + when "00011011" => + dest <= 32; + src <= 6; + when "00011100" => + dest <= 92; + src <= 55; + when "00011101" => + dest <= 95; + src <= 95; + when "00011110" => + dest <= 95; + src <= 20; + when "00011111" => + dest <= 22; + src <= 95; + when "00100000" => + dest <= 7; + src <= 95; + when "00100001" => + dest <= 51; + src <= 98; + when "00100010" => + dest <= 48; + src <= 31; + when "00100011" => + dest <= 20; + src <= 48; + when "00100100" => + dest <= 95; + src <= 58; + when "00100101" => + dest <= 6; + src <= 83; + when "00100110" => + dest <= 95; + src <= 95; + when "00100111" => + dest <= 11; + src <= 68; + when "00101000" => + dest <= 95; + src <= 95; + when "00101001" => + dest <= 95; + src <= 84; + when "00101010" => + dest <= 70; + src <= 95; + when "00101011" => + dest <= 36; + src <= 39; + when "00101100" => + dest <= 71; + src <= 42; + when "00101101" => + dest <= 94; + src <= 60; + when "00101110" => + dest <= 69; + src <= 95; + when "00101111" => + dest <= 95; + src <= 79; + when "00110000" => + dest <= 95; + src <= 96; + when "00110001" => + dest <= 58; + src <= 95; + when "00110010" => + dest <= 52; + src <= 54; + when "00110011" => + dest <= 95; + src <= 10; + when "00110100" => + dest <= 33; + src <= 19; + when "00110101" => + dest <= 93; + src <= 95; + when "00110110" => + dest <= 95; + src <= 95; + when "00110111" => + dest <= 95; + src <= 21; + when "00111000" => + dest <= 38; + src <= 95; + when "00111001" => + dest <= 61; + src <= 97; + when "00111010" => + dest <= 4; + src <= 32; + when "00111011" => + dest <= 47; + src <= 38; + when "00111100" => + dest <= 95; + src <= 57; + when "00111101" => + dest <= 29; + src <= 95; + when "00111110" => + dest <= 95; + src <= 86; + when "00111111" => + dest <= 10; + src <= 95; + when "01000000" => + dest <= 95; + src <= 95; + when "01000001" => + dest <= 91; + src <= 52; + when "01000010" => + dest <= 43; + src <= 29; + when "01000011" => + dest <= 95; + src <= 95; + when "01000100" => + dest <= 3; + src <= 43; + when "01000101" => + dest <= 95; + src <= 95; + when "01000110" => + dest <= 37; + src <= 61; + when "01000111" => + dest <= 80; + src <= 99; + when "01001000" => + dest <= 62; + src <= 70; + when "01001001" => + dest <= 95; + src <= 87; + when "01001010" => + dest <= 95; + src <= 95; + when "01001011" => + dest <= 95; + src <= 47; + when "01001100" => + dest <= 79; + src <= 95; + when "01001101" => + dest <= 46; + src <= 95; + when "01001110" => + dest <= 95; + src <= 53; + when "01001111" => + dest <= 12; + src <= 0; + when "01010000" => + dest <= 95; + src <= 28; + when "01010001" => + dest <= 23; + src <= 95; + when "01010010" => + dest <= 28; + src <= 95; + when "01010011" => + dest <= 68; + src <= 95; + when "01010100" => + dest <= 95; + src <= 11; + when "01010101" => + dest <= 95; + src <= 44; + when "01010110" => + dest <= 57; + src <= 78; + when "01010111" => + dest <= 95; + src <= 95; + when "01011000" => + dest <= 34; + src <= 67; + when "01011001" => + dest <= 96; + src <= 95; + when "01011010" => + dest <= 44; + src <= 62; + when "01011011" => + dest <= 95; + src <= 22; + when "01011100" => + dest <= 19; + src <= 94; + when "01011101" => + dest <= 24; + src <= 95; + when "01011110" => + dest <= 95; + src <= 33; + when "01011111" => + dest <= 53; + src <= 56; + when "01100000" => + dest <= 0; + src <= 95; + when "01100001" => + dest <= 95; + src <= 95; + when "01100010" => + dest <= 95; + src <= 46; + when "01100011" => + dest <= 13; + src <= 66; + when "01100100" => + dest <= 89; + src <= 71; + when "01100101" => + dest <= 72; + src <= 95; + when "01100110" => + dest <= 95; + src <= 95; + when "01100111" => + dest <= 78; + src <= 37; + when "01101000" => + dest <= 14; + src <= 80; + when "01101001" => + dest <= 67; + src <= 77; + when "01101010" => + dest <= 95; + src <= 95; + when "01101011" => + dest <= 2; + src <= 1; + when "01101100" => + dest <= 56; + src <= 18; + when "01101101" => + dest <= 95; + src <= 76; + when "01101110" => + dest <= 27; + src <= 12; + when "01101111" => + dest <= 95; + src <= 95; + when "01110000" => + dest <= 54; + src <= 23; + when "01110001" => + dest <= 18; + src <= 88; + when "01110010" => + dest <= 95; + src <= 95; + when "01110011" => + dest <= 95; + src <= 34; + when "01110100" => + dest <= 63; + src <= 95; + when "01110101" => + dest <= 9; + src <= 63; + when "01110110" => + dest <= 95; + src <= 95; + when "01110111" => + dest <= 45; + src <= 36; + when "01111000" => + dest <= 95; + src <= 72; + when "01111001" => + dest <= 90; + src <= 95; + when "01111010" => + dest <= 95; + src <= 95; + when "01111011" => + dest <= 95; + src <= 27; + when "01111100" => + dest <= 82; + src <= 81; + when "01111101" => + dest <= 88; + src <= 95; + when "01111110" => + dest <= 95; + src <= 45; + when "01111111" => + dest <= 77; + src <= 95; + when "10000000" => + dest <= 95; + src <= 90; + when "10000001" => + dest <= 66; + src <= 95; + when "10000010" => + dest <= 95; + src <= 8; + when "10000011" => + dest <= 26; + src <= 2; + when "10000100" => + dest <= 64; + src <= 95; + when "10000101" => + dest <= 17; + src <= 13; + when "10000110" => + dest <= 95; + src <= 95; + when "10000111" => + dest <= 73; + src <= 24; + when "10001000" => + dest <= 8; + src <= 95; + when "10001001" => + dest <= 55; + src <= 64; + when "10001010" => + dest <= 95; + src <= 26; + when "10001011" => + dest <= 99; + src <= 73; + when "10001100" => + dest <= 95; + src <= 95; + when "10001101" => + dest <= 95; + src <= 17; + when "10001110" => + dest <= 87; + src <= 82; + when "10001111" => + dest <= 76; + src <= 35; + when "10010000" => + dest <= 95; + src <= 95; + when "10010001" => + dest <= 74; + src <= 91; + when "10010010" => + dest <= 16; + src <= 95; + when "10010011" => + dest <= 65; + src <= 3; + when "10010100" => + dest <= 98; + src <= 14; + when "10010101" => + dest <= 95; + src <= 95; + when "10010110" => + dest <= 86; + src <= 16; + when "10010111" => + dest <= 75; + src <= 74; + when "10011000" => + dest <= 97; + src <= 25; + when "10011001" => + dest <= 95; + src <= 92; + when "10011010" => + dest <= 95; + src <= 4; + when "10011011" => + dest <= 40; + src <= 15; + when "10011100" => + dest <= 39; + src <= 93; + when others => + dest <= 95; + src <= 95; + + end case; + end process; + + end generate NI_NUM95; + + NI_NUM96 : if NI_NUM = 96 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 2; + src <= 96; + when "00000001" => + dest <= 16; + src <= 96; + when "00000010" => + dest <= 96; + src <= 96; + when "00000011" => + dest <= 86; + src <= 96; + when "00000100" => + dest <= 51; + src <= 96; + when "00000101" => + dest <= 42; + src <= 76; + when "00000110" => + dest <= 96; + src <= 6; + when "00000111" => + dest <= 32; + src <= 80; + when "00001000" => + dest <= 84; + src <= 96; + when "00001001" => + dest <= 96; + src <= 52; + when "00001010" => + dest <= 96; + src <= 41; + when "00001011" => + dest <= 6; + src <= 96; + when "00001100" => + dest <= 22; + src <= 96; + when "00001101" => + dest <= 31; + src <= 8; + when "00001110" => + dest <= 40; + src <= 86; + when "00001111" => + dest <= 26; + src <= 31; + when "00010000" => + dest <= 96; + src <= 40; + when "00010001" => + dest <= 96; + src <= 50; + when "00010010" => + dest <= 96; + src <= 96; + when "00010011" => + dest <= 82; + src <= 96; + when "00010100" => + dest <= 61; + src <= 66; + when "00010101" => + dest <= 96; + src <= 60; + when "00010110" => + dest <= 36; + src <= 96; + when "00010111" => + dest <= 85; + src <= 96; + when "00011000" => + dest <= 50; + src <= 51; + when "00011001" => + dest <= 43; + src <= 42; + when "00011010" => + dest <= 96; + src <= 0; + when "00011011" => + dest <= 33; + src <= 7; + when "00011100" => + dest <= 93; + src <= 56; + when "00011101" => + dest <= 96; + src <= 96; + when "00011110" => + dest <= 96; + src <= 21; + when "00011111" => + dest <= 23; + src <= 96; + when "00100000" => + dest <= 8; + src <= 96; + when "00100001" => + dest <= 52; + src <= 99; + when "00100010" => + dest <= 49; + src <= 32; + when "00100011" => + dest <= 21; + src <= 49; + when "00100100" => + dest <= 96; + src <= 59; + when "00100101" => + dest <= 7; + src <= 84; + when "00100110" => + dest <= 96; + src <= 96; + when "00100111" => + dest <= 12; + src <= 69; + when "00101000" => + dest <= 96; + src <= 96; + when "00101001" => + dest <= 96; + src <= 85; + when "00101010" => + dest <= 71; + src <= 96; + when "00101011" => + dest <= 37; + src <= 30; + when "00101100" => + dest <= 72; + src <= 43; + when "00101101" => + dest <= 95; + src <= 61; + when "00101110" => + dest <= 60; + src <= 96; + when "00101111" => + dest <= 96; + src <= 70; + when "00110000" => + dest <= 96; + src <= 97; + when "00110001" => + dest <= 59; + src <= 96; + when "00110010" => + dest <= 53; + src <= 55; + when "00110011" => + dest <= 96; + src <= 11; + when "00110100" => + dest <= 34; + src <= 10; + when "00110101" => + dest <= 94; + src <= 96; + when "00110110" => + dest <= 96; + src <= 96; + when "00110111" => + dest <= 96; + src <= 22; + when "00111000" => + dest <= 39; + src <= 96; + when "00111001" => + dest <= 62; + src <= 98; + when "00111010" => + dest <= 5; + src <= 33; + when "00111011" => + dest <= 48; + src <= 39; + when "00111100" => + dest <= 96; + src <= 58; + when "00111101" => + dest <= 20; + src <= 96; + when "00111110" => + dest <= 96; + src <= 87; + when "00111111" => + dest <= 11; + src <= 96; + when "01000000" => + dest <= 96; + src <= 96; + when "01000001" => + dest <= 92; + src <= 53; + when "01000010" => + dest <= 44; + src <= 20; + when "01000011" => + dest <= 96; + src <= 96; + when "01000100" => + dest <= 4; + src <= 44; + when "01000101" => + dest <= 96; + src <= 96; + when "01000110" => + dest <= 38; + src <= 62; + when "01000111" => + dest <= 81; + src <= 90; + when "01001000" => + dest <= 63; + src <= 71; + when "01001001" => + dest <= 96; + src <= 88; + when "01001010" => + dest <= 96; + src <= 96; + when "01001011" => + dest <= 96; + src <= 48; + when "01001100" => + dest <= 70; + src <= 96; + when "01001101" => + dest <= 47; + src <= 96; + when "01001110" => + dest <= 96; + src <= 54; + when "01001111" => + dest <= 13; + src <= 1; + when "01010000" => + dest <= 96; + src <= 29; + when "01010001" => + dest <= 24; + src <= 96; + when "01010010" => + dest <= 29; + src <= 96; + when "01010011" => + dest <= 69; + src <= 96; + when "01010100" => + dest <= 96; + src <= 12; + when "01010101" => + dest <= 96; + src <= 45; + when "01010110" => + dest <= 58; + src <= 79; + when "01010111" => + dest <= 96; + src <= 96; + when "01011000" => + dest <= 35; + src <= 68; + when "01011001" => + dest <= 97; + src <= 96; + when "01011010" => + dest <= 45; + src <= 63; + when "01011011" => + dest <= 96; + src <= 23; + when "01011100" => + dest <= 10; + src <= 95; + when "01011101" => + dest <= 25; + src <= 96; + when "01011110" => + dest <= 96; + src <= 34; + when "01011111" => + dest <= 54; + src <= 57; + when "01100000" => + dest <= 1; + src <= 96; + when "01100001" => + dest <= 96; + src <= 96; + when "01100010" => + dest <= 96; + src <= 47; + when "01100011" => + dest <= 14; + src <= 67; + when "01100100" => + dest <= 80; + src <= 72; + when "01100101" => + dest <= 73; + src <= 96; + when "01100110" => + dest <= 96; + src <= 96; + when "01100111" => + dest <= 79; + src <= 38; + when "01101000" => + dest <= 15; + src <= 81; + when "01101001" => + dest <= 68; + src <= 78; + when "01101010" => + dest <= 96; + src <= 96; + when "01101011" => + dest <= 3; + src <= 2; + when "01101100" => + dest <= 57; + src <= 19; + when "01101101" => + dest <= 96; + src <= 77; + when "01101110" => + dest <= 28; + src <= 13; + when "01101111" => + dest <= 96; + src <= 96; + when "01110000" => + dest <= 55; + src <= 24; + when "01110001" => + dest <= 19; + src <= 89; + when "01110010" => + dest <= 96; + src <= 96; + when "01110011" => + dest <= 96; + src <= 35; + when "01110100" => + dest <= 64; + src <= 96; + when "01110101" => + dest <= 0; + src <= 64; + when "01110110" => + dest <= 96; + src <= 96; + when "01110111" => + dest <= 46; + src <= 37; + when "01111000" => + dest <= 96; + src <= 73; + when "01111001" => + dest <= 91; + src <= 96; + when "01111010" => + dest <= 96; + src <= 96; + when "01111011" => + dest <= 96; + src <= 28; + when "01111100" => + dest <= 83; + src <= 82; + when "01111101" => + dest <= 89; + src <= 96; + when "01111110" => + dest <= 96; + src <= 46; + when "01111111" => + dest <= 78; + src <= 96; + when "10000000" => + dest <= 96; + src <= 91; + when "10000001" => + dest <= 67; + src <= 96; + when "10000010" => + dest <= 96; + src <= 9; + when "10000011" => + dest <= 27; + src <= 3; + when "10000100" => + dest <= 65; + src <= 96; + when "10000101" => + dest <= 18; + src <= 14; + when "10000110" => + dest <= 96; + src <= 96; + when "10000111" => + dest <= 74; + src <= 25; + when "10001000" => + dest <= 9; + src <= 96; + when "10001001" => + dest <= 56; + src <= 65; + when "10001010" => + dest <= 96; + src <= 27; + when "10001011" => + dest <= 90; + src <= 74; + when "10001100" => + dest <= 96; + src <= 96; + when "10001101" => + dest <= 96; + src <= 18; + when "10001110" => + dest <= 88; + src <= 83; + when "10001111" => + dest <= 77; + src <= 36; + when "10010000" => + dest <= 96; + src <= 96; + when "10010001" => + dest <= 75; + src <= 92; + when "10010010" => + dest <= 17; + src <= 96; + when "10010011" => + dest <= 66; + src <= 4; + when "10010100" => + dest <= 99; + src <= 15; + when "10010101" => + dest <= 96; + src <= 96; + when "10010110" => + dest <= 87; + src <= 17; + when "10010111" => + dest <= 76; + src <= 75; + when "10011000" => + dest <= 98; + src <= 26; + when "10011001" => + dest <= 96; + src <= 93; + when "10011010" => + dest <= 96; + src <= 5; + when "10011011" => + dest <= 41; + src <= 16; + when "10011100" => + dest <= 30; + src <= 94; + when others => + dest <= 96; + src <= 96; + + end case; + end process; + + end generate NI_NUM96; + + NI_NUM97 : if NI_NUM = 97 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 3; + src <= 97; + when "00000001" => + dest <= 17; + src <= 97; + when "00000010" => + dest <= 97; + src <= 97; + when "00000011" => + dest <= 87; + src <= 97; + when "00000100" => + dest <= 52; + src <= 97; + when "00000101" => + dest <= 43; + src <= 77; + when "00000110" => + dest <= 97; + src <= 7; + when "00000111" => + dest <= 33; + src <= 81; + when "00001000" => + dest <= 85; + src <= 97; + when "00001001" => + dest <= 97; + src <= 53; + when "00001010" => + dest <= 97; + src <= 42; + when "00001011" => + dest <= 7; + src <= 97; + when "00001100" => + dest <= 23; + src <= 97; + when "00001101" => + dest <= 32; + src <= 9; + when "00001110" => + dest <= 41; + src <= 87; + when "00001111" => + dest <= 27; + src <= 32; + when "00010000" => + dest <= 97; + src <= 41; + when "00010001" => + dest <= 97; + src <= 51; + when "00010010" => + dest <= 97; + src <= 97; + when "00010011" => + dest <= 83; + src <= 97; + when "00010100" => + dest <= 62; + src <= 67; + when "00010101" => + dest <= 97; + src <= 61; + when "00010110" => + dest <= 37; + src <= 97; + when "00010111" => + dest <= 86; + src <= 97; + when "00011000" => + dest <= 51; + src <= 52; + when "00011001" => + dest <= 44; + src <= 43; + when "00011010" => + dest <= 97; + src <= 1; + when "00011011" => + dest <= 34; + src <= 8; + when "00011100" => + dest <= 94; + src <= 57; + when "00011101" => + dest <= 97; + src <= 97; + when "00011110" => + dest <= 97; + src <= 22; + when "00011111" => + dest <= 24; + src <= 97; + when "00100000" => + dest <= 9; + src <= 97; + when "00100001" => + dest <= 53; + src <= 90; + when "00100010" => + dest <= 40; + src <= 33; + when "00100011" => + dest <= 22; + src <= 40; + when "00100100" => + dest <= 97; + src <= 50; + when "00100101" => + dest <= 8; + src <= 85; + when "00100110" => + dest <= 97; + src <= 97; + when "00100111" => + dest <= 13; + src <= 60; + when "00101000" => + dest <= 97; + src <= 97; + when "00101001" => + dest <= 97; + src <= 86; + when "00101010" => + dest <= 72; + src <= 97; + when "00101011" => + dest <= 38; + src <= 31; + when "00101100" => + dest <= 73; + src <= 44; + when "00101101" => + dest <= 96; + src <= 62; + when "00101110" => + dest <= 61; + src <= 97; + when "00101111" => + dest <= 97; + src <= 71; + when "00110000" => + dest <= 97; + src <= 98; + when "00110001" => + dest <= 50; + src <= 97; + when "00110010" => + dest <= 54; + src <= 56; + when "00110011" => + dest <= 97; + src <= 12; + when "00110100" => + dest <= 35; + src <= 11; + when "00110101" => + dest <= 95; + src <= 97; + when "00110110" => + dest <= 97; + src <= 97; + when "00110111" => + dest <= 97; + src <= 23; + when "00111000" => + dest <= 30; + src <= 97; + when "00111001" => + dest <= 63; + src <= 99; + when "00111010" => + dest <= 6; + src <= 34; + when "00111011" => + dest <= 49; + src <= 30; + when "00111100" => + dest <= 97; + src <= 59; + when "00111101" => + dest <= 21; + src <= 97; + when "00111110" => + dest <= 97; + src <= 88; + when "00111111" => + dest <= 12; + src <= 97; + when "01000000" => + dest <= 97; + src <= 97; + when "01000001" => + dest <= 93; + src <= 54; + when "01000010" => + dest <= 45; + src <= 21; + when "01000011" => + dest <= 97; + src <= 97; + when "01000100" => + dest <= 5; + src <= 45; + when "01000101" => + dest <= 97; + src <= 97; + when "01000110" => + dest <= 39; + src <= 63; + when "01000111" => + dest <= 82; + src <= 91; + when "01001000" => + dest <= 64; + src <= 72; + when "01001001" => + dest <= 97; + src <= 89; + when "01001010" => + dest <= 97; + src <= 97; + when "01001011" => + dest <= 97; + src <= 49; + when "01001100" => + dest <= 71; + src <= 97; + when "01001101" => + dest <= 48; + src <= 97; + when "01001110" => + dest <= 97; + src <= 55; + when "01001111" => + dest <= 14; + src <= 2; + when "01010000" => + dest <= 97; + src <= 20; + when "01010001" => + dest <= 25; + src <= 97; + when "01010010" => + dest <= 20; + src <= 97; + when "01010011" => + dest <= 60; + src <= 97; + when "01010100" => + dest <= 97; + src <= 13; + when "01010101" => + dest <= 97; + src <= 46; + when "01010110" => + dest <= 59; + src <= 70; + when "01010111" => + dest <= 97; + src <= 97; + when "01011000" => + dest <= 36; + src <= 69; + when "01011001" => + dest <= 98; + src <= 97; + when "01011010" => + dest <= 46; + src <= 64; + when "01011011" => + dest <= 97; + src <= 24; + when "01011100" => + dest <= 11; + src <= 96; + when "01011101" => + dest <= 26; + src <= 97; + when "01011110" => + dest <= 97; + src <= 35; + when "01011111" => + dest <= 55; + src <= 58; + when "01100000" => + dest <= 2; + src <= 97; + when "01100001" => + dest <= 97; + src <= 97; + when "01100010" => + dest <= 97; + src <= 48; + when "01100011" => + dest <= 15; + src <= 68; + when "01100100" => + dest <= 81; + src <= 73; + when "01100101" => + dest <= 74; + src <= 97; + when "01100110" => + dest <= 97; + src <= 97; + when "01100111" => + dest <= 70; + src <= 39; + when "01101000" => + dest <= 16; + src <= 82; + when "01101001" => + dest <= 69; + src <= 79; + when "01101010" => + dest <= 97; + src <= 97; + when "01101011" => + dest <= 4; + src <= 3; + when "01101100" => + dest <= 58; + src <= 10; + when "01101101" => + dest <= 97; + src <= 78; + when "01101110" => + dest <= 29; + src <= 14; + when "01101111" => + dest <= 97; + src <= 97; + when "01110000" => + dest <= 56; + src <= 25; + when "01110001" => + dest <= 10; + src <= 80; + when "01110010" => + dest <= 97; + src <= 97; + when "01110011" => + dest <= 97; + src <= 36; + when "01110100" => + dest <= 65; + src <= 97; + when "01110101" => + dest <= 1; + src <= 65; + when "01110110" => + dest <= 97; + src <= 97; + when "01110111" => + dest <= 47; + src <= 38; + when "01111000" => + dest <= 97; + src <= 74; + when "01111001" => + dest <= 92; + src <= 97; + when "01111010" => + dest <= 97; + src <= 97; + when "01111011" => + dest <= 97; + src <= 29; + when "01111100" => + dest <= 84; + src <= 83; + when "01111101" => + dest <= 80; + src <= 97; + when "01111110" => + dest <= 97; + src <= 47; + when "01111111" => + dest <= 79; + src <= 97; + when "10000000" => + dest <= 97; + src <= 92; + when "10000001" => + dest <= 68; + src <= 97; + when "10000010" => + dest <= 97; + src <= 0; + when "10000011" => + dest <= 28; + src <= 4; + when "10000100" => + dest <= 66; + src <= 97; + when "10000101" => + dest <= 19; + src <= 15; + when "10000110" => + dest <= 97; + src <= 97; + when "10000111" => + dest <= 75; + src <= 26; + when "10001000" => + dest <= 0; + src <= 97; + when "10001001" => + dest <= 57; + src <= 66; + when "10001010" => + dest <= 97; + src <= 28; + when "10001011" => + dest <= 91; + src <= 75; + when "10001100" => + dest <= 97; + src <= 97; + when "10001101" => + dest <= 97; + src <= 19; + when "10001110" => + dest <= 89; + src <= 84; + when "10001111" => + dest <= 78; + src <= 37; + when "10010000" => + dest <= 97; + src <= 97; + when "10010001" => + dest <= 76; + src <= 93; + when "10010010" => + dest <= 18; + src <= 97; + when "10010011" => + dest <= 67; + src <= 5; + when "10010100" => + dest <= 90; + src <= 16; + when "10010101" => + dest <= 97; + src <= 97; + when "10010110" => + dest <= 88; + src <= 18; + when "10010111" => + dest <= 77; + src <= 76; + when "10011000" => + dest <= 99; + src <= 27; + when "10011001" => + dest <= 97; + src <= 94; + when "10011010" => + dest <= 97; + src <= 6; + when "10011011" => + dest <= 42; + src <= 17; + when "10011100" => + dest <= 31; + src <= 95; + when others => + dest <= 97; + src <= 97; + + end case; + end process; + + end generate NI_NUM97; + + NI_NUM98 : if NI_NUM = 98 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 4; + src <= 98; + when "00000001" => + dest <= 18; + src <= 98; + when "00000010" => + dest <= 98; + src <= 98; + when "00000011" => + dest <= 88; + src <= 98; + when "00000100" => + dest <= 53; + src <= 98; + when "00000101" => + dest <= 44; + src <= 78; + when "00000110" => + dest <= 98; + src <= 8; + when "00000111" => + dest <= 34; + src <= 82; + when "00001000" => + dest <= 86; + src <= 98; + when "00001001" => + dest <= 98; + src <= 54; + when "00001010" => + dest <= 98; + src <= 43; + when "00001011" => + dest <= 8; + src <= 98; + when "00001100" => + dest <= 24; + src <= 98; + when "00001101" => + dest <= 33; + src <= 0; + when "00001110" => + dest <= 42; + src <= 88; + when "00001111" => + dest <= 28; + src <= 33; + when "00010000" => + dest <= 98; + src <= 42; + when "00010001" => + dest <= 98; + src <= 52; + when "00010010" => + dest <= 98; + src <= 98; + when "00010011" => + dest <= 84; + src <= 98; + when "00010100" => + dest <= 63; + src <= 68; + when "00010101" => + dest <= 98; + src <= 62; + when "00010110" => + dest <= 38; + src <= 98; + when "00010111" => + dest <= 87; + src <= 98; + when "00011000" => + dest <= 52; + src <= 53; + when "00011001" => + dest <= 45; + src <= 44; + when "00011010" => + dest <= 98; + src <= 2; + when "00011011" => + dest <= 35; + src <= 9; + when "00011100" => + dest <= 95; + src <= 58; + when "00011101" => + dest <= 98; + src <= 98; + when "00011110" => + dest <= 98; + src <= 23; + when "00011111" => + dest <= 25; + src <= 98; + when "00100000" => + dest <= 0; + src <= 98; + when "00100001" => + dest <= 54; + src <= 91; + when "00100010" => + dest <= 41; + src <= 34; + when "00100011" => + dest <= 23; + src <= 41; + when "00100100" => + dest <= 98; + src <= 51; + when "00100101" => + dest <= 9; + src <= 86; + when "00100110" => + dest <= 98; + src <= 98; + when "00100111" => + dest <= 14; + src <= 61; + when "00101000" => + dest <= 98; + src <= 98; + when "00101001" => + dest <= 98; + src <= 87; + when "00101010" => + dest <= 73; + src <= 98; + when "00101011" => + dest <= 39; + src <= 32; + when "00101100" => + dest <= 74; + src <= 45; + when "00101101" => + dest <= 97; + src <= 63; + when "00101110" => + dest <= 62; + src <= 98; + when "00101111" => + dest <= 98; + src <= 72; + when "00110000" => + dest <= 98; + src <= 99; + when "00110001" => + dest <= 51; + src <= 98; + when "00110010" => + dest <= 55; + src <= 57; + when "00110011" => + dest <= 98; + src <= 13; + when "00110100" => + dest <= 36; + src <= 12; + when "00110101" => + dest <= 96; + src <= 98; + when "00110110" => + dest <= 98; + src <= 98; + when "00110111" => + dest <= 98; + src <= 24; + when "00111000" => + dest <= 31; + src <= 98; + when "00111001" => + dest <= 64; + src <= 90; + when "00111010" => + dest <= 7; + src <= 35; + when "00111011" => + dest <= 40; + src <= 31; + when "00111100" => + dest <= 98; + src <= 50; + when "00111101" => + dest <= 22; + src <= 98; + when "00111110" => + dest <= 98; + src <= 89; + when "00111111" => + dest <= 13; + src <= 98; + when "01000000" => + dest <= 98; + src <= 98; + when "01000001" => + dest <= 94; + src <= 55; + when "01000010" => + dest <= 46; + src <= 22; + when "01000011" => + dest <= 98; + src <= 98; + when "01000100" => + dest <= 6; + src <= 46; + when "01000101" => + dest <= 98; + src <= 98; + when "01000110" => + dest <= 30; + src <= 64; + when "01000111" => + dest <= 83; + src <= 92; + when "01001000" => + dest <= 65; + src <= 73; + when "01001001" => + dest <= 98; + src <= 80; + when "01001010" => + dest <= 98; + src <= 98; + when "01001011" => + dest <= 98; + src <= 40; + when "01001100" => + dest <= 72; + src <= 98; + when "01001101" => + dest <= 49; + src <= 98; + when "01001110" => + dest <= 98; + src <= 56; + when "01001111" => + dest <= 15; + src <= 3; + when "01010000" => + dest <= 98; + src <= 21; + when "01010001" => + dest <= 26; + src <= 98; + when "01010010" => + dest <= 21; + src <= 98; + when "01010011" => + dest <= 61; + src <= 98; + when "01010100" => + dest <= 98; + src <= 14; + when "01010101" => + dest <= 98; + src <= 47; + when "01010110" => + dest <= 50; + src <= 71; + when "01010111" => + dest <= 98; + src <= 98; + when "01011000" => + dest <= 37; + src <= 60; + when "01011001" => + dest <= 99; + src <= 98; + when "01011010" => + dest <= 47; + src <= 65; + when "01011011" => + dest <= 98; + src <= 25; + when "01011100" => + dest <= 12; + src <= 97; + when "01011101" => + dest <= 27; + src <= 98; + when "01011110" => + dest <= 98; + src <= 36; + when "01011111" => + dest <= 56; + src <= 59; + when "01100000" => + dest <= 3; + src <= 98; + when "01100001" => + dest <= 98; + src <= 98; + when "01100010" => + dest <= 98; + src <= 49; + when "01100011" => + dest <= 16; + src <= 69; + when "01100100" => + dest <= 82; + src <= 74; + when "01100101" => + dest <= 75; + src <= 98; + when "01100110" => + dest <= 98; + src <= 98; + when "01100111" => + dest <= 71; + src <= 30; + when "01101000" => + dest <= 17; + src <= 83; + when "01101001" => + dest <= 60; + src <= 70; + when "01101010" => + dest <= 98; + src <= 98; + when "01101011" => + dest <= 5; + src <= 4; + when "01101100" => + dest <= 59; + src <= 11; + when "01101101" => + dest <= 98; + src <= 79; + when "01101110" => + dest <= 20; + src <= 15; + when "01101111" => + dest <= 98; + src <= 98; + when "01110000" => + dest <= 57; + src <= 26; + when "01110001" => + dest <= 11; + src <= 81; + when "01110010" => + dest <= 98; + src <= 98; + when "01110011" => + dest <= 98; + src <= 37; + when "01110100" => + dest <= 66; + src <= 98; + when "01110101" => + dest <= 2; + src <= 66; + when "01110110" => + dest <= 98; + src <= 98; + when "01110111" => + dest <= 48; + src <= 39; + when "01111000" => + dest <= 98; + src <= 75; + when "01111001" => + dest <= 93; + src <= 98; + when "01111010" => + dest <= 98; + src <= 98; + when "01111011" => + dest <= 98; + src <= 20; + when "01111100" => + dest <= 85; + src <= 84; + when "01111101" => + dest <= 81; + src <= 98; + when "01111110" => + dest <= 98; + src <= 48; + when "01111111" => + dest <= 70; + src <= 98; + when "10000000" => + dest <= 98; + src <= 93; + when "10000001" => + dest <= 69; + src <= 98; + when "10000010" => + dest <= 98; + src <= 1; + when "10000011" => + dest <= 29; + src <= 5; + when "10000100" => + dest <= 67; + src <= 98; + when "10000101" => + dest <= 10; + src <= 16; + when "10000110" => + dest <= 98; + src <= 98; + when "10000111" => + dest <= 76; + src <= 27; + when "10001000" => + dest <= 1; + src <= 98; + when "10001001" => + dest <= 58; + src <= 67; + when "10001010" => + dest <= 98; + src <= 29; + when "10001011" => + dest <= 92; + src <= 76; + when "10001100" => + dest <= 98; + src <= 98; + when "10001101" => + dest <= 98; + src <= 10; + when "10001110" => + dest <= 80; + src <= 85; + when "10001111" => + dest <= 79; + src <= 38; + when "10010000" => + dest <= 98; + src <= 98; + when "10010001" => + dest <= 77; + src <= 94; + when "10010010" => + dest <= 19; + src <= 98; + when "10010011" => + dest <= 68; + src <= 6; + when "10010100" => + dest <= 91; + src <= 17; + when "10010101" => + dest <= 98; + src <= 98; + when "10010110" => + dest <= 89; + src <= 19; + when "10010111" => + dest <= 78; + src <= 77; + when "10011000" => + dest <= 90; + src <= 28; + when "10011001" => + dest <= 98; + src <= 95; + when "10011010" => + dest <= 98; + src <= 7; + when "10011011" => + dest <= 43; + src <= 18; + when "10011100" => + dest <= 32; + src <= 96; + when others => + dest <= 98; + src <= 98; + + end case; + end process; + + end generate NI_NUM98; + + NI_NUM99 : if NI_NUM = 99 generate + process(count) begin + + case count is + + when "00000000" => + dest <= 5; + src <= 99; + when "00000001" => + dest <= 19; + src <= 99; + when "00000010" => + dest <= 99; + src <= 99; + when "00000011" => + dest <= 89; + src <= 99; + when "00000100" => + dest <= 54; + src <= 99; + when "00000101" => + dest <= 45; + src <= 79; + when "00000110" => + dest <= 99; + src <= 9; + when "00000111" => + dest <= 35; + src <= 83; + when "00001000" => + dest <= 87; + src <= 99; + when "00001001" => + dest <= 99; + src <= 55; + when "00001010" => + dest <= 99; + src <= 44; + when "00001011" => + dest <= 9; + src <= 99; + when "00001100" => + dest <= 25; + src <= 99; + when "00001101" => + dest <= 34; + src <= 1; + when "00001110" => + dest <= 43; + src <= 89; + when "00001111" => + dest <= 29; + src <= 34; + when "00010000" => + dest <= 99; + src <= 43; + when "00010001" => + dest <= 99; + src <= 53; + when "00010010" => + dest <= 99; + src <= 99; + when "00010011" => + dest <= 85; + src <= 99; + when "00010100" => + dest <= 64; + src <= 69; + when "00010101" => + dest <= 99; + src <= 63; + when "00010110" => + dest <= 39; + src <= 99; + when "00010111" => + dest <= 88; + src <= 99; + when "00011000" => + dest <= 53; + src <= 54; + when "00011001" => + dest <= 46; + src <= 45; + when "00011010" => + dest <= 99; + src <= 3; + when "00011011" => + dest <= 36; + src <= 0; + when "00011100" => + dest <= 96; + src <= 59; + when "00011101" => + dest <= 99; + src <= 99; + when "00011110" => + dest <= 99; + src <= 24; + when "00011111" => + dest <= 26; + src <= 99; + when "00100000" => + dest <= 1; + src <= 99; + when "00100001" => + dest <= 55; + src <= 92; + when "00100010" => + dest <= 42; + src <= 35; + when "00100011" => + dest <= 24; + src <= 42; + when "00100100" => + dest <= 99; + src <= 52; + when "00100101" => + dest <= 0; + src <= 87; + when "00100110" => + dest <= 99; + src <= 99; + when "00100111" => + dest <= 15; + src <= 62; + when "00101000" => + dest <= 99; + src <= 99; + when "00101001" => + dest <= 99; + src <= 88; + when "00101010" => + dest <= 74; + src <= 99; + when "00101011" => + dest <= 30; + src <= 33; + when "00101100" => + dest <= 75; + src <= 46; + when "00101101" => + dest <= 98; + src <= 64; + when "00101110" => + dest <= 63; + src <= 99; + when "00101111" => + dest <= 99; + src <= 73; + when "00110000" => + dest <= 99; + src <= 90; + when "00110001" => + dest <= 52; + src <= 99; + when "00110010" => + dest <= 56; + src <= 58; + when "00110011" => + dest <= 99; + src <= 14; + when "00110100" => + dest <= 37; + src <= 13; + when "00110101" => + dest <= 97; + src <= 99; + when "00110110" => + dest <= 99; + src <= 99; + when "00110111" => + dest <= 99; + src <= 25; + when "00111000" => + dest <= 32; + src <= 99; + when "00111001" => + dest <= 65; + src <= 91; + when "00111010" => + dest <= 8; + src <= 36; + when "00111011" => + dest <= 41; + src <= 32; + when "00111100" => + dest <= 99; + src <= 51; + when "00111101" => + dest <= 23; + src <= 99; + when "00111110" => + dest <= 99; + src <= 80; + when "00111111" => + dest <= 14; + src <= 99; + when "01000000" => + dest <= 99; + src <= 99; + when "01000001" => + dest <= 95; + src <= 56; + when "01000010" => + dest <= 47; + src <= 23; + when "01000011" => + dest <= 99; + src <= 99; + when "01000100" => + dest <= 7; + src <= 47; + when "01000101" => + dest <= 99; + src <= 99; + when "01000110" => + dest <= 31; + src <= 65; + when "01000111" => + dest <= 84; + src <= 93; + when "01001000" => + dest <= 66; + src <= 74; + when "01001001" => + dest <= 99; + src <= 81; + when "01001010" => + dest <= 99; + src <= 99; + when "01001011" => + dest <= 99; + src <= 41; + when "01001100" => + dest <= 73; + src <= 99; + when "01001101" => + dest <= 40; + src <= 99; + when "01001110" => + dest <= 99; + src <= 57; + when "01001111" => + dest <= 16; + src <= 4; + when "01010000" => + dest <= 99; + src <= 22; + when "01010001" => + dest <= 27; + src <= 99; + when "01010010" => + dest <= 22; + src <= 99; + when "01010011" => + dest <= 62; + src <= 99; + when "01010100" => + dest <= 99; + src <= 15; + when "01010101" => + dest <= 99; + src <= 48; + when "01010110" => + dest <= 51; + src <= 72; + when "01010111" => + dest <= 99; + src <= 99; + when "01011000" => + dest <= 38; + src <= 61; + when "01011001" => + dest <= 90; + src <= 99; + when "01011010" => + dest <= 48; + src <= 66; + when "01011011" => + dest <= 99; + src <= 26; + when "01011100" => + dest <= 13; + src <= 98; + when "01011101" => + dest <= 28; + src <= 99; + when "01011110" => + dest <= 99; + src <= 37; + when "01011111" => + dest <= 57; + src <= 50; + when "01100000" => + dest <= 4; + src <= 99; + when "01100001" => + dest <= 99; + src <= 99; + when "01100010" => + dest <= 99; + src <= 40; + when "01100011" => + dest <= 17; + src <= 60; + when "01100100" => + dest <= 83; + src <= 75; + when "01100101" => + dest <= 76; + src <= 99; + when "01100110" => + dest <= 99; + src <= 99; + when "01100111" => + dest <= 72; + src <= 31; + when "01101000" => + dest <= 18; + src <= 84; + when "01101001" => + dest <= 61; + src <= 71; + when "01101010" => + dest <= 99; + src <= 99; + when "01101011" => + dest <= 6; + src <= 5; + when "01101100" => + dest <= 50; + src <= 12; + when "01101101" => + dest <= 99; + src <= 70; + when "01101110" => + dest <= 21; + src <= 16; + when "01101111" => + dest <= 99; + src <= 99; + when "01110000" => + dest <= 58; + src <= 27; + when "01110001" => + dest <= 12; + src <= 82; + when "01110010" => + dest <= 99; + src <= 99; + when "01110011" => + dest <= 99; + src <= 38; + when "01110100" => + dest <= 67; + src <= 99; + when "01110101" => + dest <= 3; + src <= 67; + when "01110110" => + dest <= 99; + src <= 99; + when "01110111" => + dest <= 49; + src <= 30; + when "01111000" => + dest <= 99; + src <= 76; + when "01111001" => + dest <= 94; + src <= 99; + when "01111010" => + dest <= 99; + src <= 99; + when "01111011" => + dest <= 99; + src <= 21; + when "01111100" => + dest <= 86; + src <= 85; + when "01111101" => + dest <= 82; + src <= 99; + when "01111110" => + dest <= 99; + src <= 49; + when "01111111" => + dest <= 71; + src <= 99; + when "10000000" => + dest <= 99; + src <= 94; + when "10000001" => + dest <= 60; + src <= 99; + when "10000010" => + dest <= 99; + src <= 2; + when "10000011" => + dest <= 20; + src <= 6; + when "10000100" => + dest <= 68; + src <= 99; + when "10000101" => + dest <= 11; + src <= 17; + when "10000110" => + dest <= 99; + src <= 99; + when "10000111" => + dest <= 77; + src <= 28; + when "10001000" => + dest <= 2; + src <= 99; + when "10001001" => + dest <= 59; + src <= 68; + when "10001010" => + dest <= 99; + src <= 20; + when "10001011" => + dest <= 93; + src <= 77; + when "10001100" => + dest <= 99; + src <= 99; + when "10001101" => + dest <= 99; + src <= 11; + when "10001110" => + dest <= 81; + src <= 86; + when "10001111" => + dest <= 70; + src <= 39; + when "10010000" => + dest <= 99; + src <= 99; + when "10010001" => + dest <= 78; + src <= 95; + when "10010010" => + dest <= 10; + src <= 99; + when "10010011" => + dest <= 69; + src <= 7; + when "10010100" => + dest <= 92; + src <= 18; + when "10010101" => + dest <= 99; + src <= 99; + when "10010110" => + dest <= 80; + src <= 10; + when "10010111" => + dest <= 79; + src <= 78; + when "10011000" => + dest <= 91; + src <= 29; + when "10011001" => + dest <= 99; + src <= 96; + when "10011010" => + dest <= 99; + src <= 8; + when "10011011" => + dest <= 44; + src <= 19; + when "10011100" => + dest <= 33; + src <= 97; + when others => + dest <= 99; + src <= 99; + + end case; + end process; + + end generate NI_NUM99; + +end data; diff --git a/noc/vhdl/generated/bt10x10/router_ST.vhd b/noc/vhdl/generated/bt10x10/router_ST.vhd deleted file mode 100644 index 24d7c33..0000000 --- a/noc/vhdl/generated/bt10x10/router_ST.vhd +++ /dev/null @@ -1,973 +0,0 @@ -------------------------------------------------------------- --- router_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity router_ST is - port ( - count : in unsigned(7 downto 0); - sels : out select_signals - ); -end router_ST; - -architecture data of router_ST is -begin -- data - -process(count) begin - - case count is - - when "00000000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "00000001" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "00000010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "00000011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 0; - when "00000100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00000101" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "00000110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 2; - when "00000111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "00001000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00001001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "00001010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "00001011" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 0; - when "00001100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00001101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 1; - when "00001110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "00001111" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "00010000" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 2; - when "00010001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 1; - when "00010010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00010011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00010100" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "00010101" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "00010110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 0; - when "00010111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00011000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "00011001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "00011010" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "00011011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "00011100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "00011101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "00011110" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "00011111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00100000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00100001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 1; - when "00100010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "00100011" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 2; - when "00100100" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "00100101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "00100110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00100111" => - sels(0) <= 2; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "00101000" => - sels(0) <= 1; - sels(1) <= 3; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "00101001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "00101010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00101011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "00101100" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 2; - when "00101101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "00101110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "00101111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "00110000" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "00110001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00110010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "00110011" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "00110100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "00110101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "00110110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "00110111" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "00111000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "00111001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "00111010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 3; - when "00111011" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 2; - when "00111100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 1; - when "00111101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 0; - when "00111110" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "00111111" => - sels(0) <= 2; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "01000000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "01000001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "01000010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "01000011" => - sels(0) <= 1; - sels(1) <= 3; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "01000100" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 2; - when "01000101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "01000110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "01000111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "01001000" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "01001001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 1; - when "01001010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "01001011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "01001100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "01001101" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 0; - when "01001110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "01001111" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "01010000" => - sels(0) <= 2; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "01010001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "01010010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "01010011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 0; - when "01010100" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "01010101" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 2; - when "01010110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "01010111" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "01011000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "01011001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "01011010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "01011011" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "01011100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "01011101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "01011110" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 3; - when "01011111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 1; - when "01100000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "01100001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 0; - when "01100010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "01100011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "01100100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "01100101" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 3; - sels(4) <= 0; - when "01100110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "01100111" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "01101000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "01101001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 1; - when "01101010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "01101011" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "01101100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "01101101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 1; - when "01101110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 3; - when "01101111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "01110000" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "01110001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "01110010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "01110011" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "01110100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "01110101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "01110110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "01110111" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "01111000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "01111001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "01111010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "01111011" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "01111100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "01111101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "01111110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "01111111" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "10000000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "10000001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "10000010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "10000011" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "10000100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "10000101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "10000110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "10000111" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "10001000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "10001001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "10001010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "10001011" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "10001100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "10001101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "10001110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "10001111" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "10010000" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "10010001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "10010010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "10010011" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "10010100" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "10010101" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "10010110" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "10010111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "10011000" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "10011001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "10011010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "10011011" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "10011100" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when others => sels <= (others => 0); - - end case; -end process; - -end data; diff --git a/noc/vhdl/generated/bt10x10/router_ST_100.vhd b/noc/vhdl/generated/bt10x10/router_ST_100.vhd new file mode 100644 index 0000000..c57ca84 --- /dev/null +++ b/noc/vhdl/generated/bt10x10/router_ST_100.vhd @@ -0,0 +1,975 @@ +------------------------------------------------------------- +-- router_ST_100.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity router_ST_100 is + generic ( + NI_NUM : natural + ); + port ( + count : in unsigned(7 downto 0); + sels : out select_signals + ); +end router_ST_100; + +architecture data of router_ST_100 is +begin -- data + +process(count) begin + + case count is + + when "00000000" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "00000001" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "00000010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= D; + when "00000011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= D; + when "00000100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00000101" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= E; + sels(L) <= N; + when "00000110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= S; + when "00000111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= L; + sels(L) <= E; + when "00001000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00001001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "00001010" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "00001011" => + sels(N) <= E; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= D; + when "00001100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00001101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= E; + when "00001110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "00001111" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "00010000" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= S; + when "00010001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= E; + when "00010010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00010011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00010100" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= W; + sels(W) <= E; + sels(L) <= N; + when "00010101" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "00010110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= D; + when "00010111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00011000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "00011001" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "00011010" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "00011011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= L; + sels(L) <= E; + when "00011100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "00011101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= D; + when "00011110" => + sels(N) <= E; + sels(E) <= S; + sels(S) <= N; + sels(W) <= L; + sels(L) <= W; + when "00011111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00100000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00100001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= E; + when "00100010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "00100011" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= S; + when "00100100" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= N; + sels(L) <= E; + when "00100101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "00100110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00100111" => + sels(N) <= W; + sels(E) <= L; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "00101000" => + sels(N) <= S; + sels(E) <= N; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "00101001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "00101010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00101011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "00101100" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= S; + when "00101101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "00101110" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "00101111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= L; + sels(L) <= E; + when "00110000" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "00110001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00110010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "00110011" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "00110100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= L; + sels(L) <= E; + when "00110101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= D; + when "00110110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= D; + when "00110111" => + sels(N) <= E; + sels(E) <= S; + sels(S) <= N; + sels(W) <= L; + sels(L) <= W; + when "00111000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "00111001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "00111010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= N; + sels(L) <= W; + when "00111011" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= S; + when "00111100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= E; + when "00111101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= N; + sels(L) <= D; + when "00111110" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "00111111" => + sels(N) <= W; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "01000000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "01000001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "01000010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "01000011" => + sels(N) <= S; + sels(E) <= N; + sels(S) <= W; + sels(W) <= L; + sels(L) <= D; + when "01000100" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= S; + when "01000101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "01000110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "01000111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "01001000" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "01001001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= E; + when "01001010" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "01001011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "01001100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "01001101" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= D; + when "01001110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "01001111" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "01010000" => + sels(N) <= W; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= E; + when "01010001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= D; + when "01010010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "01010011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= D; + when "01010100" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "01010101" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= S; + when "01010110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "01010111" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "01011000" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "01011001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "01011010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= N; + when "01011011" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= W; + when "01011100" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= W; + when "01011101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "01011110" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= N; + sels(L) <= W; + when "01011111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= E; + when "01100000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "01100001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= D; + when "01100010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= N; + sels(L) <= E; + when "01100011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "01100100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "01100101" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= L; + sels(W) <= S; + sels(L) <= D; + when "01100110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "01100111" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= N; + sels(L) <= E; + when "01101000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "01101001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= E; + when "01101010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= D; + when "01101011" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "01101100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "01101101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= E; + when "01101110" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= N; + sels(L) <= W; + when "01101111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= D; + when "01110000" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "01110001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= E; + when "01110010" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= D; + sels(L) <= D; + when "01110011" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= W; + when "01110100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "01110101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "01110110" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= W; + sels(W) <= S; + sels(L) <= D; + when "01110111" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "01111000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "01111001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= D; + when "01111010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= D; + when "01111011" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= D; + sels(L) <= E; + when "01111100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "01111101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "01111110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= S; + when "01111111" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= D; + when "10000000" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "10000001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= D; + when "10000010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= E; + when "10000011" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "10000100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "10000101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "10000110" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= D; + sels(L) <= D; + when "10000111" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= W; + when "10001000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "10001001" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= W; + sels(W) <= S; + sels(L) <= N; + when "10001010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "10001011" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= N; + when "10001100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= D; + when "10001101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= D; + sels(L) <= E; + when "10001110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "10001111" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= S; + when "10010000" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "10010001" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "10010010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "10010011" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "10010100" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "10010101" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= W; + sels(W) <= S; + sels(L) <= D; + when "10010110" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "10010111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "10011000" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= S; + when "10011001" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "10011010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "10011011" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= S; + when "10011100" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when others => sels <= (others => D); + + end case; +end process; + +end data; diff --git a/noc/vhdl/generated/bt2x2/ni_ST.vhd b/noc/vhdl/generated/bt2x2/ni_ST_4.vhd similarity index 86% rename from noc/vhdl/generated/bt2x2/ni_ST.vhd rename to noc/vhdl/generated/bt2x2/ni_ST_4.vhd index 5513d09..136311b 100644 --- a/noc/vhdl/generated/bt2x2/ni_ST.vhd +++ b/noc/vhdl/generated/bt2x2/ni_ST_4.vhd @@ -1,141 +1,140 @@ -------------------------------------------------------------- --- ni_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity ni_ST is - generic ( - NI_NUM : natural); - port ( - count : in unsigned(2 downto 0); - dest : out integer range 0 to 4; - src : out integer range 0 to 4 - ); -end ni_ST; - -architecture data of ni_ST is -begin -- data - - NI_NUM0 : if NI_NUM = 0 generate - process(count) begin - - case count is - - when "000" => - dest <= 2; - src <= 0; - when "001" => - dest <= 1; - src <= 0; - when "010" => - dest <= 0; - src <= 3; - when "011" => - dest <= 3; - src <= 2; - when "100" => - dest <= 0; - src <= 1; - when others => - dest <= 0; - src <= 0; - - end case; - end process; - - end generate NI_NUM0; - - NI_NUM1 : if NI_NUM = 1 generate - process(count) begin - - case count is - - when "000" => - dest <= 3; - src <= 1; - when "001" => - dest <= 0; - src <= 1; - when "010" => - dest <= 1; - src <= 2; - when "011" => - dest <= 2; - src <= 3; - when "100" => - dest <= 1; - src <= 0; - when others => - dest <= 1; - src <= 1; - - end case; - end process; - - end generate NI_NUM1; - - NI_NUM2 : if NI_NUM = 2 generate - process(count) begin - - case count is - - when "000" => - dest <= 0; - src <= 2; - when "001" => - dest <= 3; - src <= 2; - when "010" => - dest <= 2; - src <= 1; - when "011" => - dest <= 1; - src <= 0; - when "100" => - dest <= 2; - src <= 3; - when others => - dest <= 2; - src <= 2; - - end case; - end process; - - end generate NI_NUM2; - - NI_NUM3 : if NI_NUM = 3 generate - process(count) begin - - case count is - - when "000" => - dest <= 1; - src <= 3; - when "001" => - dest <= 2; - src <= 3; - when "010" => - dest <= 3; - src <= 0; - when "011" => - dest <= 0; - src <= 1; - when "100" => - dest <= 3; - src <= 2; - when others => - dest <= 3; - src <= 3; - - end case; - end process; - - end generate NI_NUM3; - -end data; +------------------------------------------------------------- +-- ni_ST_4.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity ni_ST_4 is + generic ( + NI_NUM : natural); + port ( + count : in unsigned(2 downto 0); + dest : out integer range 0 to 3; + src : out integer range 0 to 3 + ); +end ni_ST_4; + +architecture data of ni_ST_4 is +begin -- data + + NI_NUM0 : if NI_NUM = 0 generate + process(count) begin + + case count is + + when "000" => + dest <= 2; + src <= 0; + when "001" => + dest <= 1; + src <= 0; + when "010" => + dest <= 0; + src <= 3; + when "011" => + dest <= 3; + src <= 2; + when "100" => + dest <= 0; + src <= 1; + when others => + dest <= 0; + src <= 0; + + end case; + end process; + + end generate NI_NUM0; + + NI_NUM1 : if NI_NUM = 1 generate + process(count) begin + + case count is + + when "000" => + dest <= 3; + src <= 1; + when "001" => + dest <= 0; + src <= 1; + when "010" => + dest <= 1; + src <= 2; + when "011" => + dest <= 2; + src <= 3; + when "100" => + dest <= 1; + src <= 0; + when others => + dest <= 1; + src <= 1; + + end case; + end process; + + end generate NI_NUM1; + + NI_NUM2 : if NI_NUM = 2 generate + process(count) begin + + case count is + + when "000" => + dest <= 0; + src <= 2; + when "001" => + dest <= 3; + src <= 2; + when "010" => + dest <= 2; + src <= 1; + when "011" => + dest <= 1; + src <= 0; + when "100" => + dest <= 2; + src <= 3; + when others => + dest <= 2; + src <= 2; + + end case; + end process; + + end generate NI_NUM2; + + NI_NUM3 : if NI_NUM = 3 generate + process(count) begin + + case count is + + when "000" => + dest <= 1; + src <= 3; + when "001" => + dest <= 2; + src <= 3; + when "010" => + dest <= 3; + src <= 0; + when "011" => + dest <= 0; + src <= 1; + when "100" => + dest <= 3; + src <= 2; + when others => + dest <= 3; + src <= 3; + + end case; + end process; + + end generate NI_NUM3; + +end data; diff --git a/noc/vhdl/generated/bt2x2/router_ST.vhd b/noc/vhdl/generated/bt2x2/router_ST.vhd deleted file mode 100644 index b3f6a63..0000000 --- a/noc/vhdl/generated/bt2x2/router_ST.vhd +++ /dev/null @@ -1,61 +0,0 @@ -------------------------------------------------------------- --- router_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity router_ST is - port ( - count : in unsigned(2 downto 0); - sels : out select_signals - ); -end router_ST; - -architecture data of router_ST is -begin -- data - -process(count) begin - - case count is - - when "000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "001" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "011" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "100" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when others => sels <= (others => 0); - - end case; -end process; - -end data; diff --git a/noc/vhdl/generated/bt2x2/router_ST_4.vhd b/noc/vhdl/generated/bt2x2/router_ST_4.vhd new file mode 100644 index 0000000..fda81fb --- /dev/null +++ b/noc/vhdl/generated/bt2x2/router_ST_4.vhd @@ -0,0 +1,63 @@ +------------------------------------------------------------- +-- router_ST_4.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity router_ST_4 is + generic ( + NI_NUM : natural + ); + port ( + count : in unsigned(2 downto 0); + sels : out select_signals + ); +end router_ST_4; + +architecture data of router_ST_4 is +begin -- data + +process(count) begin + + case count is + + when "000" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "001" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "010" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "011" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= S; + when "100" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when others => sels <= (others => D); + + end case; +end process; + +end data; diff --git a/noc/vhdl/generated/bt3x3/ni_ST.vhd b/noc/vhdl/generated/bt3x3/ni_ST_9.vhd similarity index 91% rename from noc/vhdl/generated/bt3x3/ni_ST.vhd rename to noc/vhdl/generated/bt3x3/ni_ST_9.vhd index 8a41db0..fc57ca3 100644 --- a/noc/vhdl/generated/bt3x3/ni_ST.vhd +++ b/noc/vhdl/generated/bt3x3/ni_ST_9.vhd @@ -1,421 +1,420 @@ -------------------------------------------------------------- --- ni_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity ni_ST is - generic ( - NI_NUM : natural); - port ( - count : in unsigned(3 downto 0); - dest : out integer range 0 to 9; - src : out integer range 0 to 9 - ); -end ni_ST; - -architecture data of ni_ST is -begin -- data - - NI_NUM0 : if NI_NUM = 0 generate - process(count) begin - - case count is - - when "0000" => - dest <= 4; - src <= 0; - when "0001" => - dest <= 5; - src <= 0; - when "0010" => - dest <= 0; - src <= 5; - when "0011" => - dest <= 6; - src <= 4; - when "0100" => - dest <= 1; - src <= 8; - when "0101" => - dest <= 3; - src <= 7; - when "0110" => - dest <= 2; - src <= 3; - when "0111" => - dest <= 0; - src <= 2; - when "1000" => - dest <= 7; - src <= 6; - when "1001" => - dest <= 8; - src <= 1; - when others => - dest <= 0; - src <= 0; - - end case; - end process; - - end generate NI_NUM0; - - NI_NUM1 : if NI_NUM = 1 generate - process(count) begin - - case count is - - when "0000" => - dest <= 5; - src <= 1; - when "0001" => - dest <= 3; - src <= 1; - when "0010" => - dest <= 1; - src <= 3; - when "0011" => - dest <= 7; - src <= 5; - when "0100" => - dest <= 2; - src <= 6; - when "0101" => - dest <= 4; - src <= 8; - when "0110" => - dest <= 0; - src <= 4; - when "0111" => - dest <= 1; - src <= 0; - when "1000" => - dest <= 8; - src <= 7; - when "1001" => - dest <= 6; - src <= 2; - when others => - dest <= 1; - src <= 1; - - end case; - end process; - - end generate NI_NUM1; - - NI_NUM2 : if NI_NUM = 2 generate - process(count) begin - - case count is - - when "0000" => - dest <= 3; - src <= 2; - when "0001" => - dest <= 4; - src <= 2; - when "0010" => - dest <= 2; - src <= 4; - when "0011" => - dest <= 8; - src <= 3; - when "0100" => - dest <= 0; - src <= 7; - when "0101" => - dest <= 5; - src <= 6; - when "0110" => - dest <= 1; - src <= 5; - when "0111" => - dest <= 2; - src <= 1; - when "1000" => - dest <= 6; - src <= 8; - when "1001" => - dest <= 7; - src <= 0; - when others => - dest <= 2; - src <= 2; - - end case; - end process; - - end generate NI_NUM2; - - NI_NUM3 : if NI_NUM = 3 generate - process(count) begin - - case count is - - when "0000" => - dest <= 7; - src <= 3; - when "0001" => - dest <= 8; - src <= 3; - when "0010" => - dest <= 3; - src <= 8; - when "0011" => - dest <= 0; - src <= 7; - when "0100" => - dest <= 4; - src <= 2; - when "0101" => - dest <= 6; - src <= 1; - when "0110" => - dest <= 5; - src <= 6; - when "0111" => - dest <= 3; - src <= 5; - when "1000" => - dest <= 1; - src <= 0; - when "1001" => - dest <= 2; - src <= 4; - when others => - dest <= 3; - src <= 3; - - end case; - end process; - - end generate NI_NUM3; - - NI_NUM4 : if NI_NUM = 4 generate - process(count) begin - - case count is - - when "0000" => - dest <= 8; - src <= 4; - when "0001" => - dest <= 6; - src <= 4; - when "0010" => - dest <= 4; - src <= 6; - when "0011" => - dest <= 1; - src <= 8; - when "0100" => - dest <= 5; - src <= 0; - when "0101" => - dest <= 7; - src <= 2; - when "0110" => - dest <= 3; - src <= 7; - when "0111" => - dest <= 4; - src <= 3; - when "1000" => - dest <= 2; - src <= 1; - when "1001" => - dest <= 0; - src <= 5; - when others => - dest <= 4; - src <= 4; - - end case; - end process; - - end generate NI_NUM4; - - NI_NUM5 : if NI_NUM = 5 generate - process(count) begin - - case count is - - when "0000" => - dest <= 6; - src <= 5; - when "0001" => - dest <= 7; - src <= 5; - when "0010" => - dest <= 5; - src <= 7; - when "0011" => - dest <= 2; - src <= 6; - when "0100" => - dest <= 3; - src <= 1; - when "0101" => - dest <= 8; - src <= 0; - when "0110" => - dest <= 4; - src <= 8; - when "0111" => - dest <= 5; - src <= 4; - when "1000" => - dest <= 0; - src <= 2; - when "1001" => - dest <= 1; - src <= 3; - when others => - dest <= 5; - src <= 5; - - end case; - end process; - - end generate NI_NUM5; - - NI_NUM6 : if NI_NUM = 6 generate - process(count) begin - - case count is - - when "0000" => - dest <= 1; - src <= 6; - when "0001" => - dest <= 2; - src <= 6; - when "0010" => - dest <= 6; - src <= 2; - when "0011" => - dest <= 3; - src <= 1; - when "0100" => - dest <= 7; - src <= 5; - when "0101" => - dest <= 0; - src <= 4; - when "0110" => - dest <= 8; - src <= 0; - when "0111" => - dest <= 6; - src <= 8; - when "1000" => - dest <= 4; - src <= 3; - when "1001" => - dest <= 5; - src <= 7; - when others => - dest <= 6; - src <= 6; - - end case; - end process; - - end generate NI_NUM6; - - NI_NUM7 : if NI_NUM = 7 generate - process(count) begin - - case count is - - when "0000" => - dest <= 2; - src <= 7; - when "0001" => - dest <= 0; - src <= 7; - when "0010" => - dest <= 7; - src <= 0; - when "0011" => - dest <= 4; - src <= 2; - when "0100" => - dest <= 8; - src <= 3; - when "0101" => - dest <= 1; - src <= 5; - when "0110" => - dest <= 6; - src <= 1; - when "0111" => - dest <= 7; - src <= 6; - when "1000" => - dest <= 5; - src <= 4; - when "1001" => - dest <= 3; - src <= 8; - when others => - dest <= 7; - src <= 7; - - end case; - end process; - - end generate NI_NUM7; - - NI_NUM8 : if NI_NUM = 8 generate - process(count) begin - - case count is - - when "0000" => - dest <= 0; - src <= 8; - when "0001" => - dest <= 1; - src <= 8; - when "0010" => - dest <= 8; - src <= 1; - when "0011" => - dest <= 5; - src <= 0; - when "0100" => - dest <= 6; - src <= 4; - when "0101" => - dest <= 2; - src <= 3; - when "0110" => - dest <= 7; - src <= 2; - when "0111" => - dest <= 8; - src <= 7; - when "1000" => - dest <= 3; - src <= 5; - when "1001" => - dest <= 4; - src <= 6; - when others => - dest <= 8; - src <= 8; - - end case; - end process; - - end generate NI_NUM8; - -end data; +------------------------------------------------------------- +-- ni_ST_9.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity ni_ST_9 is + generic ( + NI_NUM : natural); + port ( + count : in unsigned(3 downto 0); + dest : out integer range 0 to 8; + src : out integer range 0 to 8 + ); +end ni_ST_9; + +architecture data of ni_ST_9 is +begin -- data + + NI_NUM0 : if NI_NUM = 0 generate + process(count) begin + + case count is + + when "0000" => + dest <= 4; + src <= 0; + when "0001" => + dest <= 5; + src <= 0; + when "0010" => + dest <= 0; + src <= 5; + when "0011" => + dest <= 6; + src <= 4; + when "0100" => + dest <= 1; + src <= 8; + when "0101" => + dest <= 3; + src <= 7; + when "0110" => + dest <= 2; + src <= 3; + when "0111" => + dest <= 0; + src <= 2; + when "1000" => + dest <= 7; + src <= 6; + when "1001" => + dest <= 8; + src <= 1; + when others => + dest <= 0; + src <= 0; + + end case; + end process; + + end generate NI_NUM0; + + NI_NUM1 : if NI_NUM = 1 generate + process(count) begin + + case count is + + when "0000" => + dest <= 5; + src <= 1; + when "0001" => + dest <= 3; + src <= 1; + when "0010" => + dest <= 1; + src <= 3; + when "0011" => + dest <= 7; + src <= 5; + when "0100" => + dest <= 2; + src <= 6; + when "0101" => + dest <= 4; + src <= 8; + when "0110" => + dest <= 0; + src <= 4; + when "0111" => + dest <= 1; + src <= 0; + when "1000" => + dest <= 8; + src <= 7; + when "1001" => + dest <= 6; + src <= 2; + when others => + dest <= 1; + src <= 1; + + end case; + end process; + + end generate NI_NUM1; + + NI_NUM2 : if NI_NUM = 2 generate + process(count) begin + + case count is + + when "0000" => + dest <= 3; + src <= 2; + when "0001" => + dest <= 4; + src <= 2; + when "0010" => + dest <= 2; + src <= 4; + when "0011" => + dest <= 8; + src <= 3; + when "0100" => + dest <= 0; + src <= 7; + when "0101" => + dest <= 5; + src <= 6; + when "0110" => + dest <= 1; + src <= 5; + when "0111" => + dest <= 2; + src <= 1; + when "1000" => + dest <= 6; + src <= 8; + when "1001" => + dest <= 7; + src <= 0; + when others => + dest <= 2; + src <= 2; + + end case; + end process; + + end generate NI_NUM2; + + NI_NUM3 : if NI_NUM = 3 generate + process(count) begin + + case count is + + when "0000" => + dest <= 7; + src <= 3; + when "0001" => + dest <= 8; + src <= 3; + when "0010" => + dest <= 3; + src <= 8; + when "0011" => + dest <= 0; + src <= 7; + when "0100" => + dest <= 4; + src <= 2; + when "0101" => + dest <= 6; + src <= 1; + when "0110" => + dest <= 5; + src <= 6; + when "0111" => + dest <= 3; + src <= 5; + when "1000" => + dest <= 1; + src <= 0; + when "1001" => + dest <= 2; + src <= 4; + when others => + dest <= 3; + src <= 3; + + end case; + end process; + + end generate NI_NUM3; + + NI_NUM4 : if NI_NUM = 4 generate + process(count) begin + + case count is + + when "0000" => + dest <= 8; + src <= 4; + when "0001" => + dest <= 6; + src <= 4; + when "0010" => + dest <= 4; + src <= 6; + when "0011" => + dest <= 1; + src <= 8; + when "0100" => + dest <= 5; + src <= 0; + when "0101" => + dest <= 7; + src <= 2; + when "0110" => + dest <= 3; + src <= 7; + when "0111" => + dest <= 4; + src <= 3; + when "1000" => + dest <= 2; + src <= 1; + when "1001" => + dest <= 0; + src <= 5; + when others => + dest <= 4; + src <= 4; + + end case; + end process; + + end generate NI_NUM4; + + NI_NUM5 : if NI_NUM = 5 generate + process(count) begin + + case count is + + when "0000" => + dest <= 6; + src <= 5; + when "0001" => + dest <= 7; + src <= 5; + when "0010" => + dest <= 5; + src <= 7; + when "0011" => + dest <= 2; + src <= 6; + when "0100" => + dest <= 3; + src <= 1; + when "0101" => + dest <= 8; + src <= 0; + when "0110" => + dest <= 4; + src <= 8; + when "0111" => + dest <= 5; + src <= 4; + when "1000" => + dest <= 0; + src <= 2; + when "1001" => + dest <= 1; + src <= 3; + when others => + dest <= 5; + src <= 5; + + end case; + end process; + + end generate NI_NUM5; + + NI_NUM6 : if NI_NUM = 6 generate + process(count) begin + + case count is + + when "0000" => + dest <= 1; + src <= 6; + when "0001" => + dest <= 2; + src <= 6; + when "0010" => + dest <= 6; + src <= 2; + when "0011" => + dest <= 3; + src <= 1; + when "0100" => + dest <= 7; + src <= 5; + when "0101" => + dest <= 0; + src <= 4; + when "0110" => + dest <= 8; + src <= 0; + when "0111" => + dest <= 6; + src <= 8; + when "1000" => + dest <= 4; + src <= 3; + when "1001" => + dest <= 5; + src <= 7; + when others => + dest <= 6; + src <= 6; + + end case; + end process; + + end generate NI_NUM6; + + NI_NUM7 : if NI_NUM = 7 generate + process(count) begin + + case count is + + when "0000" => + dest <= 2; + src <= 7; + when "0001" => + dest <= 0; + src <= 7; + when "0010" => + dest <= 7; + src <= 0; + when "0011" => + dest <= 4; + src <= 2; + when "0100" => + dest <= 8; + src <= 3; + when "0101" => + dest <= 1; + src <= 5; + when "0110" => + dest <= 6; + src <= 1; + when "0111" => + dest <= 7; + src <= 6; + when "1000" => + dest <= 5; + src <= 4; + when "1001" => + dest <= 3; + src <= 8; + when others => + dest <= 7; + src <= 7; + + end case; + end process; + + end generate NI_NUM7; + + NI_NUM8 : if NI_NUM = 8 generate + process(count) begin + + case count is + + when "0000" => + dest <= 0; + src <= 8; + when "0001" => + dest <= 1; + src <= 8; + when "0010" => + dest <= 8; + src <= 1; + when "0011" => + dest <= 5; + src <= 0; + when "0100" => + dest <= 6; + src <= 4; + when "0101" => + dest <= 2; + src <= 3; + when "0110" => + dest <= 7; + src <= 2; + when "0111" => + dest <= 8; + src <= 7; + when "1000" => + dest <= 3; + src <= 5; + when "1001" => + dest <= 4; + src <= 6; + when others => + dest <= 8; + src <= 8; + + end case; + end process; + + end generate NI_NUM8; + +end data; diff --git a/noc/vhdl/generated/bt3x3/router_ST.vhd b/noc/vhdl/generated/bt3x3/router_ST.vhd deleted file mode 100644 index f0f1009..0000000 --- a/noc/vhdl/generated/bt3x3/router_ST.vhd +++ /dev/null @@ -1,91 +0,0 @@ -------------------------------------------------------------- --- router_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity router_ST is - port ( - count : in unsigned(3 downto 0); - sels : out select_signals - ); -end router_ST; - -architecture data of router_ST is -begin -- data - -process(count) begin - - case count is - - when "0000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0010" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 3; - when "0011" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "0100" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 3; - sels(3) <= 0; - sels(4) <= 0; - when "0101" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0110" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "0111" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 3; - when "1000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "1001" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when others => sels <= (others => 0); - - end case; -end process; - -end data; diff --git a/noc/vhdl/generated/bt3x3/router_ST_9.vhd b/noc/vhdl/generated/bt3x3/router_ST_9.vhd new file mode 100644 index 0000000..c3686e7 --- /dev/null +++ b/noc/vhdl/generated/bt3x3/router_ST_9.vhd @@ -0,0 +1,93 @@ +------------------------------------------------------------- +-- router_ST_9.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity router_ST_9 is + generic ( + NI_NUM : natural + ); + port ( + count : in unsigned(3 downto 0); + sels : out select_signals + ); +end router_ST_9; + +architecture data of router_ST_9 is +begin -- data + +process(count) begin + + case count is + + when "0000" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "0001" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "0010" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= D; + sels(W) <= S; + sels(L) <= W; + when "0011" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= W; + sels(W) <= L; + sels(L) <= E; + when "0100" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= E; + sels(W) <= D; + sels(L) <= N; + when "0101" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "0110" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= S; + when "0111" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= L; + sels(W) <= D; + sels(L) <= W; + when "1000" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= L; + sels(L) <= N; + when "1001" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= E; + when others => sels <= (others => D); + + end case; +end process; + +end data; diff --git a/noc/vhdl/generated/bt4x4/ni_ST.vhd b/noc/vhdl/generated/bt4x4/ni_ST_16.vhd similarity index 99% rename from noc/vhdl/generated/bt4x4/ni_ST.vhd rename to noc/vhdl/generated/bt4x4/ni_ST_16.vhd index c0557fb..9b995fc 100644 --- a/noc/vhdl/generated/bt4x4/ni_ST.vhd +++ b/noc/vhdl/generated/bt4x4/ni_ST_16.vhd @@ -1,15 +1,14 @@ ------------------------------------------------------------- --- ni_ST.vhd +-- ni_ST_16.vhd -- This is an auto generated file, do not edit by hand. ------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -use work.leros_types.all; use work.noc_types.all; -entity ni_ST is +entity ni_ST_16 is generic ( NI_NUM : natural); port ( @@ -17,9 +16,9 @@ entity ni_ST is dest : out integer range 0 to 15; src : out integer range 0 to 15 ); -end ni_ST; +end ni_ST_16; -architecture data of ni_ST is +architecture data of ni_ST_16 is begin -- data NI_NUM0 : if NI_NUM = 0 generate diff --git a/noc/vhdl/generated/bt4x4/router_ST.vhd b/noc/vhdl/generated/bt4x4/router_ST_16.vhd similarity index 94% rename from noc/vhdl/generated/bt4x4/router_ST.vhd rename to noc/vhdl/generated/bt4x4/router_ST_16.vhd index 8990356..dbaab7e 100644 --- a/noc/vhdl/generated/bt4x4/router_ST.vhd +++ b/noc/vhdl/generated/bt4x4/router_ST_16.vhd @@ -1,22 +1,24 @@ ------------------------------------------------------------- --- router_ST.vhd +-- router_ST_16.vhd -- This is an auto generated file, do not edit by hand. ------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -use work.leros_types.all; use work.noc_types.all; -entity router_ST is +entity router_ST_16 is + generic ( + NI_NUM : natural + ); port ( count : in unsigned(4 downto 0); sels : out select_signals ); -end router_ST; +end router_ST_16; -architecture data of router_ST is +architecture data of router_ST_16 is begin -- data process(count) begin diff --git a/noc/vhdl/generated/bt5x5/ni_ST.vhd b/noc/vhdl/generated/bt5x5/ni_ST_25.vhd similarity index 93% rename from noc/vhdl/generated/bt5x5/ni_ST.vhd rename to noc/vhdl/generated/bt5x5/ni_ST_25.vhd index 3582fe2..1148516 100644 --- a/noc/vhdl/generated/bt5x5/ni_ST.vhd +++ b/noc/vhdl/generated/bt5x5/ni_ST_25.vhd @@ -1,2400 +1,2399 @@ -------------------------------------------------------------- --- ni_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity ni_ST is - generic ( - NI_NUM : natural); - port ( - count : in unsigned(4 downto 0); - dest : out integer range 0 to 25; - src : out integer range 0 to 25 - ); -end ni_ST; - -architecture data of ni_ST is -begin -- data - - NI_NUM0 : if NI_NUM = 0 generate - process(count) begin - - case count is - - when "00000" => - dest <= 20; - src <= 0; - when "00001" => - dest <= 18; - src <= 0; - when "00010" => - dest <= 12; - src <= 0; - when "00011" => - dest <= 5; - src <= 5; - when "00100" => - dest <= 22; - src <= 13; - when "00101" => - dest <= 23; - src <= 17; - when "00110" => - dest <= 16; - src <= 20; - when "00111" => - dest <= 8; - src <= 12; - when "01000" => - dest <= 19; - src <= 18; - when "01001" => - dest <= 11; - src <= 8; - when "01010" => - dest <= 7; - src <= 7; - when "01011" => - dest <= 0; - src <= 14; - when "01100" => - dest <= 21; - src <= 22; - when "01101" => - dest <= 14; - src <= 11; - when "01110" => - dest <= 1; - src <= 19; - when "01111" => - dest <= 24; - src <= 23; - when "10000" => - dest <= 15; - src <= 9; - when "10001" => - dest <= 6; - src <= 4; - when "10010" => - dest <= 2; - src <= 16; - when "10011" => - dest <= 9; - src <= 6; - when "10100" => - dest <= 10; - src <= 10; - when "10101" => - dest <= 3; - src <= 24; - when "10110" => - dest <= 0; - src <= 3; - when "10111" => - dest <= 4; - src <= 21; - when "11000" => - dest <= 0; - src <= 15; - when "11001" => - dest <= 17; - src <= 2; - when "11010" => - dest <= 13; - src <= 1; - when others => - dest <= 0; - src <= 0; - - end case; - end process; - - end generate NI_NUM0; - - NI_NUM1 : if NI_NUM = 1 generate - process(count) begin - - case count is - - when "00000" => - dest <= 21; - src <= 1; - when "00001" => - dest <= 19; - src <= 1; - when "00010" => - dest <= 13; - src <= 1; - when "00011" => - dest <= 6; - src <= 6; - when "00100" => - dest <= 23; - src <= 14; - when "00101" => - dest <= 24; - src <= 18; - when "00110" => - dest <= 17; - src <= 21; - when "00111" => - dest <= 9; - src <= 13; - when "01000" => - dest <= 15; - src <= 19; - when "01001" => - dest <= 12; - src <= 9; - when "01010" => - dest <= 8; - src <= 8; - when "01011" => - dest <= 1; - src <= 10; - when "01100" => - dest <= 22; - src <= 23; - when "01101" => - dest <= 10; - src <= 12; - when "01110" => - dest <= 2; - src <= 15; - when "01111" => - dest <= 20; - src <= 24; - when "10000" => - dest <= 16; - src <= 5; - when "10001" => - dest <= 7; - src <= 0; - when "10010" => - dest <= 3; - src <= 17; - when "10011" => - dest <= 5; - src <= 7; - when "10100" => - dest <= 11; - src <= 11; - when "10101" => - dest <= 4; - src <= 20; - when "10110" => - dest <= 1; - src <= 4; - when "10111" => - dest <= 0; - src <= 22; - when "11000" => - dest <= 1; - src <= 16; - when "11001" => - dest <= 18; - src <= 3; - when "11010" => - dest <= 14; - src <= 2; - when others => - dest <= 1; - src <= 1; - - end case; - end process; - - end generate NI_NUM1; - - NI_NUM2 : if NI_NUM = 2 generate - process(count) begin - - case count is - - when "00000" => - dest <= 22; - src <= 2; - when "00001" => - dest <= 15; - src <= 2; - when "00010" => - dest <= 14; - src <= 2; - when "00011" => - dest <= 7; - src <= 7; - when "00100" => - dest <= 24; - src <= 10; - when "00101" => - dest <= 20; - src <= 19; - when "00110" => - dest <= 18; - src <= 22; - when "00111" => - dest <= 5; - src <= 14; - when "01000" => - dest <= 16; - src <= 15; - when "01001" => - dest <= 13; - src <= 5; - when "01010" => - dest <= 9; - src <= 9; - when "01011" => - dest <= 2; - src <= 11; - when "01100" => - dest <= 23; - src <= 24; - when "01101" => - dest <= 11; - src <= 13; - when "01110" => - dest <= 3; - src <= 16; - when "01111" => - dest <= 21; - src <= 20; - when "10000" => - dest <= 17; - src <= 6; - when "10001" => - dest <= 8; - src <= 1; - when "10010" => - dest <= 4; - src <= 18; - when "10011" => - dest <= 6; - src <= 8; - when "10100" => - dest <= 12; - src <= 12; - when "10101" => - dest <= 0; - src <= 21; - when "10110" => - dest <= 2; - src <= 0; - when "10111" => - dest <= 1; - src <= 23; - when "11000" => - dest <= 2; - src <= 17; - when "11001" => - dest <= 19; - src <= 4; - when "11010" => - dest <= 10; - src <= 3; - when others => - dest <= 2; - src <= 2; - - end case; - end process; - - end generate NI_NUM2; - - NI_NUM3 : if NI_NUM = 3 generate - process(count) begin - - case count is - - when "00000" => - dest <= 23; - src <= 3; - when "00001" => - dest <= 16; - src <= 3; - when "00010" => - dest <= 10; - src <= 3; - when "00011" => - dest <= 8; - src <= 8; - when "00100" => - dest <= 20; - src <= 11; - when "00101" => - dest <= 21; - src <= 15; - when "00110" => - dest <= 19; - src <= 23; - when "00111" => - dest <= 6; - src <= 10; - when "01000" => - dest <= 17; - src <= 16; - when "01001" => - dest <= 14; - src <= 6; - when "01010" => - dest <= 5; - src <= 5; - when "01011" => - dest <= 3; - src <= 12; - when "01100" => - dest <= 24; - src <= 20; - when "01101" => - dest <= 12; - src <= 14; - when "01110" => - dest <= 4; - src <= 17; - when "01111" => - dest <= 22; - src <= 21; - when "10000" => - dest <= 18; - src <= 7; - when "10001" => - dest <= 9; - src <= 2; - when "10010" => - dest <= 0; - src <= 19; - when "10011" => - dest <= 7; - src <= 9; - when "10100" => - dest <= 13; - src <= 13; - when "10101" => - dest <= 1; - src <= 22; - when "10110" => - dest <= 3; - src <= 1; - when "10111" => - dest <= 2; - src <= 24; - when "11000" => - dest <= 3; - src <= 18; - when "11001" => - dest <= 15; - src <= 0; - when "11010" => - dest <= 11; - src <= 4; - when others => - dest <= 3; - src <= 3; - - end case; - end process; - - end generate NI_NUM3; - - NI_NUM4 : if NI_NUM = 4 generate - process(count) begin - - case count is - - when "00000" => - dest <= 24; - src <= 4; - when "00001" => - dest <= 17; - src <= 4; - when "00010" => - dest <= 11; - src <= 4; - when "00011" => - dest <= 9; - src <= 9; - when "00100" => - dest <= 21; - src <= 12; - when "00101" => - dest <= 22; - src <= 16; - when "00110" => - dest <= 15; - src <= 24; - when "00111" => - dest <= 7; - src <= 11; - when "01000" => - dest <= 18; - src <= 17; - when "01001" => - dest <= 10; - src <= 7; - when "01010" => - dest <= 6; - src <= 6; - when "01011" => - dest <= 4; - src <= 13; - when "01100" => - dest <= 20; - src <= 21; - when "01101" => - dest <= 13; - src <= 10; - when "01110" => - dest <= 0; - src <= 18; - when "01111" => - dest <= 23; - src <= 22; - when "10000" => - dest <= 19; - src <= 8; - when "10001" => - dest <= 5; - src <= 3; - when "10010" => - dest <= 1; - src <= 15; - when "10011" => - dest <= 8; - src <= 5; - when "10100" => - dest <= 14; - src <= 14; - when "10101" => - dest <= 2; - src <= 23; - when "10110" => - dest <= 4; - src <= 2; - when "10111" => - dest <= 3; - src <= 20; - when "11000" => - dest <= 4; - src <= 19; - when "11001" => - dest <= 16; - src <= 1; - when "11010" => - dest <= 12; - src <= 0; - when others => - dest <= 4; - src <= 4; - - end case; - end process; - - end generate NI_NUM4; - - NI_NUM5 : if NI_NUM = 5 generate - process(count) begin - - case count is - - when "00000" => - dest <= 0; - src <= 5; - when "00001" => - dest <= 23; - src <= 5; - when "00010" => - dest <= 17; - src <= 5; - when "00011" => - dest <= 10; - src <= 10; - when "00100" => - dest <= 2; - src <= 18; - when "00101" => - dest <= 3; - src <= 22; - when "00110" => - dest <= 21; - src <= 0; - when "00111" => - dest <= 13; - src <= 17; - when "01000" => - dest <= 24; - src <= 23; - when "01001" => - dest <= 16; - src <= 13; - when "01010" => - dest <= 12; - src <= 12; - when "01011" => - dest <= 5; - src <= 19; - when "01100" => - dest <= 1; - src <= 2; - when "01101" => - dest <= 19; - src <= 16; - when "01110" => - dest <= 6; - src <= 24; - when "01111" => - dest <= 4; - src <= 3; - when "10000" => - dest <= 20; - src <= 14; - when "10001" => - dest <= 11; - src <= 9; - when "10010" => - dest <= 7; - src <= 21; - when "10011" => - dest <= 14; - src <= 11; - when "10100" => - dest <= 15; - src <= 15; - when "10101" => - dest <= 8; - src <= 4; - when "10110" => - dest <= 5; - src <= 8; - when "10111" => - dest <= 9; - src <= 1; - when "11000" => - dest <= 5; - src <= 20; - when "11001" => - dest <= 22; - src <= 7; - when "11010" => - dest <= 18; - src <= 6; - when others => - dest <= 5; - src <= 5; - - end case; - end process; - - end generate NI_NUM5; - - NI_NUM6 : if NI_NUM = 6 generate - process(count) begin - - case count is - - when "00000" => - dest <= 1; - src <= 6; - when "00001" => - dest <= 24; - src <= 6; - when "00010" => - dest <= 18; - src <= 6; - when "00011" => - dest <= 11; - src <= 11; - when "00100" => - dest <= 3; - src <= 19; - when "00101" => - dest <= 4; - src <= 23; - when "00110" => - dest <= 22; - src <= 1; - when "00111" => - dest <= 14; - src <= 18; - when "01000" => - dest <= 20; - src <= 24; - when "01001" => - dest <= 17; - src <= 14; - when "01010" => - dest <= 13; - src <= 13; - when "01011" => - dest <= 6; - src <= 15; - when "01100" => - dest <= 2; - src <= 3; - when "01101" => - dest <= 15; - src <= 17; - when "01110" => - dest <= 7; - src <= 20; - when "01111" => - dest <= 0; - src <= 4; - when "10000" => - dest <= 21; - src <= 10; - when "10001" => - dest <= 12; - src <= 5; - when "10010" => - dest <= 8; - src <= 22; - when "10011" => - dest <= 10; - src <= 12; - when "10100" => - dest <= 16; - src <= 16; - when "10101" => - dest <= 9; - src <= 0; - when "10110" => - dest <= 6; - src <= 9; - when "10111" => - dest <= 5; - src <= 2; - when "11000" => - dest <= 6; - src <= 21; - when "11001" => - dest <= 23; - src <= 8; - when "11010" => - dest <= 19; - src <= 7; - when others => - dest <= 6; - src <= 6; - - end case; - end process; - - end generate NI_NUM6; - - NI_NUM7 : if NI_NUM = 7 generate - process(count) begin - - case count is - - when "00000" => - dest <= 2; - src <= 7; - when "00001" => - dest <= 20; - src <= 7; - when "00010" => - dest <= 19; - src <= 7; - when "00011" => - dest <= 12; - src <= 12; - when "00100" => - dest <= 4; - src <= 15; - when "00101" => - dest <= 0; - src <= 24; - when "00110" => - dest <= 23; - src <= 2; - when "00111" => - dest <= 10; - src <= 19; - when "01000" => - dest <= 21; - src <= 20; - when "01001" => - dest <= 18; - src <= 10; - when "01010" => - dest <= 14; - src <= 14; - when "01011" => - dest <= 7; - src <= 16; - when "01100" => - dest <= 3; - src <= 4; - when "01101" => - dest <= 16; - src <= 18; - when "01110" => - dest <= 8; - src <= 21; - when "01111" => - dest <= 1; - src <= 0; - when "10000" => - dest <= 22; - src <= 11; - when "10001" => - dest <= 13; - src <= 6; - when "10010" => - dest <= 9; - src <= 23; - when "10011" => - dest <= 11; - src <= 13; - when "10100" => - dest <= 17; - src <= 17; - when "10101" => - dest <= 5; - src <= 1; - when "10110" => - dest <= 7; - src <= 5; - when "10111" => - dest <= 6; - src <= 3; - when "11000" => - dest <= 7; - src <= 22; - when "11001" => - dest <= 24; - src <= 9; - when "11010" => - dest <= 15; - src <= 8; - when others => - dest <= 7; - src <= 7; - - end case; - end process; - - end generate NI_NUM7; - - NI_NUM8 : if NI_NUM = 8 generate - process(count) begin - - case count is - - when "00000" => - dest <= 3; - src <= 8; - when "00001" => - dest <= 21; - src <= 8; - when "00010" => - dest <= 15; - src <= 8; - when "00011" => - dest <= 13; - src <= 13; - when "00100" => - dest <= 0; - src <= 16; - when "00101" => - dest <= 1; - src <= 20; - when "00110" => - dest <= 24; - src <= 3; - when "00111" => - dest <= 11; - src <= 15; - when "01000" => - dest <= 22; - src <= 21; - when "01001" => - dest <= 19; - src <= 11; - when "01010" => - dest <= 10; - src <= 10; - when "01011" => - dest <= 8; - src <= 17; - when "01100" => - dest <= 4; - src <= 0; - when "01101" => - dest <= 17; - src <= 19; - when "01110" => - dest <= 9; - src <= 22; - when "01111" => - dest <= 2; - src <= 1; - when "10000" => - dest <= 23; - src <= 12; - when "10001" => - dest <= 14; - src <= 7; - when "10010" => - dest <= 5; - src <= 24; - when "10011" => - dest <= 12; - src <= 14; - when "10100" => - dest <= 18; - src <= 18; - when "10101" => - dest <= 6; - src <= 2; - when "10110" => - dest <= 8; - src <= 6; - when "10111" => - dest <= 7; - src <= 4; - when "11000" => - dest <= 8; - src <= 23; - when "11001" => - dest <= 20; - src <= 5; - when "11010" => - dest <= 16; - src <= 9; - when others => - dest <= 8; - src <= 8; - - end case; - end process; - - end generate NI_NUM8; - - NI_NUM9 : if NI_NUM = 9 generate - process(count) begin - - case count is - - when "00000" => - dest <= 4; - src <= 9; - when "00001" => - dest <= 22; - src <= 9; - when "00010" => - dest <= 16; - src <= 9; - when "00011" => - dest <= 14; - src <= 14; - when "00100" => - dest <= 1; - src <= 17; - when "00101" => - dest <= 2; - src <= 21; - when "00110" => - dest <= 20; - src <= 4; - when "00111" => - dest <= 12; - src <= 16; - when "01000" => - dest <= 23; - src <= 22; - when "01001" => - dest <= 15; - src <= 12; - when "01010" => - dest <= 11; - src <= 11; - when "01011" => - dest <= 9; - src <= 18; - when "01100" => - dest <= 0; - src <= 1; - when "01101" => - dest <= 18; - src <= 15; - when "01110" => - dest <= 5; - src <= 23; - when "01111" => - dest <= 3; - src <= 2; - when "10000" => - dest <= 24; - src <= 13; - when "10001" => - dest <= 10; - src <= 8; - when "10010" => - dest <= 6; - src <= 20; - when "10011" => - dest <= 13; - src <= 10; - when "10100" => - dest <= 19; - src <= 19; - when "10101" => - dest <= 7; - src <= 3; - when "10110" => - dest <= 9; - src <= 7; - when "10111" => - dest <= 8; - src <= 0; - when "11000" => - dest <= 9; - src <= 24; - when "11001" => - dest <= 21; - src <= 6; - when "11010" => - dest <= 17; - src <= 5; - when others => - dest <= 9; - src <= 9; - - end case; - end process; - - end generate NI_NUM9; - - NI_NUM10 : if NI_NUM = 10 generate - process(count) begin - - case count is - - when "00000" => - dest <= 5; - src <= 10; - when "00001" => - dest <= 3; - src <= 10; - when "00010" => - dest <= 22; - src <= 10; - when "00011" => - dest <= 15; - src <= 15; - when "00100" => - dest <= 7; - src <= 23; - when "00101" => - dest <= 8; - src <= 2; - when "00110" => - dest <= 1; - src <= 5; - when "00111" => - dest <= 18; - src <= 22; - when "01000" => - dest <= 4; - src <= 3; - when "01001" => - dest <= 21; - src <= 18; - when "01010" => - dest <= 17; - src <= 17; - when "01011" => - dest <= 10; - src <= 24; - when "01100" => - dest <= 6; - src <= 7; - when "01101" => - dest <= 24; - src <= 21; - when "01110" => - dest <= 11; - src <= 4; - when "01111" => - dest <= 9; - src <= 8; - when "10000" => - dest <= 0; - src <= 19; - when "10001" => - dest <= 16; - src <= 14; - when "10010" => - dest <= 12; - src <= 1; - when "10011" => - dest <= 19; - src <= 16; - when "10100" => - dest <= 20; - src <= 20; - when "10101" => - dest <= 13; - src <= 9; - when "10110" => - dest <= 10; - src <= 13; - when "10111" => - dest <= 14; - src <= 6; - when "11000" => - dest <= 10; - src <= 0; - when "11001" => - dest <= 2; - src <= 12; - when "11010" => - dest <= 23; - src <= 11; - when others => - dest <= 10; - src <= 10; - - end case; - end process; - - end generate NI_NUM10; - - NI_NUM11 : if NI_NUM = 11 generate - process(count) begin - - case count is - - when "00000" => - dest <= 6; - src <= 11; - when "00001" => - dest <= 4; - src <= 11; - when "00010" => - dest <= 23; - src <= 11; - when "00011" => - dest <= 16; - src <= 16; - when "00100" => - dest <= 8; - src <= 24; - when "00101" => - dest <= 9; - src <= 3; - when "00110" => - dest <= 2; - src <= 6; - when "00111" => - dest <= 19; - src <= 23; - when "01000" => - dest <= 0; - src <= 4; - when "01001" => - dest <= 22; - src <= 19; - when "01010" => - dest <= 18; - src <= 18; - when "01011" => - dest <= 11; - src <= 20; - when "01100" => - dest <= 7; - src <= 8; - when "01101" => - dest <= 20; - src <= 22; - when "01110" => - dest <= 12; - src <= 0; - when "01111" => - dest <= 5; - src <= 9; - when "10000" => - dest <= 1; - src <= 15; - when "10001" => - dest <= 17; - src <= 10; - when "10010" => - dest <= 13; - src <= 2; - when "10011" => - dest <= 15; - src <= 17; - when "10100" => - dest <= 21; - src <= 21; - when "10101" => - dest <= 14; - src <= 5; - when "10110" => - dest <= 11; - src <= 14; - when "10111" => - dest <= 10; - src <= 7; - when "11000" => - dest <= 11; - src <= 1; - when "11001" => - dest <= 3; - src <= 13; - when "11010" => - dest <= 24; - src <= 12; - when others => - dest <= 11; - src <= 11; - - end case; - end process; - - end generate NI_NUM11; - - NI_NUM12 : if NI_NUM = 12 generate - process(count) begin - - case count is - - when "00000" => - dest <= 7; - src <= 12; - when "00001" => - dest <= 0; - src <= 12; - when "00010" => - dest <= 24; - src <= 12; - when "00011" => - dest <= 17; - src <= 17; - when "00100" => - dest <= 9; - src <= 20; - when "00101" => - dest <= 5; - src <= 4; - when "00110" => - dest <= 3; - src <= 7; - when "00111" => - dest <= 15; - src <= 24; - when "01000" => - dest <= 1; - src <= 0; - when "01001" => - dest <= 23; - src <= 15; - when "01010" => - dest <= 19; - src <= 19; - when "01011" => - dest <= 12; - src <= 21; - when "01100" => - dest <= 8; - src <= 9; - when "01101" => - dest <= 21; - src <= 23; - when "01110" => - dest <= 13; - src <= 1; - when "01111" => - dest <= 6; - src <= 5; - when "10000" => - dest <= 2; - src <= 16; - when "10001" => - dest <= 18; - src <= 11; - when "10010" => - dest <= 14; - src <= 3; - when "10011" => - dest <= 16; - src <= 18; - when "10100" => - dest <= 22; - src <= 22; - when "10101" => - dest <= 10; - src <= 6; - when "10110" => - dest <= 12; - src <= 10; - when "10111" => - dest <= 11; - src <= 8; - when "11000" => - dest <= 12; - src <= 2; - when "11001" => - dest <= 4; - src <= 14; - when "11010" => - dest <= 20; - src <= 13; - when others => - dest <= 12; - src <= 12; - - end case; - end process; - - end generate NI_NUM12; - - NI_NUM13 : if NI_NUM = 13 generate - process(count) begin - - case count is - - when "00000" => - dest <= 8; - src <= 13; - when "00001" => - dest <= 1; - src <= 13; - when "00010" => - dest <= 20; - src <= 13; - when "00011" => - dest <= 18; - src <= 18; - when "00100" => - dest <= 5; - src <= 21; - when "00101" => - dest <= 6; - src <= 0; - when "00110" => - dest <= 4; - src <= 8; - when "00111" => - dest <= 16; - src <= 20; - when "01000" => - dest <= 2; - src <= 1; - when "01001" => - dest <= 24; - src <= 16; - when "01010" => - dest <= 15; - src <= 15; - when "01011" => - dest <= 13; - src <= 22; - when "01100" => - dest <= 9; - src <= 5; - when "01101" => - dest <= 22; - src <= 24; - when "01110" => - dest <= 14; - src <= 2; - when "01111" => - dest <= 7; - src <= 6; - when "10000" => - dest <= 3; - src <= 17; - when "10001" => - dest <= 19; - src <= 12; - when "10010" => - dest <= 10; - src <= 4; - when "10011" => - dest <= 17; - src <= 19; - when "10100" => - dest <= 23; - src <= 23; - when "10101" => - dest <= 11; - src <= 7; - when "10110" => - dest <= 13; - src <= 11; - when "10111" => - dest <= 12; - src <= 9; - when "11000" => - dest <= 13; - src <= 3; - when "11001" => - dest <= 0; - src <= 10; - when "11010" => - dest <= 21; - src <= 14; - when others => - dest <= 13; - src <= 13; - - end case; - end process; - - end generate NI_NUM13; - - NI_NUM14 : if NI_NUM = 14 generate - process(count) begin - - case count is - - when "00000" => - dest <= 9; - src <= 14; - when "00001" => - dest <= 2; - src <= 14; - when "00010" => - dest <= 21; - src <= 14; - when "00011" => - dest <= 19; - src <= 19; - when "00100" => - dest <= 6; - src <= 22; - when "00101" => - dest <= 7; - src <= 1; - when "00110" => - dest <= 0; - src <= 9; - when "00111" => - dest <= 17; - src <= 21; - when "01000" => - dest <= 3; - src <= 2; - when "01001" => - dest <= 20; - src <= 17; - when "01010" => - dest <= 16; - src <= 16; - when "01011" => - dest <= 14; - src <= 23; - when "01100" => - dest <= 5; - src <= 6; - when "01101" => - dest <= 23; - src <= 20; - when "01110" => - dest <= 10; - src <= 3; - when "01111" => - dest <= 8; - src <= 7; - when "10000" => - dest <= 4; - src <= 18; - when "10001" => - dest <= 15; - src <= 13; - when "10010" => - dest <= 11; - src <= 0; - when "10011" => - dest <= 18; - src <= 15; - when "10100" => - dest <= 24; - src <= 24; - when "10101" => - dest <= 12; - src <= 8; - when "10110" => - dest <= 14; - src <= 12; - when "10111" => - dest <= 13; - src <= 5; - when "11000" => - dest <= 14; - src <= 4; - when "11001" => - dest <= 1; - src <= 11; - when "11010" => - dest <= 22; - src <= 10; - when others => - dest <= 14; - src <= 14; - - end case; - end process; - - end generate NI_NUM14; - - NI_NUM15 : if NI_NUM = 15 generate - process(count) begin - - case count is - - when "00000" => - dest <= 10; - src <= 15; - when "00001" => - dest <= 8; - src <= 15; - when "00010" => - dest <= 2; - src <= 15; - when "00011" => - dest <= 20; - src <= 20; - when "00100" => - dest <= 12; - src <= 3; - when "00101" => - dest <= 13; - src <= 7; - when "00110" => - dest <= 6; - src <= 10; - when "00111" => - dest <= 23; - src <= 2; - when "01000" => - dest <= 9; - src <= 8; - when "01001" => - dest <= 1; - src <= 23; - when "01010" => - dest <= 22; - src <= 22; - when "01011" => - dest <= 15; - src <= 4; - when "01100" => - dest <= 11; - src <= 12; - when "01101" => - dest <= 4; - src <= 1; - when "01110" => - dest <= 16; - src <= 9; - when "01111" => - dest <= 14; - src <= 13; - when "10000" => - dest <= 5; - src <= 24; - when "10001" => - dest <= 21; - src <= 19; - when "10010" => - dest <= 17; - src <= 6; - when "10011" => - dest <= 24; - src <= 21; - when "10100" => - dest <= 0; - src <= 0; - when "10101" => - dest <= 18; - src <= 14; - when "10110" => - dest <= 15; - src <= 18; - when "10111" => - dest <= 19; - src <= 11; - when "11000" => - dest <= 15; - src <= 5; - when "11001" => - dest <= 7; - src <= 17; - when "11010" => - dest <= 3; - src <= 16; - when others => - dest <= 15; - src <= 15; - - end case; - end process; - - end generate NI_NUM15; - - NI_NUM16 : if NI_NUM = 16 generate - process(count) begin - - case count is - - when "00000" => - dest <= 11; - src <= 16; - when "00001" => - dest <= 9; - src <= 16; - when "00010" => - dest <= 3; - src <= 16; - when "00011" => - dest <= 21; - src <= 21; - when "00100" => - dest <= 13; - src <= 4; - when "00101" => - dest <= 14; - src <= 8; - when "00110" => - dest <= 7; - src <= 11; - when "00111" => - dest <= 24; - src <= 3; - when "01000" => - dest <= 5; - src <= 9; - when "01001" => - dest <= 2; - src <= 24; - when "01010" => - dest <= 23; - src <= 23; - when "01011" => - dest <= 16; - src <= 0; - when "01100" => - dest <= 12; - src <= 13; - when "01101" => - dest <= 0; - src <= 2; - when "01110" => - dest <= 17; - src <= 5; - when "01111" => - dest <= 10; - src <= 14; - when "10000" => - dest <= 6; - src <= 20; - when "10001" => - dest <= 22; - src <= 15; - when "10010" => - dest <= 18; - src <= 7; - when "10011" => - dest <= 20; - src <= 22; - when "10100" => - dest <= 1; - src <= 1; - when "10101" => - dest <= 19; - src <= 10; - when "10110" => - dest <= 16; - src <= 19; - when "10111" => - dest <= 15; - src <= 12; - when "11000" => - dest <= 16; - src <= 6; - when "11001" => - dest <= 8; - src <= 18; - when "11010" => - dest <= 4; - src <= 17; - when others => - dest <= 16; - src <= 16; - - end case; - end process; - - end generate NI_NUM16; - - NI_NUM17 : if NI_NUM = 17 generate - process(count) begin - - case count is - - when "00000" => - dest <= 12; - src <= 17; - when "00001" => - dest <= 5; - src <= 17; - when "00010" => - dest <= 4; - src <= 17; - when "00011" => - dest <= 22; - src <= 22; - when "00100" => - dest <= 14; - src <= 0; - when "00101" => - dest <= 10; - src <= 9; - when "00110" => - dest <= 8; - src <= 12; - when "00111" => - dest <= 20; - src <= 4; - when "01000" => - dest <= 6; - src <= 5; - when "01001" => - dest <= 3; - src <= 20; - when "01010" => - dest <= 24; - src <= 24; - when "01011" => - dest <= 17; - src <= 1; - when "01100" => - dest <= 13; - src <= 14; - when "01101" => - dest <= 1; - src <= 3; - when "01110" => - dest <= 18; - src <= 6; - when "01111" => - dest <= 11; - src <= 10; - when "10000" => - dest <= 7; - src <= 21; - when "10001" => - dest <= 23; - src <= 16; - when "10010" => - dest <= 19; - src <= 8; - when "10011" => - dest <= 21; - src <= 23; - when "10100" => - dest <= 2; - src <= 2; - when "10101" => - dest <= 15; - src <= 11; - when "10110" => - dest <= 17; - src <= 15; - when "10111" => - dest <= 16; - src <= 13; - when "11000" => - dest <= 17; - src <= 7; - when "11001" => - dest <= 9; - src <= 19; - when "11010" => - dest <= 0; - src <= 18; - when others => - dest <= 17; - src <= 17; - - end case; - end process; - - end generate NI_NUM17; - - NI_NUM18 : if NI_NUM = 18 generate - process(count) begin - - case count is - - when "00000" => - dest <= 13; - src <= 18; - when "00001" => - dest <= 6; - src <= 18; - when "00010" => - dest <= 0; - src <= 18; - when "00011" => - dest <= 23; - src <= 23; - when "00100" => - dest <= 10; - src <= 1; - when "00101" => - dest <= 11; - src <= 5; - when "00110" => - dest <= 9; - src <= 13; - when "00111" => - dest <= 21; - src <= 0; - when "01000" => - dest <= 7; - src <= 6; - when "01001" => - dest <= 4; - src <= 21; - when "01010" => - dest <= 20; - src <= 20; - when "01011" => - dest <= 18; - src <= 2; - when "01100" => - dest <= 14; - src <= 10; - when "01101" => - dest <= 2; - src <= 4; - when "01110" => - dest <= 19; - src <= 7; - when "01111" => - dest <= 12; - src <= 11; - when "10000" => - dest <= 8; - src <= 22; - when "10001" => - dest <= 24; - src <= 17; - when "10010" => - dest <= 15; - src <= 9; - when "10011" => - dest <= 22; - src <= 24; - when "10100" => - dest <= 3; - src <= 3; - when "10101" => - dest <= 16; - src <= 12; - when "10110" => - dest <= 18; - src <= 16; - when "10111" => - dest <= 17; - src <= 14; - when "11000" => - dest <= 18; - src <= 8; - when "11001" => - dest <= 5; - src <= 15; - when "11010" => - dest <= 1; - src <= 19; - when others => - dest <= 18; - src <= 18; - - end case; - end process; - - end generate NI_NUM18; - - NI_NUM19 : if NI_NUM = 19 generate - process(count) begin - - case count is - - when "00000" => - dest <= 14; - src <= 19; - when "00001" => - dest <= 7; - src <= 19; - when "00010" => - dest <= 1; - src <= 19; - when "00011" => - dest <= 24; - src <= 24; - when "00100" => - dest <= 11; - src <= 2; - when "00101" => - dest <= 12; - src <= 6; - when "00110" => - dest <= 5; - src <= 14; - when "00111" => - dest <= 22; - src <= 1; - when "01000" => - dest <= 8; - src <= 7; - when "01001" => - dest <= 0; - src <= 22; - when "01010" => - dest <= 21; - src <= 21; - when "01011" => - dest <= 19; - src <= 3; - when "01100" => - dest <= 10; - src <= 11; - when "01101" => - dest <= 3; - src <= 0; - when "01110" => - dest <= 15; - src <= 8; - when "01111" => - dest <= 13; - src <= 12; - when "10000" => - dest <= 9; - src <= 23; - when "10001" => - dest <= 20; - src <= 18; - when "10010" => - dest <= 16; - src <= 5; - when "10011" => - dest <= 23; - src <= 20; - when "10100" => - dest <= 4; - src <= 4; - when "10101" => - dest <= 17; - src <= 13; - when "10110" => - dest <= 19; - src <= 17; - when "10111" => - dest <= 18; - src <= 10; - when "11000" => - dest <= 19; - src <= 9; - when "11001" => - dest <= 6; - src <= 16; - when "11010" => - dest <= 2; - src <= 15; - when others => - dest <= 19; - src <= 19; - - end case; - end process; - - end generate NI_NUM19; - - NI_NUM20 : if NI_NUM = 20 generate - process(count) begin - - case count is - - when "00000" => - dest <= 15; - src <= 20; - when "00001" => - dest <= 13; - src <= 20; - when "00010" => - dest <= 7; - src <= 20; - when "00011" => - dest <= 0; - src <= 0; - when "00100" => - dest <= 17; - src <= 8; - when "00101" => - dest <= 18; - src <= 12; - when "00110" => - dest <= 11; - src <= 15; - when "00111" => - dest <= 3; - src <= 7; - when "01000" => - dest <= 14; - src <= 13; - when "01001" => - dest <= 6; - src <= 3; - when "01010" => - dest <= 2; - src <= 2; - when "01011" => - dest <= 20; - src <= 9; - when "01100" => - dest <= 16; - src <= 17; - when "01101" => - dest <= 9; - src <= 6; - when "01110" => - dest <= 21; - src <= 14; - when "01111" => - dest <= 19; - src <= 18; - when "10000" => - dest <= 10; - src <= 4; - when "10001" => - dest <= 1; - src <= 24; - when "10010" => - dest <= 22; - src <= 11; - when "10011" => - dest <= 4; - src <= 1; - when "10100" => - dest <= 5; - src <= 5; - when "10101" => - dest <= 23; - src <= 19; - when "10110" => - dest <= 20; - src <= 23; - when "10111" => - dest <= 24; - src <= 16; - when "11000" => - dest <= 20; - src <= 10; - when "11001" => - dest <= 12; - src <= 22; - when "11010" => - dest <= 8; - src <= 21; - when others => - dest <= 20; - src <= 20; - - end case; - end process; - - end generate NI_NUM20; - - NI_NUM21 : if NI_NUM = 21 generate - process(count) begin - - case count is - - when "00000" => - dest <= 16; - src <= 21; - when "00001" => - dest <= 14; - src <= 21; - when "00010" => - dest <= 8; - src <= 21; - when "00011" => - dest <= 1; - src <= 1; - when "00100" => - dest <= 18; - src <= 9; - when "00101" => - dest <= 19; - src <= 13; - when "00110" => - dest <= 12; - src <= 16; - when "00111" => - dest <= 4; - src <= 8; - when "01000" => - dest <= 10; - src <= 14; - when "01001" => - dest <= 7; - src <= 4; - when "01010" => - dest <= 3; - src <= 3; - when "01011" => - dest <= 21; - src <= 5; - when "01100" => - dest <= 17; - src <= 18; - when "01101" => - dest <= 5; - src <= 7; - when "01110" => - dest <= 22; - src <= 10; - when "01111" => - dest <= 15; - src <= 19; - when "10000" => - dest <= 11; - src <= 0; - when "10001" => - dest <= 2; - src <= 20; - when "10010" => - dest <= 23; - src <= 12; - when "10011" => - dest <= 0; - src <= 2; - when "10100" => - dest <= 6; - src <= 6; - when "10101" => - dest <= 24; - src <= 15; - when "10110" => - dest <= 21; - src <= 24; - when "10111" => - dest <= 20; - src <= 17; - when "11000" => - dest <= 21; - src <= 11; - when "11001" => - dest <= 13; - src <= 23; - when "11010" => - dest <= 9; - src <= 22; - when others => - dest <= 21; - src <= 21; - - end case; - end process; - - end generate NI_NUM21; - - NI_NUM22 : if NI_NUM = 22 generate - process(count) begin - - case count is - - when "00000" => - dest <= 17; - src <= 22; - when "00001" => - dest <= 10; - src <= 22; - when "00010" => - dest <= 9; - src <= 22; - when "00011" => - dest <= 2; - src <= 2; - when "00100" => - dest <= 19; - src <= 5; - when "00101" => - dest <= 15; - src <= 14; - when "00110" => - dest <= 13; - src <= 17; - when "00111" => - dest <= 0; - src <= 9; - when "01000" => - dest <= 11; - src <= 10; - when "01001" => - dest <= 8; - src <= 0; - when "01010" => - dest <= 4; - src <= 4; - when "01011" => - dest <= 22; - src <= 6; - when "01100" => - dest <= 18; - src <= 19; - when "01101" => - dest <= 6; - src <= 8; - when "01110" => - dest <= 23; - src <= 11; - when "01111" => - dest <= 16; - src <= 15; - when "10000" => - dest <= 12; - src <= 1; - when "10001" => - dest <= 3; - src <= 21; - when "10010" => - dest <= 24; - src <= 13; - when "10011" => - dest <= 1; - src <= 3; - when "10100" => - dest <= 7; - src <= 7; - when "10101" => - dest <= 20; - src <= 16; - when "10110" => - dest <= 22; - src <= 20; - when "10111" => - dest <= 21; - src <= 18; - when "11000" => - dest <= 22; - src <= 12; - when "11001" => - dest <= 14; - src <= 24; - when "11010" => - dest <= 5; - src <= 23; - when others => - dest <= 22; - src <= 22; - - end case; - end process; - - end generate NI_NUM22; - - NI_NUM23 : if NI_NUM = 23 generate - process(count) begin - - case count is - - when "00000" => - dest <= 18; - src <= 23; - when "00001" => - dest <= 11; - src <= 23; - when "00010" => - dest <= 5; - src <= 23; - when "00011" => - dest <= 3; - src <= 3; - when "00100" => - dest <= 15; - src <= 6; - when "00101" => - dest <= 16; - src <= 10; - when "00110" => - dest <= 14; - src <= 18; - when "00111" => - dest <= 1; - src <= 5; - when "01000" => - dest <= 12; - src <= 11; - when "01001" => - dest <= 9; - src <= 1; - when "01010" => - dest <= 0; - src <= 0; - when "01011" => - dest <= 23; - src <= 7; - when "01100" => - dest <= 19; - src <= 15; - when "01101" => - dest <= 7; - src <= 9; - when "01110" => - dest <= 24; - src <= 12; - when "01111" => - dest <= 17; - src <= 16; - when "10000" => - dest <= 13; - src <= 2; - when "10001" => - dest <= 4; - src <= 22; - when "10010" => - dest <= 20; - src <= 14; - when "10011" => - dest <= 2; - src <= 4; - when "10100" => - dest <= 8; - src <= 8; - when "10101" => - dest <= 21; - src <= 17; - when "10110" => - dest <= 23; - src <= 21; - when "10111" => - dest <= 22; - src <= 19; - when "11000" => - dest <= 23; - src <= 13; - when "11001" => - dest <= 10; - src <= 20; - when "11010" => - dest <= 6; - src <= 24; - when others => - dest <= 23; - src <= 23; - - end case; - end process; - - end generate NI_NUM23; - - NI_NUM24 : if NI_NUM = 24 generate - process(count) begin - - case count is - - when "00000" => - dest <= 19; - src <= 24; - when "00001" => - dest <= 12; - src <= 24; - when "00010" => - dest <= 6; - src <= 24; - when "00011" => - dest <= 4; - src <= 4; - when "00100" => - dest <= 16; - src <= 7; - when "00101" => - dest <= 17; - src <= 11; - when "00110" => - dest <= 10; - src <= 19; - when "00111" => - dest <= 2; - src <= 6; - when "01000" => - dest <= 13; - src <= 12; - when "01001" => - dest <= 5; - src <= 2; - when "01010" => - dest <= 1; - src <= 1; - when "01011" => - dest <= 24; - src <= 8; - when "01100" => - dest <= 15; - src <= 16; - when "01101" => - dest <= 8; - src <= 5; - when "01110" => - dest <= 20; - src <= 13; - when "01111" => - dest <= 18; - src <= 17; - when "10000" => - dest <= 14; - src <= 3; - when "10001" => - dest <= 0; - src <= 23; - when "10010" => - dest <= 21; - src <= 10; - when "10011" => - dest <= 3; - src <= 0; - when "10100" => - dest <= 9; - src <= 9; - when "10101" => - dest <= 22; - src <= 18; - when "10110" => - dest <= 24; - src <= 22; - when "10111" => - dest <= 23; - src <= 15; - when "11000" => - dest <= 24; - src <= 14; - when "11001" => - dest <= 11; - src <= 21; - when "11010" => - dest <= 7; - src <= 20; - when others => - dest <= 24; - src <= 24; - - end case; - end process; - - end generate NI_NUM24; - -end data; +------------------------------------------------------------- +-- ni_ST_25.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity ni_ST_25 is + generic ( + NI_NUM : natural); + port ( + count : in unsigned(4 downto 0); + dest : out integer range 0 to 24; + src : out integer range 0 to 24 + ); +end ni_ST_25; + +architecture data of ni_ST_25 is +begin -- data + + NI_NUM0 : if NI_NUM = 0 generate + process(count) begin + + case count is + + when "00000" => + dest <= 20; + src <= 0; + when "00001" => + dest <= 18; + src <= 0; + when "00010" => + dest <= 12; + src <= 0; + when "00011" => + dest <= 5; + src <= 5; + when "00100" => + dest <= 22; + src <= 13; + when "00101" => + dest <= 23; + src <= 17; + when "00110" => + dest <= 16; + src <= 20; + when "00111" => + dest <= 8; + src <= 12; + when "01000" => + dest <= 19; + src <= 18; + when "01001" => + dest <= 11; + src <= 8; + when "01010" => + dest <= 7; + src <= 7; + when "01011" => + dest <= 0; + src <= 14; + when "01100" => + dest <= 21; + src <= 22; + when "01101" => + dest <= 14; + src <= 11; + when "01110" => + dest <= 1; + src <= 19; + when "01111" => + dest <= 24; + src <= 23; + when "10000" => + dest <= 15; + src <= 9; + when "10001" => + dest <= 6; + src <= 4; + when "10010" => + dest <= 2; + src <= 16; + when "10011" => + dest <= 9; + src <= 6; + when "10100" => + dest <= 10; + src <= 10; + when "10101" => + dest <= 3; + src <= 24; + when "10110" => + dest <= 0; + src <= 3; + when "10111" => + dest <= 4; + src <= 21; + when "11000" => + dest <= 0; + src <= 15; + when "11001" => + dest <= 17; + src <= 2; + when "11010" => + dest <= 13; + src <= 1; + when others => + dest <= 0; + src <= 0; + + end case; + end process; + + end generate NI_NUM0; + + NI_NUM1 : if NI_NUM = 1 generate + process(count) begin + + case count is + + when "00000" => + dest <= 21; + src <= 1; + when "00001" => + dest <= 19; + src <= 1; + when "00010" => + dest <= 13; + src <= 1; + when "00011" => + dest <= 6; + src <= 6; + when "00100" => + dest <= 23; + src <= 14; + when "00101" => + dest <= 24; + src <= 18; + when "00110" => + dest <= 17; + src <= 21; + when "00111" => + dest <= 9; + src <= 13; + when "01000" => + dest <= 15; + src <= 19; + when "01001" => + dest <= 12; + src <= 9; + when "01010" => + dest <= 8; + src <= 8; + when "01011" => + dest <= 1; + src <= 10; + when "01100" => + dest <= 22; + src <= 23; + when "01101" => + dest <= 10; + src <= 12; + when "01110" => + dest <= 2; + src <= 15; + when "01111" => + dest <= 20; + src <= 24; + when "10000" => + dest <= 16; + src <= 5; + when "10001" => + dest <= 7; + src <= 0; + when "10010" => + dest <= 3; + src <= 17; + when "10011" => + dest <= 5; + src <= 7; + when "10100" => + dest <= 11; + src <= 11; + when "10101" => + dest <= 4; + src <= 20; + when "10110" => + dest <= 1; + src <= 4; + when "10111" => + dest <= 0; + src <= 22; + when "11000" => + dest <= 1; + src <= 16; + when "11001" => + dest <= 18; + src <= 3; + when "11010" => + dest <= 14; + src <= 2; + when others => + dest <= 1; + src <= 1; + + end case; + end process; + + end generate NI_NUM1; + + NI_NUM2 : if NI_NUM = 2 generate + process(count) begin + + case count is + + when "00000" => + dest <= 22; + src <= 2; + when "00001" => + dest <= 15; + src <= 2; + when "00010" => + dest <= 14; + src <= 2; + when "00011" => + dest <= 7; + src <= 7; + when "00100" => + dest <= 24; + src <= 10; + when "00101" => + dest <= 20; + src <= 19; + when "00110" => + dest <= 18; + src <= 22; + when "00111" => + dest <= 5; + src <= 14; + when "01000" => + dest <= 16; + src <= 15; + when "01001" => + dest <= 13; + src <= 5; + when "01010" => + dest <= 9; + src <= 9; + when "01011" => + dest <= 2; + src <= 11; + when "01100" => + dest <= 23; + src <= 24; + when "01101" => + dest <= 11; + src <= 13; + when "01110" => + dest <= 3; + src <= 16; + when "01111" => + dest <= 21; + src <= 20; + when "10000" => + dest <= 17; + src <= 6; + when "10001" => + dest <= 8; + src <= 1; + when "10010" => + dest <= 4; + src <= 18; + when "10011" => + dest <= 6; + src <= 8; + when "10100" => + dest <= 12; + src <= 12; + when "10101" => + dest <= 0; + src <= 21; + when "10110" => + dest <= 2; + src <= 0; + when "10111" => + dest <= 1; + src <= 23; + when "11000" => + dest <= 2; + src <= 17; + when "11001" => + dest <= 19; + src <= 4; + when "11010" => + dest <= 10; + src <= 3; + when others => + dest <= 2; + src <= 2; + + end case; + end process; + + end generate NI_NUM2; + + NI_NUM3 : if NI_NUM = 3 generate + process(count) begin + + case count is + + when "00000" => + dest <= 23; + src <= 3; + when "00001" => + dest <= 16; + src <= 3; + when "00010" => + dest <= 10; + src <= 3; + when "00011" => + dest <= 8; + src <= 8; + when "00100" => + dest <= 20; + src <= 11; + when "00101" => + dest <= 21; + src <= 15; + when "00110" => + dest <= 19; + src <= 23; + when "00111" => + dest <= 6; + src <= 10; + when "01000" => + dest <= 17; + src <= 16; + when "01001" => + dest <= 14; + src <= 6; + when "01010" => + dest <= 5; + src <= 5; + when "01011" => + dest <= 3; + src <= 12; + when "01100" => + dest <= 24; + src <= 20; + when "01101" => + dest <= 12; + src <= 14; + when "01110" => + dest <= 4; + src <= 17; + when "01111" => + dest <= 22; + src <= 21; + when "10000" => + dest <= 18; + src <= 7; + when "10001" => + dest <= 9; + src <= 2; + when "10010" => + dest <= 0; + src <= 19; + when "10011" => + dest <= 7; + src <= 9; + when "10100" => + dest <= 13; + src <= 13; + when "10101" => + dest <= 1; + src <= 22; + when "10110" => + dest <= 3; + src <= 1; + when "10111" => + dest <= 2; + src <= 24; + when "11000" => + dest <= 3; + src <= 18; + when "11001" => + dest <= 15; + src <= 0; + when "11010" => + dest <= 11; + src <= 4; + when others => + dest <= 3; + src <= 3; + + end case; + end process; + + end generate NI_NUM3; + + NI_NUM4 : if NI_NUM = 4 generate + process(count) begin + + case count is + + when "00000" => + dest <= 24; + src <= 4; + when "00001" => + dest <= 17; + src <= 4; + when "00010" => + dest <= 11; + src <= 4; + when "00011" => + dest <= 9; + src <= 9; + when "00100" => + dest <= 21; + src <= 12; + when "00101" => + dest <= 22; + src <= 16; + when "00110" => + dest <= 15; + src <= 24; + when "00111" => + dest <= 7; + src <= 11; + when "01000" => + dest <= 18; + src <= 17; + when "01001" => + dest <= 10; + src <= 7; + when "01010" => + dest <= 6; + src <= 6; + when "01011" => + dest <= 4; + src <= 13; + when "01100" => + dest <= 20; + src <= 21; + when "01101" => + dest <= 13; + src <= 10; + when "01110" => + dest <= 0; + src <= 18; + when "01111" => + dest <= 23; + src <= 22; + when "10000" => + dest <= 19; + src <= 8; + when "10001" => + dest <= 5; + src <= 3; + when "10010" => + dest <= 1; + src <= 15; + when "10011" => + dest <= 8; + src <= 5; + when "10100" => + dest <= 14; + src <= 14; + when "10101" => + dest <= 2; + src <= 23; + when "10110" => + dest <= 4; + src <= 2; + when "10111" => + dest <= 3; + src <= 20; + when "11000" => + dest <= 4; + src <= 19; + when "11001" => + dest <= 16; + src <= 1; + when "11010" => + dest <= 12; + src <= 0; + when others => + dest <= 4; + src <= 4; + + end case; + end process; + + end generate NI_NUM4; + + NI_NUM5 : if NI_NUM = 5 generate + process(count) begin + + case count is + + when "00000" => + dest <= 0; + src <= 5; + when "00001" => + dest <= 23; + src <= 5; + when "00010" => + dest <= 17; + src <= 5; + when "00011" => + dest <= 10; + src <= 10; + when "00100" => + dest <= 2; + src <= 18; + when "00101" => + dest <= 3; + src <= 22; + when "00110" => + dest <= 21; + src <= 0; + when "00111" => + dest <= 13; + src <= 17; + when "01000" => + dest <= 24; + src <= 23; + when "01001" => + dest <= 16; + src <= 13; + when "01010" => + dest <= 12; + src <= 12; + when "01011" => + dest <= 5; + src <= 19; + when "01100" => + dest <= 1; + src <= 2; + when "01101" => + dest <= 19; + src <= 16; + when "01110" => + dest <= 6; + src <= 24; + when "01111" => + dest <= 4; + src <= 3; + when "10000" => + dest <= 20; + src <= 14; + when "10001" => + dest <= 11; + src <= 9; + when "10010" => + dest <= 7; + src <= 21; + when "10011" => + dest <= 14; + src <= 11; + when "10100" => + dest <= 15; + src <= 15; + when "10101" => + dest <= 8; + src <= 4; + when "10110" => + dest <= 5; + src <= 8; + when "10111" => + dest <= 9; + src <= 1; + when "11000" => + dest <= 5; + src <= 20; + when "11001" => + dest <= 22; + src <= 7; + when "11010" => + dest <= 18; + src <= 6; + when others => + dest <= 5; + src <= 5; + + end case; + end process; + + end generate NI_NUM5; + + NI_NUM6 : if NI_NUM = 6 generate + process(count) begin + + case count is + + when "00000" => + dest <= 1; + src <= 6; + when "00001" => + dest <= 24; + src <= 6; + when "00010" => + dest <= 18; + src <= 6; + when "00011" => + dest <= 11; + src <= 11; + when "00100" => + dest <= 3; + src <= 19; + when "00101" => + dest <= 4; + src <= 23; + when "00110" => + dest <= 22; + src <= 1; + when "00111" => + dest <= 14; + src <= 18; + when "01000" => + dest <= 20; + src <= 24; + when "01001" => + dest <= 17; + src <= 14; + when "01010" => + dest <= 13; + src <= 13; + when "01011" => + dest <= 6; + src <= 15; + when "01100" => + dest <= 2; + src <= 3; + when "01101" => + dest <= 15; + src <= 17; + when "01110" => + dest <= 7; + src <= 20; + when "01111" => + dest <= 0; + src <= 4; + when "10000" => + dest <= 21; + src <= 10; + when "10001" => + dest <= 12; + src <= 5; + when "10010" => + dest <= 8; + src <= 22; + when "10011" => + dest <= 10; + src <= 12; + when "10100" => + dest <= 16; + src <= 16; + when "10101" => + dest <= 9; + src <= 0; + when "10110" => + dest <= 6; + src <= 9; + when "10111" => + dest <= 5; + src <= 2; + when "11000" => + dest <= 6; + src <= 21; + when "11001" => + dest <= 23; + src <= 8; + when "11010" => + dest <= 19; + src <= 7; + when others => + dest <= 6; + src <= 6; + + end case; + end process; + + end generate NI_NUM6; + + NI_NUM7 : if NI_NUM = 7 generate + process(count) begin + + case count is + + when "00000" => + dest <= 2; + src <= 7; + when "00001" => + dest <= 20; + src <= 7; + when "00010" => + dest <= 19; + src <= 7; + when "00011" => + dest <= 12; + src <= 12; + when "00100" => + dest <= 4; + src <= 15; + when "00101" => + dest <= 0; + src <= 24; + when "00110" => + dest <= 23; + src <= 2; + when "00111" => + dest <= 10; + src <= 19; + when "01000" => + dest <= 21; + src <= 20; + when "01001" => + dest <= 18; + src <= 10; + when "01010" => + dest <= 14; + src <= 14; + when "01011" => + dest <= 7; + src <= 16; + when "01100" => + dest <= 3; + src <= 4; + when "01101" => + dest <= 16; + src <= 18; + when "01110" => + dest <= 8; + src <= 21; + when "01111" => + dest <= 1; + src <= 0; + when "10000" => + dest <= 22; + src <= 11; + when "10001" => + dest <= 13; + src <= 6; + when "10010" => + dest <= 9; + src <= 23; + when "10011" => + dest <= 11; + src <= 13; + when "10100" => + dest <= 17; + src <= 17; + when "10101" => + dest <= 5; + src <= 1; + when "10110" => + dest <= 7; + src <= 5; + when "10111" => + dest <= 6; + src <= 3; + when "11000" => + dest <= 7; + src <= 22; + when "11001" => + dest <= 24; + src <= 9; + when "11010" => + dest <= 15; + src <= 8; + when others => + dest <= 7; + src <= 7; + + end case; + end process; + + end generate NI_NUM7; + + NI_NUM8 : if NI_NUM = 8 generate + process(count) begin + + case count is + + when "00000" => + dest <= 3; + src <= 8; + when "00001" => + dest <= 21; + src <= 8; + when "00010" => + dest <= 15; + src <= 8; + when "00011" => + dest <= 13; + src <= 13; + when "00100" => + dest <= 0; + src <= 16; + when "00101" => + dest <= 1; + src <= 20; + when "00110" => + dest <= 24; + src <= 3; + when "00111" => + dest <= 11; + src <= 15; + when "01000" => + dest <= 22; + src <= 21; + when "01001" => + dest <= 19; + src <= 11; + when "01010" => + dest <= 10; + src <= 10; + when "01011" => + dest <= 8; + src <= 17; + when "01100" => + dest <= 4; + src <= 0; + when "01101" => + dest <= 17; + src <= 19; + when "01110" => + dest <= 9; + src <= 22; + when "01111" => + dest <= 2; + src <= 1; + when "10000" => + dest <= 23; + src <= 12; + when "10001" => + dest <= 14; + src <= 7; + when "10010" => + dest <= 5; + src <= 24; + when "10011" => + dest <= 12; + src <= 14; + when "10100" => + dest <= 18; + src <= 18; + when "10101" => + dest <= 6; + src <= 2; + when "10110" => + dest <= 8; + src <= 6; + when "10111" => + dest <= 7; + src <= 4; + when "11000" => + dest <= 8; + src <= 23; + when "11001" => + dest <= 20; + src <= 5; + when "11010" => + dest <= 16; + src <= 9; + when others => + dest <= 8; + src <= 8; + + end case; + end process; + + end generate NI_NUM8; + + NI_NUM9 : if NI_NUM = 9 generate + process(count) begin + + case count is + + when "00000" => + dest <= 4; + src <= 9; + when "00001" => + dest <= 22; + src <= 9; + when "00010" => + dest <= 16; + src <= 9; + when "00011" => + dest <= 14; + src <= 14; + when "00100" => + dest <= 1; + src <= 17; + when "00101" => + dest <= 2; + src <= 21; + when "00110" => + dest <= 20; + src <= 4; + when "00111" => + dest <= 12; + src <= 16; + when "01000" => + dest <= 23; + src <= 22; + when "01001" => + dest <= 15; + src <= 12; + when "01010" => + dest <= 11; + src <= 11; + when "01011" => + dest <= 9; + src <= 18; + when "01100" => + dest <= 0; + src <= 1; + when "01101" => + dest <= 18; + src <= 15; + when "01110" => + dest <= 5; + src <= 23; + when "01111" => + dest <= 3; + src <= 2; + when "10000" => + dest <= 24; + src <= 13; + when "10001" => + dest <= 10; + src <= 8; + when "10010" => + dest <= 6; + src <= 20; + when "10011" => + dest <= 13; + src <= 10; + when "10100" => + dest <= 19; + src <= 19; + when "10101" => + dest <= 7; + src <= 3; + when "10110" => + dest <= 9; + src <= 7; + when "10111" => + dest <= 8; + src <= 0; + when "11000" => + dest <= 9; + src <= 24; + when "11001" => + dest <= 21; + src <= 6; + when "11010" => + dest <= 17; + src <= 5; + when others => + dest <= 9; + src <= 9; + + end case; + end process; + + end generate NI_NUM9; + + NI_NUM10 : if NI_NUM = 10 generate + process(count) begin + + case count is + + when "00000" => + dest <= 5; + src <= 10; + when "00001" => + dest <= 3; + src <= 10; + when "00010" => + dest <= 22; + src <= 10; + when "00011" => + dest <= 15; + src <= 15; + when "00100" => + dest <= 7; + src <= 23; + when "00101" => + dest <= 8; + src <= 2; + when "00110" => + dest <= 1; + src <= 5; + when "00111" => + dest <= 18; + src <= 22; + when "01000" => + dest <= 4; + src <= 3; + when "01001" => + dest <= 21; + src <= 18; + when "01010" => + dest <= 17; + src <= 17; + when "01011" => + dest <= 10; + src <= 24; + when "01100" => + dest <= 6; + src <= 7; + when "01101" => + dest <= 24; + src <= 21; + when "01110" => + dest <= 11; + src <= 4; + when "01111" => + dest <= 9; + src <= 8; + when "10000" => + dest <= 0; + src <= 19; + when "10001" => + dest <= 16; + src <= 14; + when "10010" => + dest <= 12; + src <= 1; + when "10011" => + dest <= 19; + src <= 16; + when "10100" => + dest <= 20; + src <= 20; + when "10101" => + dest <= 13; + src <= 9; + when "10110" => + dest <= 10; + src <= 13; + when "10111" => + dest <= 14; + src <= 6; + when "11000" => + dest <= 10; + src <= 0; + when "11001" => + dest <= 2; + src <= 12; + when "11010" => + dest <= 23; + src <= 11; + when others => + dest <= 10; + src <= 10; + + end case; + end process; + + end generate NI_NUM10; + + NI_NUM11 : if NI_NUM = 11 generate + process(count) begin + + case count is + + when "00000" => + dest <= 6; + src <= 11; + when "00001" => + dest <= 4; + src <= 11; + when "00010" => + dest <= 23; + src <= 11; + when "00011" => + dest <= 16; + src <= 16; + when "00100" => + dest <= 8; + src <= 24; + when "00101" => + dest <= 9; + src <= 3; + when "00110" => + dest <= 2; + src <= 6; + when "00111" => + dest <= 19; + src <= 23; + when "01000" => + dest <= 0; + src <= 4; + when "01001" => + dest <= 22; + src <= 19; + when "01010" => + dest <= 18; + src <= 18; + when "01011" => + dest <= 11; + src <= 20; + when "01100" => + dest <= 7; + src <= 8; + when "01101" => + dest <= 20; + src <= 22; + when "01110" => + dest <= 12; + src <= 0; + when "01111" => + dest <= 5; + src <= 9; + when "10000" => + dest <= 1; + src <= 15; + when "10001" => + dest <= 17; + src <= 10; + when "10010" => + dest <= 13; + src <= 2; + when "10011" => + dest <= 15; + src <= 17; + when "10100" => + dest <= 21; + src <= 21; + when "10101" => + dest <= 14; + src <= 5; + when "10110" => + dest <= 11; + src <= 14; + when "10111" => + dest <= 10; + src <= 7; + when "11000" => + dest <= 11; + src <= 1; + when "11001" => + dest <= 3; + src <= 13; + when "11010" => + dest <= 24; + src <= 12; + when others => + dest <= 11; + src <= 11; + + end case; + end process; + + end generate NI_NUM11; + + NI_NUM12 : if NI_NUM = 12 generate + process(count) begin + + case count is + + when "00000" => + dest <= 7; + src <= 12; + when "00001" => + dest <= 0; + src <= 12; + when "00010" => + dest <= 24; + src <= 12; + when "00011" => + dest <= 17; + src <= 17; + when "00100" => + dest <= 9; + src <= 20; + when "00101" => + dest <= 5; + src <= 4; + when "00110" => + dest <= 3; + src <= 7; + when "00111" => + dest <= 15; + src <= 24; + when "01000" => + dest <= 1; + src <= 0; + when "01001" => + dest <= 23; + src <= 15; + when "01010" => + dest <= 19; + src <= 19; + when "01011" => + dest <= 12; + src <= 21; + when "01100" => + dest <= 8; + src <= 9; + when "01101" => + dest <= 21; + src <= 23; + when "01110" => + dest <= 13; + src <= 1; + when "01111" => + dest <= 6; + src <= 5; + when "10000" => + dest <= 2; + src <= 16; + when "10001" => + dest <= 18; + src <= 11; + when "10010" => + dest <= 14; + src <= 3; + when "10011" => + dest <= 16; + src <= 18; + when "10100" => + dest <= 22; + src <= 22; + when "10101" => + dest <= 10; + src <= 6; + when "10110" => + dest <= 12; + src <= 10; + when "10111" => + dest <= 11; + src <= 8; + when "11000" => + dest <= 12; + src <= 2; + when "11001" => + dest <= 4; + src <= 14; + when "11010" => + dest <= 20; + src <= 13; + when others => + dest <= 12; + src <= 12; + + end case; + end process; + + end generate NI_NUM12; + + NI_NUM13 : if NI_NUM = 13 generate + process(count) begin + + case count is + + when "00000" => + dest <= 8; + src <= 13; + when "00001" => + dest <= 1; + src <= 13; + when "00010" => + dest <= 20; + src <= 13; + when "00011" => + dest <= 18; + src <= 18; + when "00100" => + dest <= 5; + src <= 21; + when "00101" => + dest <= 6; + src <= 0; + when "00110" => + dest <= 4; + src <= 8; + when "00111" => + dest <= 16; + src <= 20; + when "01000" => + dest <= 2; + src <= 1; + when "01001" => + dest <= 24; + src <= 16; + when "01010" => + dest <= 15; + src <= 15; + when "01011" => + dest <= 13; + src <= 22; + when "01100" => + dest <= 9; + src <= 5; + when "01101" => + dest <= 22; + src <= 24; + when "01110" => + dest <= 14; + src <= 2; + when "01111" => + dest <= 7; + src <= 6; + when "10000" => + dest <= 3; + src <= 17; + when "10001" => + dest <= 19; + src <= 12; + when "10010" => + dest <= 10; + src <= 4; + when "10011" => + dest <= 17; + src <= 19; + when "10100" => + dest <= 23; + src <= 23; + when "10101" => + dest <= 11; + src <= 7; + when "10110" => + dest <= 13; + src <= 11; + when "10111" => + dest <= 12; + src <= 9; + when "11000" => + dest <= 13; + src <= 3; + when "11001" => + dest <= 0; + src <= 10; + when "11010" => + dest <= 21; + src <= 14; + when others => + dest <= 13; + src <= 13; + + end case; + end process; + + end generate NI_NUM13; + + NI_NUM14 : if NI_NUM = 14 generate + process(count) begin + + case count is + + when "00000" => + dest <= 9; + src <= 14; + when "00001" => + dest <= 2; + src <= 14; + when "00010" => + dest <= 21; + src <= 14; + when "00011" => + dest <= 19; + src <= 19; + when "00100" => + dest <= 6; + src <= 22; + when "00101" => + dest <= 7; + src <= 1; + when "00110" => + dest <= 0; + src <= 9; + when "00111" => + dest <= 17; + src <= 21; + when "01000" => + dest <= 3; + src <= 2; + when "01001" => + dest <= 20; + src <= 17; + when "01010" => + dest <= 16; + src <= 16; + when "01011" => + dest <= 14; + src <= 23; + when "01100" => + dest <= 5; + src <= 6; + when "01101" => + dest <= 23; + src <= 20; + when "01110" => + dest <= 10; + src <= 3; + when "01111" => + dest <= 8; + src <= 7; + when "10000" => + dest <= 4; + src <= 18; + when "10001" => + dest <= 15; + src <= 13; + when "10010" => + dest <= 11; + src <= 0; + when "10011" => + dest <= 18; + src <= 15; + when "10100" => + dest <= 24; + src <= 24; + when "10101" => + dest <= 12; + src <= 8; + when "10110" => + dest <= 14; + src <= 12; + when "10111" => + dest <= 13; + src <= 5; + when "11000" => + dest <= 14; + src <= 4; + when "11001" => + dest <= 1; + src <= 11; + when "11010" => + dest <= 22; + src <= 10; + when others => + dest <= 14; + src <= 14; + + end case; + end process; + + end generate NI_NUM14; + + NI_NUM15 : if NI_NUM = 15 generate + process(count) begin + + case count is + + when "00000" => + dest <= 10; + src <= 15; + when "00001" => + dest <= 8; + src <= 15; + when "00010" => + dest <= 2; + src <= 15; + when "00011" => + dest <= 20; + src <= 20; + when "00100" => + dest <= 12; + src <= 3; + when "00101" => + dest <= 13; + src <= 7; + when "00110" => + dest <= 6; + src <= 10; + when "00111" => + dest <= 23; + src <= 2; + when "01000" => + dest <= 9; + src <= 8; + when "01001" => + dest <= 1; + src <= 23; + when "01010" => + dest <= 22; + src <= 22; + when "01011" => + dest <= 15; + src <= 4; + when "01100" => + dest <= 11; + src <= 12; + when "01101" => + dest <= 4; + src <= 1; + when "01110" => + dest <= 16; + src <= 9; + when "01111" => + dest <= 14; + src <= 13; + when "10000" => + dest <= 5; + src <= 24; + when "10001" => + dest <= 21; + src <= 19; + when "10010" => + dest <= 17; + src <= 6; + when "10011" => + dest <= 24; + src <= 21; + when "10100" => + dest <= 0; + src <= 0; + when "10101" => + dest <= 18; + src <= 14; + when "10110" => + dest <= 15; + src <= 18; + when "10111" => + dest <= 19; + src <= 11; + when "11000" => + dest <= 15; + src <= 5; + when "11001" => + dest <= 7; + src <= 17; + when "11010" => + dest <= 3; + src <= 16; + when others => + dest <= 15; + src <= 15; + + end case; + end process; + + end generate NI_NUM15; + + NI_NUM16 : if NI_NUM = 16 generate + process(count) begin + + case count is + + when "00000" => + dest <= 11; + src <= 16; + when "00001" => + dest <= 9; + src <= 16; + when "00010" => + dest <= 3; + src <= 16; + when "00011" => + dest <= 21; + src <= 21; + when "00100" => + dest <= 13; + src <= 4; + when "00101" => + dest <= 14; + src <= 8; + when "00110" => + dest <= 7; + src <= 11; + when "00111" => + dest <= 24; + src <= 3; + when "01000" => + dest <= 5; + src <= 9; + when "01001" => + dest <= 2; + src <= 24; + when "01010" => + dest <= 23; + src <= 23; + when "01011" => + dest <= 16; + src <= 0; + when "01100" => + dest <= 12; + src <= 13; + when "01101" => + dest <= 0; + src <= 2; + when "01110" => + dest <= 17; + src <= 5; + when "01111" => + dest <= 10; + src <= 14; + when "10000" => + dest <= 6; + src <= 20; + when "10001" => + dest <= 22; + src <= 15; + when "10010" => + dest <= 18; + src <= 7; + when "10011" => + dest <= 20; + src <= 22; + when "10100" => + dest <= 1; + src <= 1; + when "10101" => + dest <= 19; + src <= 10; + when "10110" => + dest <= 16; + src <= 19; + when "10111" => + dest <= 15; + src <= 12; + when "11000" => + dest <= 16; + src <= 6; + when "11001" => + dest <= 8; + src <= 18; + when "11010" => + dest <= 4; + src <= 17; + when others => + dest <= 16; + src <= 16; + + end case; + end process; + + end generate NI_NUM16; + + NI_NUM17 : if NI_NUM = 17 generate + process(count) begin + + case count is + + when "00000" => + dest <= 12; + src <= 17; + when "00001" => + dest <= 5; + src <= 17; + when "00010" => + dest <= 4; + src <= 17; + when "00011" => + dest <= 22; + src <= 22; + when "00100" => + dest <= 14; + src <= 0; + when "00101" => + dest <= 10; + src <= 9; + when "00110" => + dest <= 8; + src <= 12; + when "00111" => + dest <= 20; + src <= 4; + when "01000" => + dest <= 6; + src <= 5; + when "01001" => + dest <= 3; + src <= 20; + when "01010" => + dest <= 24; + src <= 24; + when "01011" => + dest <= 17; + src <= 1; + when "01100" => + dest <= 13; + src <= 14; + when "01101" => + dest <= 1; + src <= 3; + when "01110" => + dest <= 18; + src <= 6; + when "01111" => + dest <= 11; + src <= 10; + when "10000" => + dest <= 7; + src <= 21; + when "10001" => + dest <= 23; + src <= 16; + when "10010" => + dest <= 19; + src <= 8; + when "10011" => + dest <= 21; + src <= 23; + when "10100" => + dest <= 2; + src <= 2; + when "10101" => + dest <= 15; + src <= 11; + when "10110" => + dest <= 17; + src <= 15; + when "10111" => + dest <= 16; + src <= 13; + when "11000" => + dest <= 17; + src <= 7; + when "11001" => + dest <= 9; + src <= 19; + when "11010" => + dest <= 0; + src <= 18; + when others => + dest <= 17; + src <= 17; + + end case; + end process; + + end generate NI_NUM17; + + NI_NUM18 : if NI_NUM = 18 generate + process(count) begin + + case count is + + when "00000" => + dest <= 13; + src <= 18; + when "00001" => + dest <= 6; + src <= 18; + when "00010" => + dest <= 0; + src <= 18; + when "00011" => + dest <= 23; + src <= 23; + when "00100" => + dest <= 10; + src <= 1; + when "00101" => + dest <= 11; + src <= 5; + when "00110" => + dest <= 9; + src <= 13; + when "00111" => + dest <= 21; + src <= 0; + when "01000" => + dest <= 7; + src <= 6; + when "01001" => + dest <= 4; + src <= 21; + when "01010" => + dest <= 20; + src <= 20; + when "01011" => + dest <= 18; + src <= 2; + when "01100" => + dest <= 14; + src <= 10; + when "01101" => + dest <= 2; + src <= 4; + when "01110" => + dest <= 19; + src <= 7; + when "01111" => + dest <= 12; + src <= 11; + when "10000" => + dest <= 8; + src <= 22; + when "10001" => + dest <= 24; + src <= 17; + when "10010" => + dest <= 15; + src <= 9; + when "10011" => + dest <= 22; + src <= 24; + when "10100" => + dest <= 3; + src <= 3; + when "10101" => + dest <= 16; + src <= 12; + when "10110" => + dest <= 18; + src <= 16; + when "10111" => + dest <= 17; + src <= 14; + when "11000" => + dest <= 18; + src <= 8; + when "11001" => + dest <= 5; + src <= 15; + when "11010" => + dest <= 1; + src <= 19; + when others => + dest <= 18; + src <= 18; + + end case; + end process; + + end generate NI_NUM18; + + NI_NUM19 : if NI_NUM = 19 generate + process(count) begin + + case count is + + when "00000" => + dest <= 14; + src <= 19; + when "00001" => + dest <= 7; + src <= 19; + when "00010" => + dest <= 1; + src <= 19; + when "00011" => + dest <= 24; + src <= 24; + when "00100" => + dest <= 11; + src <= 2; + when "00101" => + dest <= 12; + src <= 6; + when "00110" => + dest <= 5; + src <= 14; + when "00111" => + dest <= 22; + src <= 1; + when "01000" => + dest <= 8; + src <= 7; + when "01001" => + dest <= 0; + src <= 22; + when "01010" => + dest <= 21; + src <= 21; + when "01011" => + dest <= 19; + src <= 3; + when "01100" => + dest <= 10; + src <= 11; + when "01101" => + dest <= 3; + src <= 0; + when "01110" => + dest <= 15; + src <= 8; + when "01111" => + dest <= 13; + src <= 12; + when "10000" => + dest <= 9; + src <= 23; + when "10001" => + dest <= 20; + src <= 18; + when "10010" => + dest <= 16; + src <= 5; + when "10011" => + dest <= 23; + src <= 20; + when "10100" => + dest <= 4; + src <= 4; + when "10101" => + dest <= 17; + src <= 13; + when "10110" => + dest <= 19; + src <= 17; + when "10111" => + dest <= 18; + src <= 10; + when "11000" => + dest <= 19; + src <= 9; + when "11001" => + dest <= 6; + src <= 16; + when "11010" => + dest <= 2; + src <= 15; + when others => + dest <= 19; + src <= 19; + + end case; + end process; + + end generate NI_NUM19; + + NI_NUM20 : if NI_NUM = 20 generate + process(count) begin + + case count is + + when "00000" => + dest <= 15; + src <= 20; + when "00001" => + dest <= 13; + src <= 20; + when "00010" => + dest <= 7; + src <= 20; + when "00011" => + dest <= 0; + src <= 0; + when "00100" => + dest <= 17; + src <= 8; + when "00101" => + dest <= 18; + src <= 12; + when "00110" => + dest <= 11; + src <= 15; + when "00111" => + dest <= 3; + src <= 7; + when "01000" => + dest <= 14; + src <= 13; + when "01001" => + dest <= 6; + src <= 3; + when "01010" => + dest <= 2; + src <= 2; + when "01011" => + dest <= 20; + src <= 9; + when "01100" => + dest <= 16; + src <= 17; + when "01101" => + dest <= 9; + src <= 6; + when "01110" => + dest <= 21; + src <= 14; + when "01111" => + dest <= 19; + src <= 18; + when "10000" => + dest <= 10; + src <= 4; + when "10001" => + dest <= 1; + src <= 24; + when "10010" => + dest <= 22; + src <= 11; + when "10011" => + dest <= 4; + src <= 1; + when "10100" => + dest <= 5; + src <= 5; + when "10101" => + dest <= 23; + src <= 19; + when "10110" => + dest <= 20; + src <= 23; + when "10111" => + dest <= 24; + src <= 16; + when "11000" => + dest <= 20; + src <= 10; + when "11001" => + dest <= 12; + src <= 22; + when "11010" => + dest <= 8; + src <= 21; + when others => + dest <= 20; + src <= 20; + + end case; + end process; + + end generate NI_NUM20; + + NI_NUM21 : if NI_NUM = 21 generate + process(count) begin + + case count is + + when "00000" => + dest <= 16; + src <= 21; + when "00001" => + dest <= 14; + src <= 21; + when "00010" => + dest <= 8; + src <= 21; + when "00011" => + dest <= 1; + src <= 1; + when "00100" => + dest <= 18; + src <= 9; + when "00101" => + dest <= 19; + src <= 13; + when "00110" => + dest <= 12; + src <= 16; + when "00111" => + dest <= 4; + src <= 8; + when "01000" => + dest <= 10; + src <= 14; + when "01001" => + dest <= 7; + src <= 4; + when "01010" => + dest <= 3; + src <= 3; + when "01011" => + dest <= 21; + src <= 5; + when "01100" => + dest <= 17; + src <= 18; + when "01101" => + dest <= 5; + src <= 7; + when "01110" => + dest <= 22; + src <= 10; + when "01111" => + dest <= 15; + src <= 19; + when "10000" => + dest <= 11; + src <= 0; + when "10001" => + dest <= 2; + src <= 20; + when "10010" => + dest <= 23; + src <= 12; + when "10011" => + dest <= 0; + src <= 2; + when "10100" => + dest <= 6; + src <= 6; + when "10101" => + dest <= 24; + src <= 15; + when "10110" => + dest <= 21; + src <= 24; + when "10111" => + dest <= 20; + src <= 17; + when "11000" => + dest <= 21; + src <= 11; + when "11001" => + dest <= 13; + src <= 23; + when "11010" => + dest <= 9; + src <= 22; + when others => + dest <= 21; + src <= 21; + + end case; + end process; + + end generate NI_NUM21; + + NI_NUM22 : if NI_NUM = 22 generate + process(count) begin + + case count is + + when "00000" => + dest <= 17; + src <= 22; + when "00001" => + dest <= 10; + src <= 22; + when "00010" => + dest <= 9; + src <= 22; + when "00011" => + dest <= 2; + src <= 2; + when "00100" => + dest <= 19; + src <= 5; + when "00101" => + dest <= 15; + src <= 14; + when "00110" => + dest <= 13; + src <= 17; + when "00111" => + dest <= 0; + src <= 9; + when "01000" => + dest <= 11; + src <= 10; + when "01001" => + dest <= 8; + src <= 0; + when "01010" => + dest <= 4; + src <= 4; + when "01011" => + dest <= 22; + src <= 6; + when "01100" => + dest <= 18; + src <= 19; + when "01101" => + dest <= 6; + src <= 8; + when "01110" => + dest <= 23; + src <= 11; + when "01111" => + dest <= 16; + src <= 15; + when "10000" => + dest <= 12; + src <= 1; + when "10001" => + dest <= 3; + src <= 21; + when "10010" => + dest <= 24; + src <= 13; + when "10011" => + dest <= 1; + src <= 3; + when "10100" => + dest <= 7; + src <= 7; + when "10101" => + dest <= 20; + src <= 16; + when "10110" => + dest <= 22; + src <= 20; + when "10111" => + dest <= 21; + src <= 18; + when "11000" => + dest <= 22; + src <= 12; + when "11001" => + dest <= 14; + src <= 24; + when "11010" => + dest <= 5; + src <= 23; + when others => + dest <= 22; + src <= 22; + + end case; + end process; + + end generate NI_NUM22; + + NI_NUM23 : if NI_NUM = 23 generate + process(count) begin + + case count is + + when "00000" => + dest <= 18; + src <= 23; + when "00001" => + dest <= 11; + src <= 23; + when "00010" => + dest <= 5; + src <= 23; + when "00011" => + dest <= 3; + src <= 3; + when "00100" => + dest <= 15; + src <= 6; + when "00101" => + dest <= 16; + src <= 10; + when "00110" => + dest <= 14; + src <= 18; + when "00111" => + dest <= 1; + src <= 5; + when "01000" => + dest <= 12; + src <= 11; + when "01001" => + dest <= 9; + src <= 1; + when "01010" => + dest <= 0; + src <= 0; + when "01011" => + dest <= 23; + src <= 7; + when "01100" => + dest <= 19; + src <= 15; + when "01101" => + dest <= 7; + src <= 9; + when "01110" => + dest <= 24; + src <= 12; + when "01111" => + dest <= 17; + src <= 16; + when "10000" => + dest <= 13; + src <= 2; + when "10001" => + dest <= 4; + src <= 22; + when "10010" => + dest <= 20; + src <= 14; + when "10011" => + dest <= 2; + src <= 4; + when "10100" => + dest <= 8; + src <= 8; + when "10101" => + dest <= 21; + src <= 17; + when "10110" => + dest <= 23; + src <= 21; + when "10111" => + dest <= 22; + src <= 19; + when "11000" => + dest <= 23; + src <= 13; + when "11001" => + dest <= 10; + src <= 20; + when "11010" => + dest <= 6; + src <= 24; + when others => + dest <= 23; + src <= 23; + + end case; + end process; + + end generate NI_NUM23; + + NI_NUM24 : if NI_NUM = 24 generate + process(count) begin + + case count is + + when "00000" => + dest <= 19; + src <= 24; + when "00001" => + dest <= 12; + src <= 24; + when "00010" => + dest <= 6; + src <= 24; + when "00011" => + dest <= 4; + src <= 4; + when "00100" => + dest <= 16; + src <= 7; + when "00101" => + dest <= 17; + src <= 11; + when "00110" => + dest <= 10; + src <= 19; + when "00111" => + dest <= 2; + src <= 6; + when "01000" => + dest <= 13; + src <= 12; + when "01001" => + dest <= 5; + src <= 2; + when "01010" => + dest <= 1; + src <= 1; + when "01011" => + dest <= 24; + src <= 8; + when "01100" => + dest <= 15; + src <= 16; + when "01101" => + dest <= 8; + src <= 5; + when "01110" => + dest <= 20; + src <= 13; + when "01111" => + dest <= 18; + src <= 17; + when "10000" => + dest <= 14; + src <= 3; + when "10001" => + dest <= 0; + src <= 23; + when "10010" => + dest <= 21; + src <= 10; + when "10011" => + dest <= 3; + src <= 0; + when "10100" => + dest <= 9; + src <= 9; + when "10101" => + dest <= 22; + src <= 18; + when "10110" => + dest <= 24; + src <= 22; + when "10111" => + dest <= 23; + src <= 15; + when "11000" => + dest <= 24; + src <= 14; + when "11001" => + dest <= 11; + src <= 21; + when "11010" => + dest <= 7; + src <= 20; + when others => + dest <= 24; + src <= 24; + + end case; + end process; + + end generate NI_NUM24; + +end data; diff --git a/noc/vhdl/generated/bt5x5/router_ST.vhd b/noc/vhdl/generated/bt5x5/router_ST.vhd deleted file mode 100644 index 3a16b04..0000000 --- a/noc/vhdl/generated/bt5x5/router_ST.vhd +++ /dev/null @@ -1,193 +0,0 @@ -------------------------------------------------------------- --- router_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity router_ST is - port ( - count : in unsigned(4 downto 0); - sels : out select_signals - ); -end router_ST; - -architecture data of router_ST is -begin -- data - -process(count) begin - - case count is - - when "00000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "00001" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "00010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "00011" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 2; - when "00100" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "00101" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 3; - sels(4) <= 1; - when "00110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "00111" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "01000" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "01001" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 3; - when "01010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "01011" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "01100" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 1; - when "01101" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "01110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "01111" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "10000" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "10001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 3; - when "10010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 1; - when "10011" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "10100" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "10101" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "10110" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 3; - when "10111" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "11000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "11001" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "11010" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when others => sels <= (others => 0); - - end case; -end process; - -end data; diff --git a/noc/vhdl/generated/bt5x5/router_ST_25.vhd b/noc/vhdl/generated/bt5x5/router_ST_25.vhd new file mode 100644 index 0000000..f1ad19b --- /dev/null +++ b/noc/vhdl/generated/bt5x5/router_ST_25.vhd @@ -0,0 +1,195 @@ +------------------------------------------------------------- +-- router_ST_25.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity router_ST_25 is + generic ( + NI_NUM : natural + ); + port ( + count : in unsigned(4 downto 0); + sels : out select_signals + ); +end router_ST_25; + +architecture data of router_ST_25 is +begin -- data + +process(count) begin + + case count is + + when "00000" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "00001" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= L; + sels(W) <= D; + sels(L) <= D; + when "00010" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "00011" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= S; + when "00100" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "00101" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= L; + sels(W) <= S; + sels(L) <= E; + when "00110" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= W; + sels(W) <= E; + sels(L) <= N; + when "00111" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "01000" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= N; + when "01001" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= L; + sels(W) <= E; + sels(L) <= W; + when "01010" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "01011" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "01100" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= W; + sels(W) <= S; + sels(L) <= E; + when "01101" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "01110" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= W; + sels(W) <= D; + sels(L) <= N; + when "01111" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= L; + sels(W) <= D; + sels(L) <= N; + when "10000" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= W; + when "10001" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= N; + sels(L) <= W; + when "10010" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= S; + sels(L) <= E; + when "10011" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= E; + when "10100" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= W; + sels(W) <= D; + sels(L) <= S; + when "10101" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= N; + when "10110" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= L; + sels(W) <= N; + sels(L) <= W; + when "10111" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= N; + sels(W) <= L; + sels(L) <= E; + when "11000" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "11001" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= L; + sels(L) <= E; + when "11010" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= E; + when others => sels <= (others => D); + + end case; +end process; + +end data; diff --git a/noc/vhdl/generated/bt6x6/ni_ST.vhd b/noc/vhdl/generated/bt6x6/ni_ST_36.vhd similarity index 94% rename from noc/vhdl/generated/bt6x6/ni_ST.vhd rename to noc/vhdl/generated/bt6x6/ni_ST_36.vhd index c76bc36..79786f2 100644 --- a/noc/vhdl/generated/bt6x6/ni_ST.vhd +++ b/noc/vhdl/generated/bt6x6/ni_ST_36.vhd @@ -1,5065 +1,5064 @@ -------------------------------------------------------------- --- ni_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity ni_ST is - generic ( - NI_NUM : natural); - port ( - count : in unsigned(5 downto 0); - dest : out integer range 0 to 36; - src : out integer range 0 to 36 - ); -end ni_ST; - -architecture data of ni_ST is -begin -- data - - NI_NUM0 : if NI_NUM = 0 generate - process(count) begin - - case count is - - when "000000" => - dest <= 11; - src <= 0; - when "000001" => - dest <= 0; - src <= 0; - when "000010" => - dest <= 27; - src <= 0; - when "000011" => - dest <= 22; - src <= 0; - when "000100" => - dest <= 35; - src <= 31; - when "000101" => - dest <= 16; - src <= 28; - when "000110" => - dest <= 0; - src <= 21; - when "000111" => - dest <= 15; - src <= 0; - when "001000" => - dest <= 20; - src <= 7; - when "001001" => - dest <= 4; - src <= 15; - when "001010" => - dest <= 0; - src <= 20; - when "001011" => - dest <= 34; - src <= 26; - when "001100" => - dest <= 33; - src <= 0; - when "001101" => - dest <= 28; - src <= 2; - when "001110" => - dest <= 6; - src <= 27; - when "001111" => - dest <= 26; - src <= 22; - when "010000" => - dest <= 19; - src <= 8; - when "010001" => - dest <= 5; - src <= 30; - when "010010" => - dest <= 10; - src <= 9; - when "010011" => - dest <= 9; - src <= 14; - when "010100" => - dest <= 23; - src <= 1; - when "010101" => - dest <= 0; - src <= 16; - when "010110" => - dest <= 13; - src <= 23; - when "010111" => - dest <= 32; - src <= 32; - when "011000" => - dest <= 25; - src <= 0; - when "011001" => - dest <= 17; - src <= 33; - when "011010" => - dest <= 29; - src <= 19; - when "011011" => - dest <= 8; - src <= 29; - when "011100" => - dest <= 18; - src <= 10; - when "011101" => - dest <= 3; - src <= 17; - when "011110" => - dest <= 0; - src <= 25; - when "011111" => - dest <= 31; - src <= 13; - when "100000" => - dest <= 24; - src <= 34; - when "100001" => - dest <= 7; - src <= 18; - when "100010" => - dest <= 2; - src <= 3; - when "100011" => - dest <= 12; - src <= 11; - when "100100" => - dest <= 0; - src <= 12; - when "100101" => - dest <= 30; - src <= 35; - when "100110" => - dest <= 1; - src <= 4; - when "100111" => - dest <= 0; - src <= 24; - when "101000" => - dest <= 21; - src <= 6; - when "101001" => - dest <= 14; - src <= 5; - when others => - dest <= 0; - src <= 0; - - end case; - end process; - - end generate NI_NUM0; - - NI_NUM1 : if NI_NUM = 1 generate - process(count) begin - - case count is - - when "000000" => - dest <= 6; - src <= 1; - when "000001" => - dest <= 1; - src <= 1; - when "000010" => - dest <= 28; - src <= 1; - when "000011" => - dest <= 23; - src <= 1; - when "000100" => - dest <= 30; - src <= 32; - when "000101" => - dest <= 17; - src <= 29; - when "000110" => - dest <= 1; - src <= 22; - when "000111" => - dest <= 16; - src <= 1; - when "001000" => - dest <= 21; - src <= 8; - when "001001" => - dest <= 5; - src <= 16; - when "001010" => - dest <= 1; - src <= 21; - when "001011" => - dest <= 35; - src <= 27; - when "001100" => - dest <= 34; - src <= 1; - when "001101" => - dest <= 29; - src <= 3; - when "001110" => - dest <= 7; - src <= 28; - when "001111" => - dest <= 27; - src <= 23; - when "010000" => - dest <= 20; - src <= 9; - when "010001" => - dest <= 0; - src <= 31; - when "010010" => - dest <= 11; - src <= 10; - when "010011" => - dest <= 10; - src <= 15; - when "010100" => - dest <= 18; - src <= 2; - when "010101" => - dest <= 1; - src <= 17; - when "010110" => - dest <= 14; - src <= 18; - when "010111" => - dest <= 33; - src <= 33; - when "011000" => - dest <= 26; - src <= 1; - when "011001" => - dest <= 12; - src <= 34; - when "011010" => - dest <= 24; - src <= 20; - when "011011" => - dest <= 9; - src <= 24; - when "011100" => - dest <= 19; - src <= 11; - when "011101" => - dest <= 4; - src <= 12; - when "011110" => - dest <= 1; - src <= 26; - when "011111" => - dest <= 32; - src <= 14; - when "100000" => - dest <= 25; - src <= 35; - when "100001" => - dest <= 8; - src <= 19; - when "100010" => - dest <= 3; - src <= 4; - when "100011" => - dest <= 13; - src <= 6; - when "100100" => - dest <= 1; - src <= 13; - when "100101" => - dest <= 31; - src <= 30; - when "100110" => - dest <= 2; - src <= 5; - when "100111" => - dest <= 1; - src <= 25; - when "101000" => - dest <= 22; - src <= 7; - when "101001" => - dest <= 15; - src <= 0; - when others => - dest <= 1; - src <= 1; - - end case; - end process; - - end generate NI_NUM1; - - NI_NUM2 : if NI_NUM = 2 generate - process(count) begin - - case count is - - when "000000" => - dest <= 7; - src <= 2; - when "000001" => - dest <= 2; - src <= 2; - when "000010" => - dest <= 29; - src <= 2; - when "000011" => - dest <= 18; - src <= 2; - when "000100" => - dest <= 31; - src <= 33; - when "000101" => - dest <= 12; - src <= 24; - when "000110" => - dest <= 2; - src <= 23; - when "000111" => - dest <= 17; - src <= 2; - when "001000" => - dest <= 22; - src <= 9; - when "001001" => - dest <= 0; - src <= 17; - when "001010" => - dest <= 2; - src <= 22; - when "001011" => - dest <= 30; - src <= 28; - when "001100" => - dest <= 35; - src <= 2; - when "001101" => - dest <= 24; - src <= 4; - when "001110" => - dest <= 8; - src <= 29; - when "001111" => - dest <= 28; - src <= 18; - when "010000" => - dest <= 21; - src <= 10; - when "010001" => - dest <= 1; - src <= 32; - when "010010" => - dest <= 6; - src <= 11; - when "010011" => - dest <= 11; - src <= 16; - when "010100" => - dest <= 19; - src <= 3; - when "010101" => - dest <= 2; - src <= 12; - when "010110" => - dest <= 15; - src <= 19; - when "010111" => - dest <= 34; - src <= 34; - when "011000" => - dest <= 27; - src <= 2; - when "011001" => - dest <= 13; - src <= 35; - when "011010" => - dest <= 25; - src <= 21; - when "011011" => - dest <= 10; - src <= 25; - when "011100" => - dest <= 20; - src <= 6; - when "011101" => - dest <= 5; - src <= 13; - when "011110" => - dest <= 2; - src <= 27; - when "011111" => - dest <= 33; - src <= 15; - when "100000" => - dest <= 26; - src <= 30; - when "100001" => - dest <= 9; - src <= 20; - when "100010" => - dest <= 4; - src <= 5; - when "100011" => - dest <= 14; - src <= 7; - when "100100" => - dest <= 2; - src <= 14; - when "100101" => - dest <= 32; - src <= 31; - when "100110" => - dest <= 3; - src <= 0; - when "100111" => - dest <= 2; - src <= 26; - when "101000" => - dest <= 23; - src <= 8; - when "101001" => - dest <= 16; - src <= 1; - when others => - dest <= 2; - src <= 2; - - end case; - end process; - - end generate NI_NUM2; - - NI_NUM3 : if NI_NUM = 3 generate - process(count) begin - - case count is - - when "000000" => - dest <= 8; - src <= 3; - when "000001" => - dest <= 3; - src <= 3; - when "000010" => - dest <= 24; - src <= 3; - when "000011" => - dest <= 19; - src <= 3; - when "000100" => - dest <= 32; - src <= 34; - when "000101" => - dest <= 13; - src <= 25; - when "000110" => - dest <= 3; - src <= 18; - when "000111" => - dest <= 12; - src <= 3; - when "001000" => - dest <= 23; - src <= 10; - when "001001" => - dest <= 1; - src <= 12; - when "001010" => - dest <= 3; - src <= 23; - when "001011" => - dest <= 31; - src <= 29; - when "001100" => - dest <= 30; - src <= 3; - when "001101" => - dest <= 25; - src <= 5; - when "001110" => - dest <= 9; - src <= 24; - when "001111" => - dest <= 29; - src <= 19; - when "010000" => - dest <= 22; - src <= 11; - when "010001" => - dest <= 2; - src <= 33; - when "010010" => - dest <= 7; - src <= 6; - when "010011" => - dest <= 6; - src <= 17; - when "010100" => - dest <= 20; - src <= 4; - when "010101" => - dest <= 3; - src <= 13; - when "010110" => - dest <= 16; - src <= 20; - when "010111" => - dest <= 35; - src <= 35; - when "011000" => - dest <= 28; - src <= 3; - when "011001" => - dest <= 14; - src <= 30; - when "011010" => - dest <= 26; - src <= 22; - when "011011" => - dest <= 11; - src <= 26; - when "011100" => - dest <= 21; - src <= 7; - when "011101" => - dest <= 0; - src <= 14; - when "011110" => - dest <= 3; - src <= 28; - when "011111" => - dest <= 34; - src <= 16; - when "100000" => - dest <= 27; - src <= 31; - when "100001" => - dest <= 10; - src <= 21; - when "100010" => - dest <= 5; - src <= 0; - when "100011" => - dest <= 15; - src <= 8; - when "100100" => - dest <= 3; - src <= 15; - when "100101" => - dest <= 33; - src <= 32; - when "100110" => - dest <= 4; - src <= 1; - when "100111" => - dest <= 3; - src <= 27; - when "101000" => - dest <= 18; - src <= 9; - when "101001" => - dest <= 17; - src <= 2; - when others => - dest <= 3; - src <= 3; - - end case; - end process; - - end generate NI_NUM3; - - NI_NUM4 : if NI_NUM = 4 generate - process(count) begin - - case count is - - when "000000" => - dest <= 9; - src <= 4; - when "000001" => - dest <= 4; - src <= 4; - when "000010" => - dest <= 25; - src <= 4; - when "000011" => - dest <= 20; - src <= 4; - when "000100" => - dest <= 33; - src <= 35; - when "000101" => - dest <= 14; - src <= 26; - when "000110" => - dest <= 4; - src <= 19; - when "000111" => - dest <= 13; - src <= 4; - when "001000" => - dest <= 18; - src <= 11; - when "001001" => - dest <= 2; - src <= 13; - when "001010" => - dest <= 4; - src <= 18; - when "001011" => - dest <= 32; - src <= 24; - when "001100" => - dest <= 31; - src <= 4; - when "001101" => - dest <= 26; - src <= 0; - when "001110" => - dest <= 10; - src <= 25; - when "001111" => - dest <= 24; - src <= 20; - when "010000" => - dest <= 23; - src <= 6; - when "010001" => - dest <= 3; - src <= 34; - when "010010" => - dest <= 8; - src <= 7; - when "010011" => - dest <= 7; - src <= 12; - when "010100" => - dest <= 21; - src <= 5; - when "010101" => - dest <= 4; - src <= 14; - when "010110" => - dest <= 17; - src <= 21; - when "010111" => - dest <= 30; - src <= 30; - when "011000" => - dest <= 29; - src <= 4; - when "011001" => - dest <= 15; - src <= 31; - when "011010" => - dest <= 27; - src <= 23; - when "011011" => - dest <= 6; - src <= 27; - when "011100" => - dest <= 22; - src <= 8; - when "011101" => - dest <= 1; - src <= 15; - when "011110" => - dest <= 4; - src <= 29; - when "011111" => - dest <= 35; - src <= 17; - when "100000" => - dest <= 28; - src <= 32; - when "100001" => - dest <= 11; - src <= 22; - when "100010" => - dest <= 0; - src <= 1; - when "100011" => - dest <= 16; - src <= 9; - when "100100" => - dest <= 4; - src <= 16; - when "100101" => - dest <= 34; - src <= 33; - when "100110" => - dest <= 5; - src <= 2; - when "100111" => - dest <= 4; - src <= 28; - when "101000" => - dest <= 19; - src <= 10; - when "101001" => - dest <= 12; - src <= 3; - when others => - dest <= 4; - src <= 4; - - end case; - end process; - - end generate NI_NUM4; - - NI_NUM5 : if NI_NUM = 5 generate - process(count) begin - - case count is - - when "000000" => - dest <= 10; - src <= 5; - when "000001" => - dest <= 5; - src <= 5; - when "000010" => - dest <= 26; - src <= 5; - when "000011" => - dest <= 21; - src <= 5; - when "000100" => - dest <= 34; - src <= 30; - when "000101" => - dest <= 15; - src <= 27; - when "000110" => - dest <= 5; - src <= 20; - when "000111" => - dest <= 14; - src <= 5; - when "001000" => - dest <= 19; - src <= 6; - when "001001" => - dest <= 3; - src <= 14; - when "001010" => - dest <= 5; - src <= 19; - when "001011" => - dest <= 33; - src <= 25; - when "001100" => - dest <= 32; - src <= 5; - when "001101" => - dest <= 27; - src <= 1; - when "001110" => - dest <= 11; - src <= 26; - when "001111" => - dest <= 25; - src <= 21; - when "010000" => - dest <= 18; - src <= 7; - when "010001" => - dest <= 4; - src <= 35; - when "010010" => - dest <= 9; - src <= 8; - when "010011" => - dest <= 8; - src <= 13; - when "010100" => - dest <= 22; - src <= 0; - when "010101" => - dest <= 5; - src <= 15; - when "010110" => - dest <= 12; - src <= 22; - when "010111" => - dest <= 31; - src <= 31; - when "011000" => - dest <= 24; - src <= 5; - when "011001" => - dest <= 16; - src <= 32; - when "011010" => - dest <= 28; - src <= 18; - when "011011" => - dest <= 7; - src <= 28; - when "011100" => - dest <= 23; - src <= 9; - when "011101" => - dest <= 2; - src <= 16; - when "011110" => - dest <= 5; - src <= 24; - when "011111" => - dest <= 30; - src <= 12; - when "100000" => - dest <= 29; - src <= 33; - when "100001" => - dest <= 6; - src <= 23; - when "100010" => - dest <= 1; - src <= 2; - when "100011" => - dest <= 17; - src <= 10; - when "100100" => - dest <= 5; - src <= 17; - when "100101" => - dest <= 35; - src <= 34; - when "100110" => - dest <= 0; - src <= 3; - when "100111" => - dest <= 5; - src <= 29; - when "101000" => - dest <= 20; - src <= 11; - when "101001" => - dest <= 13; - src <= 4; - when others => - dest <= 5; - src <= 5; - - end case; - end process; - - end generate NI_NUM5; - - NI_NUM6 : if NI_NUM = 6 generate - process(count) begin - - case count is - - when "000000" => - dest <= 17; - src <= 6; - when "000001" => - dest <= 6; - src <= 6; - when "000010" => - dest <= 33; - src <= 6; - when "000011" => - dest <= 28; - src <= 6; - when "000100" => - dest <= 5; - src <= 1; - when "000101" => - dest <= 22; - src <= 34; - when "000110" => - dest <= 6; - src <= 27; - when "000111" => - dest <= 21; - src <= 6; - when "001000" => - dest <= 26; - src <= 13; - when "001001" => - dest <= 10; - src <= 21; - when "001010" => - dest <= 6; - src <= 26; - when "001011" => - dest <= 4; - src <= 32; - when "001100" => - dest <= 3; - src <= 6; - when "001101" => - dest <= 34; - src <= 8; - when "001110" => - dest <= 12; - src <= 33; - when "001111" => - dest <= 32; - src <= 28; - when "010000" => - dest <= 25; - src <= 14; - when "010001" => - dest <= 11; - src <= 0; - when "010010" => - dest <= 16; - src <= 15; - when "010011" => - dest <= 15; - src <= 20; - when "010100" => - dest <= 29; - src <= 7; - when "010101" => - dest <= 6; - src <= 22; - when "010110" => - dest <= 19; - src <= 29; - when "010111" => - dest <= 2; - src <= 2; - when "011000" => - dest <= 31; - src <= 6; - when "011001" => - dest <= 23; - src <= 3; - when "011010" => - dest <= 35; - src <= 25; - when "011011" => - dest <= 14; - src <= 35; - when "011100" => - dest <= 24; - src <= 16; - when "011101" => - dest <= 9; - src <= 23; - when "011110" => - dest <= 6; - src <= 31; - when "011111" => - dest <= 1; - src <= 19; - when "100000" => - dest <= 30; - src <= 4; - when "100001" => - dest <= 13; - src <= 24; - when "100010" => - dest <= 8; - src <= 9; - when "100011" => - dest <= 18; - src <= 17; - when "100100" => - dest <= 6; - src <= 18; - when "100101" => - dest <= 0; - src <= 5; - when "100110" => - dest <= 7; - src <= 10; - when "100111" => - dest <= 6; - src <= 30; - when "101000" => - dest <= 27; - src <= 12; - when "101001" => - dest <= 20; - src <= 11; - when others => - dest <= 6; - src <= 6; - - end case; - end process; - - end generate NI_NUM6; - - NI_NUM7 : if NI_NUM = 7 generate - process(count) begin - - case count is - - when "000000" => - dest <= 12; - src <= 7; - when "000001" => - dest <= 7; - src <= 7; - when "000010" => - dest <= 34; - src <= 7; - when "000011" => - dest <= 29; - src <= 7; - when "000100" => - dest <= 0; - src <= 2; - when "000101" => - dest <= 23; - src <= 35; - when "000110" => - dest <= 7; - src <= 28; - when "000111" => - dest <= 22; - src <= 7; - when "001000" => - dest <= 27; - src <= 14; - when "001001" => - dest <= 11; - src <= 22; - when "001010" => - dest <= 7; - src <= 27; - when "001011" => - dest <= 5; - src <= 33; - when "001100" => - dest <= 4; - src <= 7; - when "001101" => - dest <= 35; - src <= 9; - when "001110" => - dest <= 13; - src <= 34; - when "001111" => - dest <= 33; - src <= 29; - when "010000" => - dest <= 26; - src <= 15; - when "010001" => - dest <= 6; - src <= 1; - when "010010" => - dest <= 17; - src <= 16; - when "010011" => - dest <= 16; - src <= 21; - when "010100" => - dest <= 24; - src <= 8; - when "010101" => - dest <= 7; - src <= 23; - when "010110" => - dest <= 20; - src <= 24; - when "010111" => - dest <= 3; - src <= 3; - when "011000" => - dest <= 32; - src <= 7; - when "011001" => - dest <= 18; - src <= 4; - when "011010" => - dest <= 30; - src <= 26; - when "011011" => - dest <= 15; - src <= 30; - when "011100" => - dest <= 25; - src <= 17; - when "011101" => - dest <= 10; - src <= 18; - when "011110" => - dest <= 7; - src <= 32; - when "011111" => - dest <= 2; - src <= 20; - when "100000" => - dest <= 31; - src <= 5; - when "100001" => - dest <= 14; - src <= 25; - when "100010" => - dest <= 9; - src <= 10; - when "100011" => - dest <= 19; - src <= 12; - when "100100" => - dest <= 7; - src <= 19; - when "100101" => - dest <= 1; - src <= 0; - when "100110" => - dest <= 8; - src <= 11; - when "100111" => - dest <= 7; - src <= 31; - when "101000" => - dest <= 28; - src <= 13; - when "101001" => - dest <= 21; - src <= 6; - when others => - dest <= 7; - src <= 7; - - end case; - end process; - - end generate NI_NUM7; - - NI_NUM8 : if NI_NUM = 8 generate - process(count) begin - - case count is - - when "000000" => - dest <= 13; - src <= 8; - when "000001" => - dest <= 8; - src <= 8; - when "000010" => - dest <= 35; - src <= 8; - when "000011" => - dest <= 24; - src <= 8; - when "000100" => - dest <= 1; - src <= 3; - when "000101" => - dest <= 18; - src <= 30; - when "000110" => - dest <= 8; - src <= 29; - when "000111" => - dest <= 23; - src <= 8; - when "001000" => - dest <= 28; - src <= 15; - when "001001" => - dest <= 6; - src <= 23; - when "001010" => - dest <= 8; - src <= 28; - when "001011" => - dest <= 0; - src <= 34; - when "001100" => - dest <= 5; - src <= 8; - when "001101" => - dest <= 30; - src <= 10; - when "001110" => - dest <= 14; - src <= 35; - when "001111" => - dest <= 34; - src <= 24; - when "010000" => - dest <= 27; - src <= 16; - when "010001" => - dest <= 7; - src <= 2; - when "010010" => - dest <= 12; - src <= 17; - when "010011" => - dest <= 17; - src <= 22; - when "010100" => - dest <= 25; - src <= 9; - when "010101" => - dest <= 8; - src <= 18; - when "010110" => - dest <= 21; - src <= 25; - when "010111" => - dest <= 4; - src <= 4; - when "011000" => - dest <= 33; - src <= 8; - when "011001" => - dest <= 19; - src <= 5; - when "011010" => - dest <= 31; - src <= 27; - when "011011" => - dest <= 16; - src <= 31; - when "011100" => - dest <= 26; - src <= 12; - when "011101" => - dest <= 11; - src <= 19; - when "011110" => - dest <= 8; - src <= 33; - when "011111" => - dest <= 3; - src <= 21; - when "100000" => - dest <= 32; - src <= 0; - when "100001" => - dest <= 15; - src <= 26; - when "100010" => - dest <= 10; - src <= 11; - when "100011" => - dest <= 20; - src <= 13; - when "100100" => - dest <= 8; - src <= 20; - when "100101" => - dest <= 2; - src <= 1; - when "100110" => - dest <= 9; - src <= 6; - when "100111" => - dest <= 8; - src <= 32; - when "101000" => - dest <= 29; - src <= 14; - when "101001" => - dest <= 22; - src <= 7; - when others => - dest <= 8; - src <= 8; - - end case; - end process; - - end generate NI_NUM8; - - NI_NUM9 : if NI_NUM = 9 generate - process(count) begin - - case count is - - when "000000" => - dest <= 14; - src <= 9; - when "000001" => - dest <= 9; - src <= 9; - when "000010" => - dest <= 30; - src <= 9; - when "000011" => - dest <= 25; - src <= 9; - when "000100" => - dest <= 2; - src <= 4; - when "000101" => - dest <= 19; - src <= 31; - when "000110" => - dest <= 9; - src <= 24; - when "000111" => - dest <= 18; - src <= 9; - when "001000" => - dest <= 29; - src <= 16; - when "001001" => - dest <= 7; - src <= 18; - when "001010" => - dest <= 9; - src <= 29; - when "001011" => - dest <= 1; - src <= 35; - when "001100" => - dest <= 0; - src <= 9; - when "001101" => - dest <= 31; - src <= 11; - when "001110" => - dest <= 15; - src <= 30; - when "001111" => - dest <= 35; - src <= 25; - when "010000" => - dest <= 28; - src <= 17; - when "010001" => - dest <= 8; - src <= 3; - when "010010" => - dest <= 13; - src <= 12; - when "010011" => - dest <= 12; - src <= 23; - when "010100" => - dest <= 26; - src <= 10; - when "010101" => - dest <= 9; - src <= 19; - when "010110" => - dest <= 22; - src <= 26; - when "010111" => - dest <= 5; - src <= 5; - when "011000" => - dest <= 34; - src <= 9; - when "011001" => - dest <= 20; - src <= 0; - when "011010" => - dest <= 32; - src <= 28; - when "011011" => - dest <= 17; - src <= 32; - when "011100" => - dest <= 27; - src <= 13; - when "011101" => - dest <= 6; - src <= 20; - when "011110" => - dest <= 9; - src <= 34; - when "011111" => - dest <= 4; - src <= 22; - when "100000" => - dest <= 33; - src <= 1; - when "100001" => - dest <= 16; - src <= 27; - when "100010" => - dest <= 11; - src <= 6; - when "100011" => - dest <= 21; - src <= 14; - when "100100" => - dest <= 9; - src <= 21; - when "100101" => - dest <= 3; - src <= 2; - when "100110" => - dest <= 10; - src <= 7; - when "100111" => - dest <= 9; - src <= 33; - when "101000" => - dest <= 24; - src <= 15; - when "101001" => - dest <= 23; - src <= 8; - when others => - dest <= 9; - src <= 9; - - end case; - end process; - - end generate NI_NUM9; - - NI_NUM10 : if NI_NUM = 10 generate - process(count) begin - - case count is - - when "000000" => - dest <= 15; - src <= 10; - when "000001" => - dest <= 10; - src <= 10; - when "000010" => - dest <= 31; - src <= 10; - when "000011" => - dest <= 26; - src <= 10; - when "000100" => - dest <= 3; - src <= 5; - when "000101" => - dest <= 20; - src <= 32; - when "000110" => - dest <= 10; - src <= 25; - when "000111" => - dest <= 19; - src <= 10; - when "001000" => - dest <= 24; - src <= 17; - when "001001" => - dest <= 8; - src <= 19; - when "001010" => - dest <= 10; - src <= 24; - when "001011" => - dest <= 2; - src <= 30; - when "001100" => - dest <= 1; - src <= 10; - when "001101" => - dest <= 32; - src <= 6; - when "001110" => - dest <= 16; - src <= 31; - when "001111" => - dest <= 30; - src <= 26; - when "010000" => - dest <= 29; - src <= 12; - when "010001" => - dest <= 9; - src <= 4; - when "010010" => - dest <= 14; - src <= 13; - when "010011" => - dest <= 13; - src <= 18; - when "010100" => - dest <= 27; - src <= 11; - when "010101" => - dest <= 10; - src <= 20; - when "010110" => - dest <= 23; - src <= 27; - when "010111" => - dest <= 0; - src <= 0; - when "011000" => - dest <= 35; - src <= 10; - when "011001" => - dest <= 21; - src <= 1; - when "011010" => - dest <= 33; - src <= 29; - when "011011" => - dest <= 12; - src <= 33; - when "011100" => - dest <= 28; - src <= 14; - when "011101" => - dest <= 7; - src <= 21; - when "011110" => - dest <= 10; - src <= 35; - when "011111" => - dest <= 5; - src <= 23; - when "100000" => - dest <= 34; - src <= 2; - when "100001" => - dest <= 17; - src <= 28; - when "100010" => - dest <= 6; - src <= 7; - when "100011" => - dest <= 22; - src <= 15; - when "100100" => - dest <= 10; - src <= 22; - when "100101" => - dest <= 4; - src <= 3; - when "100110" => - dest <= 11; - src <= 8; - when "100111" => - dest <= 10; - src <= 34; - when "101000" => - dest <= 25; - src <= 16; - when "101001" => - dest <= 18; - src <= 9; - when others => - dest <= 10; - src <= 10; - - end case; - end process; - - end generate NI_NUM10; - - NI_NUM11 : if NI_NUM = 11 generate - process(count) begin - - case count is - - when "000000" => - dest <= 16; - src <= 11; - when "000001" => - dest <= 11; - src <= 11; - when "000010" => - dest <= 32; - src <= 11; - when "000011" => - dest <= 27; - src <= 11; - when "000100" => - dest <= 4; - src <= 0; - when "000101" => - dest <= 21; - src <= 33; - when "000110" => - dest <= 11; - src <= 26; - when "000111" => - dest <= 20; - src <= 11; - when "001000" => - dest <= 25; - src <= 12; - when "001001" => - dest <= 9; - src <= 20; - when "001010" => - dest <= 11; - src <= 25; - when "001011" => - dest <= 3; - src <= 31; - when "001100" => - dest <= 2; - src <= 11; - when "001101" => - dest <= 33; - src <= 7; - when "001110" => - dest <= 17; - src <= 32; - when "001111" => - dest <= 31; - src <= 27; - when "010000" => - dest <= 24; - src <= 13; - when "010001" => - dest <= 10; - src <= 5; - when "010010" => - dest <= 15; - src <= 14; - when "010011" => - dest <= 14; - src <= 19; - when "010100" => - dest <= 28; - src <= 6; - when "010101" => - dest <= 11; - src <= 21; - when "010110" => - dest <= 18; - src <= 28; - when "010111" => - dest <= 1; - src <= 1; - when "011000" => - dest <= 30; - src <= 11; - when "011001" => - dest <= 22; - src <= 2; - when "011010" => - dest <= 34; - src <= 24; - when "011011" => - dest <= 13; - src <= 34; - when "011100" => - dest <= 29; - src <= 15; - when "011101" => - dest <= 8; - src <= 22; - when "011110" => - dest <= 11; - src <= 30; - when "011111" => - dest <= 0; - src <= 18; - when "100000" => - dest <= 35; - src <= 3; - when "100001" => - dest <= 12; - src <= 29; - when "100010" => - dest <= 7; - src <= 8; - when "100011" => - dest <= 23; - src <= 16; - when "100100" => - dest <= 11; - src <= 23; - when "100101" => - dest <= 5; - src <= 4; - when "100110" => - dest <= 6; - src <= 9; - when "100111" => - dest <= 11; - src <= 35; - when "101000" => - dest <= 26; - src <= 17; - when "101001" => - dest <= 19; - src <= 10; - when others => - dest <= 11; - src <= 11; - - end case; - end process; - - end generate NI_NUM11; - - NI_NUM12 : if NI_NUM = 12 generate - process(count) begin - - case count is - - when "000000" => - dest <= 23; - src <= 12; - when "000001" => - dest <= 12; - src <= 12; - when "000010" => - dest <= 3; - src <= 12; - when "000011" => - dest <= 34; - src <= 12; - when "000100" => - dest <= 11; - src <= 7; - when "000101" => - dest <= 28; - src <= 4; - when "000110" => - dest <= 12; - src <= 33; - when "000111" => - dest <= 27; - src <= 12; - when "001000" => - dest <= 32; - src <= 19; - when "001001" => - dest <= 16; - src <= 27; - when "001010" => - dest <= 12; - src <= 32; - when "001011" => - dest <= 10; - src <= 2; - when "001100" => - dest <= 9; - src <= 12; - when "001101" => - dest <= 4; - src <= 14; - when "001110" => - dest <= 18; - src <= 3; - when "001111" => - dest <= 2; - src <= 34; - when "010000" => - dest <= 31; - src <= 20; - when "010001" => - dest <= 17; - src <= 6; - when "010010" => - dest <= 22; - src <= 21; - when "010011" => - dest <= 21; - src <= 26; - when "010100" => - dest <= 35; - src <= 13; - when "010101" => - dest <= 12; - src <= 28; - when "010110" => - dest <= 25; - src <= 35; - when "010111" => - dest <= 8; - src <= 8; - when "011000" => - dest <= 1; - src <= 12; - when "011001" => - dest <= 29; - src <= 9; - when "011010" => - dest <= 5; - src <= 31; - when "011011" => - dest <= 20; - src <= 5; - when "011100" => - dest <= 30; - src <= 22; - when "011101" => - dest <= 15; - src <= 29; - when "011110" => - dest <= 12; - src <= 1; - when "011111" => - dest <= 7; - src <= 25; - when "100000" => - dest <= 0; - src <= 10; - when "100001" => - dest <= 19; - src <= 30; - when "100010" => - dest <= 14; - src <= 15; - when "100011" => - dest <= 24; - src <= 23; - when "100100" => - dest <= 12; - src <= 24; - when "100101" => - dest <= 6; - src <= 11; - when "100110" => - dest <= 13; - src <= 16; - when "100111" => - dest <= 12; - src <= 0; - when "101000" => - dest <= 33; - src <= 18; - when "101001" => - dest <= 26; - src <= 17; - when others => - dest <= 12; - src <= 12; - - end case; - end process; - - end generate NI_NUM12; - - NI_NUM13 : if NI_NUM = 13 generate - process(count) begin - - case count is - - when "000000" => - dest <= 18; - src <= 13; - when "000001" => - dest <= 13; - src <= 13; - when "000010" => - dest <= 4; - src <= 13; - when "000011" => - dest <= 35; - src <= 13; - when "000100" => - dest <= 6; - src <= 8; - when "000101" => - dest <= 29; - src <= 5; - when "000110" => - dest <= 13; - src <= 34; - when "000111" => - dest <= 28; - src <= 13; - when "001000" => - dest <= 33; - src <= 20; - when "001001" => - dest <= 17; - src <= 28; - when "001010" => - dest <= 13; - src <= 33; - when "001011" => - dest <= 11; - src <= 3; - when "001100" => - dest <= 10; - src <= 13; - when "001101" => - dest <= 5; - src <= 15; - when "001110" => - dest <= 19; - src <= 4; - when "001111" => - dest <= 3; - src <= 35; - when "010000" => - dest <= 32; - src <= 21; - when "010001" => - dest <= 12; - src <= 7; - when "010010" => - dest <= 23; - src <= 22; - when "010011" => - dest <= 22; - src <= 27; - when "010100" => - dest <= 30; - src <= 14; - when "010101" => - dest <= 13; - src <= 29; - when "010110" => - dest <= 26; - src <= 30; - when "010111" => - dest <= 9; - src <= 9; - when "011000" => - dest <= 2; - src <= 13; - when "011001" => - dest <= 24; - src <= 10; - when "011010" => - dest <= 0; - src <= 32; - when "011011" => - dest <= 21; - src <= 0; - when "011100" => - dest <= 31; - src <= 23; - when "011101" => - dest <= 16; - src <= 24; - when "011110" => - dest <= 13; - src <= 2; - when "011111" => - dest <= 8; - src <= 26; - when "100000" => - dest <= 1; - src <= 11; - when "100001" => - dest <= 20; - src <= 31; - when "100010" => - dest <= 15; - src <= 16; - when "100011" => - dest <= 25; - src <= 18; - when "100100" => - dest <= 13; - src <= 25; - when "100101" => - dest <= 7; - src <= 6; - when "100110" => - dest <= 14; - src <= 17; - when "100111" => - dest <= 13; - src <= 1; - when "101000" => - dest <= 34; - src <= 19; - when "101001" => - dest <= 27; - src <= 12; - when others => - dest <= 13; - src <= 13; - - end case; - end process; - - end generate NI_NUM13; - - NI_NUM14 : if NI_NUM = 14 generate - process(count) begin - - case count is - - when "000000" => - dest <= 19; - src <= 14; - when "000001" => - dest <= 14; - src <= 14; - when "000010" => - dest <= 5; - src <= 14; - when "000011" => - dest <= 30; - src <= 14; - when "000100" => - dest <= 7; - src <= 9; - when "000101" => - dest <= 24; - src <= 0; - when "000110" => - dest <= 14; - src <= 35; - when "000111" => - dest <= 29; - src <= 14; - when "001000" => - dest <= 34; - src <= 21; - when "001001" => - dest <= 12; - src <= 29; - when "001010" => - dest <= 14; - src <= 34; - when "001011" => - dest <= 6; - src <= 4; - when "001100" => - dest <= 11; - src <= 14; - when "001101" => - dest <= 0; - src <= 16; - when "001110" => - dest <= 20; - src <= 5; - when "001111" => - dest <= 4; - src <= 30; - when "010000" => - dest <= 33; - src <= 22; - when "010001" => - dest <= 13; - src <= 8; - when "010010" => - dest <= 18; - src <= 23; - when "010011" => - dest <= 23; - src <= 28; - when "010100" => - dest <= 31; - src <= 15; - when "010101" => - dest <= 14; - src <= 24; - when "010110" => - dest <= 27; - src <= 31; - when "010111" => - dest <= 10; - src <= 10; - when "011000" => - dest <= 3; - src <= 14; - when "011001" => - dest <= 25; - src <= 11; - when "011010" => - dest <= 1; - src <= 33; - when "011011" => - dest <= 22; - src <= 1; - when "011100" => - dest <= 32; - src <= 18; - when "011101" => - dest <= 17; - src <= 25; - when "011110" => - dest <= 14; - src <= 3; - when "011111" => - dest <= 9; - src <= 27; - when "100000" => - dest <= 2; - src <= 6; - when "100001" => - dest <= 21; - src <= 32; - when "100010" => - dest <= 16; - src <= 17; - when "100011" => - dest <= 26; - src <= 19; - when "100100" => - dest <= 14; - src <= 26; - when "100101" => - dest <= 8; - src <= 7; - when "100110" => - dest <= 15; - src <= 12; - when "100111" => - dest <= 14; - src <= 2; - when "101000" => - dest <= 35; - src <= 20; - when "101001" => - dest <= 28; - src <= 13; - when others => - dest <= 14; - src <= 14; - - end case; - end process; - - end generate NI_NUM14; - - NI_NUM15 : if NI_NUM = 15 generate - process(count) begin - - case count is - - when "000000" => - dest <= 20; - src <= 15; - when "000001" => - dest <= 15; - src <= 15; - when "000010" => - dest <= 0; - src <= 15; - when "000011" => - dest <= 31; - src <= 15; - when "000100" => - dest <= 8; - src <= 10; - when "000101" => - dest <= 25; - src <= 1; - when "000110" => - dest <= 15; - src <= 30; - when "000111" => - dest <= 24; - src <= 15; - when "001000" => - dest <= 35; - src <= 22; - when "001001" => - dest <= 13; - src <= 24; - when "001010" => - dest <= 15; - src <= 35; - when "001011" => - dest <= 7; - src <= 5; - when "001100" => - dest <= 6; - src <= 15; - when "001101" => - dest <= 1; - src <= 17; - when "001110" => - dest <= 21; - src <= 0; - when "001111" => - dest <= 5; - src <= 31; - when "010000" => - dest <= 34; - src <= 23; - when "010001" => - dest <= 14; - src <= 9; - when "010010" => - dest <= 19; - src <= 18; - when "010011" => - dest <= 18; - src <= 29; - when "010100" => - dest <= 32; - src <= 16; - when "010101" => - dest <= 15; - src <= 25; - when "010110" => - dest <= 28; - src <= 32; - when "010111" => - dest <= 11; - src <= 11; - when "011000" => - dest <= 4; - src <= 15; - when "011001" => - dest <= 26; - src <= 6; - when "011010" => - dest <= 2; - src <= 34; - when "011011" => - dest <= 23; - src <= 2; - when "011100" => - dest <= 33; - src <= 19; - when "011101" => - dest <= 12; - src <= 26; - when "011110" => - dest <= 15; - src <= 4; - when "011111" => - dest <= 10; - src <= 28; - when "100000" => - dest <= 3; - src <= 7; - when "100001" => - dest <= 22; - src <= 33; - when "100010" => - dest <= 17; - src <= 12; - when "100011" => - dest <= 27; - src <= 20; - when "100100" => - dest <= 15; - src <= 27; - when "100101" => - dest <= 9; - src <= 8; - when "100110" => - dest <= 16; - src <= 13; - when "100111" => - dest <= 15; - src <= 3; - when "101000" => - dest <= 30; - src <= 21; - when "101001" => - dest <= 29; - src <= 14; - when others => - dest <= 15; - src <= 15; - - end case; - end process; - - end generate NI_NUM15; - - NI_NUM16 : if NI_NUM = 16 generate - process(count) begin - - case count is - - when "000000" => - dest <= 21; - src <= 16; - when "000001" => - dest <= 16; - src <= 16; - when "000010" => - dest <= 1; - src <= 16; - when "000011" => - dest <= 32; - src <= 16; - when "000100" => - dest <= 9; - src <= 11; - when "000101" => - dest <= 26; - src <= 2; - when "000110" => - dest <= 16; - src <= 31; - when "000111" => - dest <= 25; - src <= 16; - when "001000" => - dest <= 30; - src <= 23; - when "001001" => - dest <= 14; - src <= 25; - when "001010" => - dest <= 16; - src <= 30; - when "001011" => - dest <= 8; - src <= 0; - when "001100" => - dest <= 7; - src <= 16; - when "001101" => - dest <= 2; - src <= 12; - when "001110" => - dest <= 22; - src <= 1; - when "001111" => - dest <= 0; - src <= 32; - when "010000" => - dest <= 35; - src <= 18; - when "010001" => - dest <= 15; - src <= 10; - when "010010" => - dest <= 20; - src <= 19; - when "010011" => - dest <= 19; - src <= 24; - when "010100" => - dest <= 33; - src <= 17; - when "010101" => - dest <= 16; - src <= 26; - when "010110" => - dest <= 29; - src <= 33; - when "010111" => - dest <= 6; - src <= 6; - when "011000" => - dest <= 5; - src <= 16; - when "011001" => - dest <= 27; - src <= 7; - when "011010" => - dest <= 3; - src <= 35; - when "011011" => - dest <= 18; - src <= 3; - when "011100" => - dest <= 34; - src <= 20; - when "011101" => - dest <= 13; - src <= 27; - when "011110" => - dest <= 16; - src <= 5; - when "011111" => - dest <= 11; - src <= 29; - when "100000" => - dest <= 4; - src <= 8; - when "100001" => - dest <= 23; - src <= 34; - when "100010" => - dest <= 12; - src <= 13; - when "100011" => - dest <= 28; - src <= 21; - when "100100" => - dest <= 16; - src <= 28; - when "100101" => - dest <= 10; - src <= 9; - when "100110" => - dest <= 17; - src <= 14; - when "100111" => - dest <= 16; - src <= 4; - when "101000" => - dest <= 31; - src <= 22; - when "101001" => - dest <= 24; - src <= 15; - when others => - dest <= 16; - src <= 16; - - end case; - end process; - - end generate NI_NUM16; - - NI_NUM17 : if NI_NUM = 17 generate - process(count) begin - - case count is - - when "000000" => - dest <= 22; - src <= 17; - when "000001" => - dest <= 17; - src <= 17; - when "000010" => - dest <= 2; - src <= 17; - when "000011" => - dest <= 33; - src <= 17; - when "000100" => - dest <= 10; - src <= 6; - when "000101" => - dest <= 27; - src <= 3; - when "000110" => - dest <= 17; - src <= 32; - when "000111" => - dest <= 26; - src <= 17; - when "001000" => - dest <= 31; - src <= 18; - when "001001" => - dest <= 15; - src <= 26; - when "001010" => - dest <= 17; - src <= 31; - when "001011" => - dest <= 9; - src <= 1; - when "001100" => - dest <= 8; - src <= 17; - when "001101" => - dest <= 3; - src <= 13; - when "001110" => - dest <= 23; - src <= 2; - when "001111" => - dest <= 1; - src <= 33; - when "010000" => - dest <= 30; - src <= 19; - when "010001" => - dest <= 16; - src <= 11; - when "010010" => - dest <= 21; - src <= 20; - when "010011" => - dest <= 20; - src <= 25; - when "010100" => - dest <= 34; - src <= 12; - when "010101" => - dest <= 17; - src <= 27; - when "010110" => - dest <= 24; - src <= 34; - when "010111" => - dest <= 7; - src <= 7; - when "011000" => - dest <= 0; - src <= 17; - when "011001" => - dest <= 28; - src <= 8; - when "011010" => - dest <= 4; - src <= 30; - when "011011" => - dest <= 19; - src <= 4; - when "011100" => - dest <= 35; - src <= 21; - when "011101" => - dest <= 14; - src <= 28; - when "011110" => - dest <= 17; - src <= 0; - when "011111" => - dest <= 6; - src <= 24; - when "100000" => - dest <= 5; - src <= 9; - when "100001" => - dest <= 18; - src <= 35; - when "100010" => - dest <= 13; - src <= 14; - when "100011" => - dest <= 29; - src <= 22; - when "100100" => - dest <= 17; - src <= 29; - when "100101" => - dest <= 11; - src <= 10; - when "100110" => - dest <= 12; - src <= 15; - when "100111" => - dest <= 17; - src <= 5; - when "101000" => - dest <= 32; - src <= 23; - when "101001" => - dest <= 25; - src <= 16; - when others => - dest <= 17; - src <= 17; - - end case; - end process; - - end generate NI_NUM17; - - NI_NUM18 : if NI_NUM = 18 generate - process(count) begin - - case count is - - when "000000" => - dest <= 29; - src <= 18; - when "000001" => - dest <= 18; - src <= 18; - when "000010" => - dest <= 9; - src <= 18; - when "000011" => - dest <= 4; - src <= 18; - when "000100" => - dest <= 17; - src <= 13; - when "000101" => - dest <= 34; - src <= 10; - when "000110" => - dest <= 18; - src <= 3; - when "000111" => - dest <= 33; - src <= 18; - when "001000" => - dest <= 2; - src <= 25; - when "001001" => - dest <= 22; - src <= 33; - when "001010" => - dest <= 18; - src <= 2; - when "001011" => - dest <= 16; - src <= 8; - when "001100" => - dest <= 15; - src <= 18; - when "001101" => - dest <= 10; - src <= 20; - when "001110" => - dest <= 24; - src <= 9; - when "001111" => - dest <= 8; - src <= 4; - when "010000" => - dest <= 1; - src <= 26; - when "010001" => - dest <= 23; - src <= 12; - when "010010" => - dest <= 28; - src <= 27; - when "010011" => - dest <= 27; - src <= 32; - when "010100" => - dest <= 5; - src <= 19; - when "010101" => - dest <= 18; - src <= 34; - when "010110" => - dest <= 31; - src <= 5; - when "010111" => - dest <= 14; - src <= 14; - when "011000" => - dest <= 7; - src <= 18; - when "011001" => - dest <= 35; - src <= 15; - when "011010" => - dest <= 11; - src <= 1; - when "011011" => - dest <= 26; - src <= 11; - when "011100" => - dest <= 0; - src <= 28; - when "011101" => - dest <= 21; - src <= 35; - when "011110" => - dest <= 18; - src <= 7; - when "011111" => - dest <= 13; - src <= 31; - when "100000" => - dest <= 6; - src <= 16; - when "100001" => - dest <= 25; - src <= 0; - when "100010" => - dest <= 20; - src <= 21; - when "100011" => - dest <= 30; - src <= 29; - when "100100" => - dest <= 18; - src <= 30; - when "100101" => - dest <= 12; - src <= 17; - when "100110" => - dest <= 19; - src <= 22; - when "100111" => - dest <= 18; - src <= 6; - when "101000" => - dest <= 3; - src <= 24; - when "101001" => - dest <= 32; - src <= 23; - when others => - dest <= 18; - src <= 18; - - end case; - end process; - - end generate NI_NUM18; - - NI_NUM19 : if NI_NUM = 19 generate - process(count) begin - - case count is - - when "000000" => - dest <= 24; - src <= 19; - when "000001" => - dest <= 19; - src <= 19; - when "000010" => - dest <= 10; - src <= 19; - when "000011" => - dest <= 5; - src <= 19; - when "000100" => - dest <= 12; - src <= 14; - when "000101" => - dest <= 35; - src <= 11; - when "000110" => - dest <= 19; - src <= 4; - when "000111" => - dest <= 34; - src <= 19; - when "001000" => - dest <= 3; - src <= 26; - when "001001" => - dest <= 23; - src <= 34; - when "001010" => - dest <= 19; - src <= 3; - when "001011" => - dest <= 17; - src <= 9; - when "001100" => - dest <= 16; - src <= 19; - when "001101" => - dest <= 11; - src <= 21; - when "001110" => - dest <= 25; - src <= 10; - when "001111" => - dest <= 9; - src <= 5; - when "010000" => - dest <= 2; - src <= 27; - when "010001" => - dest <= 18; - src <= 13; - when "010010" => - dest <= 29; - src <= 28; - when "010011" => - dest <= 28; - src <= 33; - when "010100" => - dest <= 0; - src <= 20; - when "010101" => - dest <= 19; - src <= 35; - when "010110" => - dest <= 32; - src <= 0; - when "010111" => - dest <= 15; - src <= 15; - when "011000" => - dest <= 8; - src <= 19; - when "011001" => - dest <= 30; - src <= 16; - when "011010" => - dest <= 6; - src <= 2; - when "011011" => - dest <= 27; - src <= 6; - when "011100" => - dest <= 1; - src <= 29; - when "011101" => - dest <= 22; - src <= 30; - when "011110" => - dest <= 19; - src <= 8; - when "011111" => - dest <= 14; - src <= 32; - when "100000" => - dest <= 7; - src <= 17; - when "100001" => - dest <= 26; - src <= 1; - when "100010" => - dest <= 21; - src <= 22; - when "100011" => - dest <= 31; - src <= 24; - when "100100" => - dest <= 19; - src <= 31; - when "100101" => - dest <= 13; - src <= 12; - when "100110" => - dest <= 20; - src <= 23; - when "100111" => - dest <= 19; - src <= 7; - when "101000" => - dest <= 4; - src <= 25; - when "101001" => - dest <= 33; - src <= 18; - when others => - dest <= 19; - src <= 19; - - end case; - end process; - - end generate NI_NUM19; - - NI_NUM20 : if NI_NUM = 20 generate - process(count) begin - - case count is - - when "000000" => - dest <= 25; - src <= 20; - when "000001" => - dest <= 20; - src <= 20; - when "000010" => - dest <= 11; - src <= 20; - when "000011" => - dest <= 0; - src <= 20; - when "000100" => - dest <= 13; - src <= 15; - when "000101" => - dest <= 30; - src <= 6; - when "000110" => - dest <= 20; - src <= 5; - when "000111" => - dest <= 35; - src <= 20; - when "001000" => - dest <= 4; - src <= 27; - when "001001" => - dest <= 18; - src <= 35; - when "001010" => - dest <= 20; - src <= 4; - when "001011" => - dest <= 12; - src <= 10; - when "001100" => - dest <= 17; - src <= 20; - when "001101" => - dest <= 6; - src <= 22; - when "001110" => - dest <= 26; - src <= 11; - when "001111" => - dest <= 10; - src <= 0; - when "010000" => - dest <= 3; - src <= 28; - when "010001" => - dest <= 19; - src <= 14; - when "010010" => - dest <= 24; - src <= 29; - when "010011" => - dest <= 29; - src <= 34; - when "010100" => - dest <= 1; - src <= 21; - when "010101" => - dest <= 20; - src <= 30; - when "010110" => - dest <= 33; - src <= 1; - when "010111" => - dest <= 16; - src <= 16; - when "011000" => - dest <= 9; - src <= 20; - when "011001" => - dest <= 31; - src <= 17; - when "011010" => - dest <= 7; - src <= 3; - when "011011" => - dest <= 28; - src <= 7; - when "011100" => - dest <= 2; - src <= 24; - when "011101" => - dest <= 23; - src <= 31; - when "011110" => - dest <= 20; - src <= 9; - when "011111" => - dest <= 15; - src <= 33; - when "100000" => - dest <= 8; - src <= 12; - when "100001" => - dest <= 27; - src <= 2; - when "100010" => - dest <= 22; - src <= 23; - when "100011" => - dest <= 32; - src <= 25; - when "100100" => - dest <= 20; - src <= 32; - when "100101" => - dest <= 14; - src <= 13; - when "100110" => - dest <= 21; - src <= 18; - when "100111" => - dest <= 20; - src <= 8; - when "101000" => - dest <= 5; - src <= 26; - when "101001" => - dest <= 34; - src <= 19; - when others => - dest <= 20; - src <= 20; - - end case; - end process; - - end generate NI_NUM20; - - NI_NUM21 : if NI_NUM = 21 generate - process(count) begin - - case count is - - when "000000" => - dest <= 26; - src <= 21; - when "000001" => - dest <= 21; - src <= 21; - when "000010" => - dest <= 6; - src <= 21; - when "000011" => - dest <= 1; - src <= 21; - when "000100" => - dest <= 14; - src <= 16; - when "000101" => - dest <= 31; - src <= 7; - when "000110" => - dest <= 21; - src <= 0; - when "000111" => - dest <= 30; - src <= 21; - when "001000" => - dest <= 5; - src <= 28; - when "001001" => - dest <= 19; - src <= 30; - when "001010" => - dest <= 21; - src <= 5; - when "001011" => - dest <= 13; - src <= 11; - when "001100" => - dest <= 12; - src <= 21; - when "001101" => - dest <= 7; - src <= 23; - when "001110" => - dest <= 27; - src <= 6; - when "001111" => - dest <= 11; - src <= 1; - when "010000" => - dest <= 4; - src <= 29; - when "010001" => - dest <= 20; - src <= 15; - when "010010" => - dest <= 25; - src <= 24; - when "010011" => - dest <= 24; - src <= 35; - when "010100" => - dest <= 2; - src <= 22; - when "010101" => - dest <= 21; - src <= 31; - when "010110" => - dest <= 34; - src <= 2; - when "010111" => - dest <= 17; - src <= 17; - when "011000" => - dest <= 10; - src <= 21; - when "011001" => - dest <= 32; - src <= 12; - when "011010" => - dest <= 8; - src <= 4; - when "011011" => - dest <= 29; - src <= 8; - when "011100" => - dest <= 3; - src <= 25; - when "011101" => - dest <= 18; - src <= 32; - when "011110" => - dest <= 21; - src <= 10; - when "011111" => - dest <= 16; - src <= 34; - when "100000" => - dest <= 9; - src <= 13; - when "100001" => - dest <= 28; - src <= 3; - when "100010" => - dest <= 23; - src <= 18; - when "100011" => - dest <= 33; - src <= 26; - when "100100" => - dest <= 21; - src <= 33; - when "100101" => - dest <= 15; - src <= 14; - when "100110" => - dest <= 22; - src <= 19; - when "100111" => - dest <= 21; - src <= 9; - when "101000" => - dest <= 0; - src <= 27; - when "101001" => - dest <= 35; - src <= 20; - when others => - dest <= 21; - src <= 21; - - end case; - end process; - - end generate NI_NUM21; - - NI_NUM22 : if NI_NUM = 22 generate - process(count) begin - - case count is - - when "000000" => - dest <= 27; - src <= 22; - when "000001" => - dest <= 22; - src <= 22; - when "000010" => - dest <= 7; - src <= 22; - when "000011" => - dest <= 2; - src <= 22; - when "000100" => - dest <= 15; - src <= 17; - when "000101" => - dest <= 32; - src <= 8; - when "000110" => - dest <= 22; - src <= 1; - when "000111" => - dest <= 31; - src <= 22; - when "001000" => - dest <= 0; - src <= 29; - when "001001" => - dest <= 20; - src <= 31; - when "001010" => - dest <= 22; - src <= 0; - when "001011" => - dest <= 14; - src <= 6; - when "001100" => - dest <= 13; - src <= 22; - when "001101" => - dest <= 8; - src <= 18; - when "001110" => - dest <= 28; - src <= 7; - when "001111" => - dest <= 6; - src <= 2; - when "010000" => - dest <= 5; - src <= 24; - when "010001" => - dest <= 21; - src <= 16; - when "010010" => - dest <= 26; - src <= 25; - when "010011" => - dest <= 25; - src <= 30; - when "010100" => - dest <= 3; - src <= 23; - when "010101" => - dest <= 22; - src <= 32; - when "010110" => - dest <= 35; - src <= 3; - when "010111" => - dest <= 12; - src <= 12; - when "011000" => - dest <= 11; - src <= 22; - when "011001" => - dest <= 33; - src <= 13; - when "011010" => - dest <= 9; - src <= 5; - when "011011" => - dest <= 24; - src <= 9; - when "011100" => - dest <= 4; - src <= 26; - when "011101" => - dest <= 19; - src <= 33; - when "011110" => - dest <= 22; - src <= 11; - when "011111" => - dest <= 17; - src <= 35; - when "100000" => - dest <= 10; - src <= 14; - when "100001" => - dest <= 29; - src <= 4; - when "100010" => - dest <= 18; - src <= 19; - when "100011" => - dest <= 34; - src <= 27; - when "100100" => - dest <= 22; - src <= 34; - when "100101" => - dest <= 16; - src <= 15; - when "100110" => - dest <= 23; - src <= 20; - when "100111" => - dest <= 22; - src <= 10; - when "101000" => - dest <= 1; - src <= 28; - when "101001" => - dest <= 30; - src <= 21; - when others => - dest <= 22; - src <= 22; - - end case; - end process; - - end generate NI_NUM22; - - NI_NUM23 : if NI_NUM = 23 generate - process(count) begin - - case count is - - when "000000" => - dest <= 28; - src <= 23; - when "000001" => - dest <= 23; - src <= 23; - when "000010" => - dest <= 8; - src <= 23; - when "000011" => - dest <= 3; - src <= 23; - when "000100" => - dest <= 16; - src <= 12; - when "000101" => - dest <= 33; - src <= 9; - when "000110" => - dest <= 23; - src <= 2; - when "000111" => - dest <= 32; - src <= 23; - when "001000" => - dest <= 1; - src <= 24; - when "001001" => - dest <= 21; - src <= 32; - when "001010" => - dest <= 23; - src <= 1; - when "001011" => - dest <= 15; - src <= 7; - when "001100" => - dest <= 14; - src <= 23; - when "001101" => - dest <= 9; - src <= 19; - when "001110" => - dest <= 29; - src <= 8; - when "001111" => - dest <= 7; - src <= 3; - when "010000" => - dest <= 0; - src <= 25; - when "010001" => - dest <= 22; - src <= 17; - when "010010" => - dest <= 27; - src <= 26; - when "010011" => - dest <= 26; - src <= 31; - when "010100" => - dest <= 4; - src <= 18; - when "010101" => - dest <= 23; - src <= 33; - when "010110" => - dest <= 30; - src <= 4; - when "010111" => - dest <= 13; - src <= 13; - when "011000" => - dest <= 6; - src <= 23; - when "011001" => - dest <= 34; - src <= 14; - when "011010" => - dest <= 10; - src <= 0; - when "011011" => - dest <= 25; - src <= 10; - when "011100" => - dest <= 5; - src <= 27; - when "011101" => - dest <= 20; - src <= 34; - when "011110" => - dest <= 23; - src <= 6; - when "011111" => - dest <= 12; - src <= 30; - when "100000" => - dest <= 11; - src <= 15; - when "100001" => - dest <= 24; - src <= 5; - when "100010" => - dest <= 19; - src <= 20; - when "100011" => - dest <= 35; - src <= 28; - when "100100" => - dest <= 23; - src <= 35; - when "100101" => - dest <= 17; - src <= 16; - when "100110" => - dest <= 18; - src <= 21; - when "100111" => - dest <= 23; - src <= 11; - when "101000" => - dest <= 2; - src <= 29; - when "101001" => - dest <= 31; - src <= 22; - when others => - dest <= 23; - src <= 23; - - end case; - end process; - - end generate NI_NUM23; - - NI_NUM24 : if NI_NUM = 24 generate - process(count) begin - - case count is - - when "000000" => - dest <= 35; - src <= 24; - when "000001" => - dest <= 24; - src <= 24; - when "000010" => - dest <= 15; - src <= 24; - when "000011" => - dest <= 10; - src <= 24; - when "000100" => - dest <= 23; - src <= 19; - when "000101" => - dest <= 4; - src <= 16; - when "000110" => - dest <= 24; - src <= 9; - when "000111" => - dest <= 3; - src <= 24; - when "001000" => - dest <= 8; - src <= 31; - when "001001" => - dest <= 28; - src <= 3; - when "001010" => - dest <= 24; - src <= 8; - when "001011" => - dest <= 22; - src <= 14; - when "001100" => - dest <= 21; - src <= 24; - when "001101" => - dest <= 16; - src <= 26; - when "001110" => - dest <= 30; - src <= 15; - when "001111" => - dest <= 14; - src <= 10; - when "010000" => - dest <= 7; - src <= 32; - when "010001" => - dest <= 29; - src <= 18; - when "010010" => - dest <= 34; - src <= 33; - when "010011" => - dest <= 33; - src <= 2; - when "010100" => - dest <= 11; - src <= 25; - when "010101" => - dest <= 24; - src <= 4; - when "010110" => - dest <= 1; - src <= 11; - when "010111" => - dest <= 20; - src <= 20; - when "011000" => - dest <= 13; - src <= 24; - when "011001" => - dest <= 5; - src <= 21; - when "011010" => - dest <= 17; - src <= 7; - when "011011" => - dest <= 32; - src <= 17; - when "011100" => - dest <= 6; - src <= 34; - when "011101" => - dest <= 27; - src <= 5; - when "011110" => - dest <= 24; - src <= 13; - when "011111" => - dest <= 19; - src <= 1; - when "100000" => - dest <= 12; - src <= 22; - when "100001" => - dest <= 31; - src <= 6; - when "100010" => - dest <= 26; - src <= 27; - when "100011" => - dest <= 0; - src <= 35; - when "100100" => - dest <= 24; - src <= 0; - when "100101" => - dest <= 18; - src <= 23; - when "100110" => - dest <= 25; - src <= 28; - when "100111" => - dest <= 24; - src <= 12; - when "101000" => - dest <= 9; - src <= 30; - when "101001" => - dest <= 2; - src <= 29; - when others => - dest <= 24; - src <= 24; - - end case; - end process; - - end generate NI_NUM24; - - NI_NUM25 : if NI_NUM = 25 generate - process(count) begin - - case count is - - when "000000" => - dest <= 30; - src <= 25; - when "000001" => - dest <= 25; - src <= 25; - when "000010" => - dest <= 16; - src <= 25; - when "000011" => - dest <= 11; - src <= 25; - when "000100" => - dest <= 18; - src <= 20; - when "000101" => - dest <= 5; - src <= 17; - when "000110" => - dest <= 25; - src <= 10; - when "000111" => - dest <= 4; - src <= 25; - when "001000" => - dest <= 9; - src <= 32; - when "001001" => - dest <= 29; - src <= 4; - when "001010" => - dest <= 25; - src <= 9; - when "001011" => - dest <= 23; - src <= 15; - when "001100" => - dest <= 22; - src <= 25; - when "001101" => - dest <= 17; - src <= 27; - when "001110" => - dest <= 31; - src <= 16; - when "001111" => - dest <= 15; - src <= 11; - when "010000" => - dest <= 8; - src <= 33; - when "010001" => - dest <= 24; - src <= 19; - when "010010" => - dest <= 35; - src <= 34; - when "010011" => - dest <= 34; - src <= 3; - when "010100" => - dest <= 6; - src <= 26; - when "010101" => - dest <= 25; - src <= 5; - when "010110" => - dest <= 2; - src <= 6; - when "010111" => - dest <= 21; - src <= 21; - when "011000" => - dest <= 14; - src <= 25; - when "011001" => - dest <= 0; - src <= 22; - when "011010" => - dest <= 12; - src <= 8; - when "011011" => - dest <= 33; - src <= 12; - when "011100" => - dest <= 7; - src <= 35; - when "011101" => - dest <= 28; - src <= 0; - when "011110" => - dest <= 25; - src <= 14; - when "011111" => - dest <= 20; - src <= 2; - when "100000" => - dest <= 13; - src <= 23; - when "100001" => - dest <= 32; - src <= 7; - when "100010" => - dest <= 27; - src <= 28; - when "100011" => - dest <= 1; - src <= 30; - when "100100" => - dest <= 25; - src <= 1; - when "100101" => - dest <= 19; - src <= 18; - when "100110" => - dest <= 26; - src <= 29; - when "100111" => - dest <= 25; - src <= 13; - when "101000" => - dest <= 10; - src <= 31; - when "101001" => - dest <= 3; - src <= 24; - when others => - dest <= 25; - src <= 25; - - end case; - end process; - - end generate NI_NUM25; - - NI_NUM26 : if NI_NUM = 26 generate - process(count) begin - - case count is - - when "000000" => - dest <= 31; - src <= 26; - when "000001" => - dest <= 26; - src <= 26; - when "000010" => - dest <= 17; - src <= 26; - when "000011" => - dest <= 6; - src <= 26; - when "000100" => - dest <= 19; - src <= 21; - when "000101" => - dest <= 0; - src <= 12; - when "000110" => - dest <= 26; - src <= 11; - when "000111" => - dest <= 5; - src <= 26; - when "001000" => - dest <= 10; - src <= 33; - when "001001" => - dest <= 24; - src <= 5; - when "001010" => - dest <= 26; - src <= 10; - when "001011" => - dest <= 18; - src <= 16; - when "001100" => - dest <= 23; - src <= 26; - when "001101" => - dest <= 12; - src <= 28; - when "001110" => - dest <= 32; - src <= 17; - when "001111" => - dest <= 16; - src <= 6; - when "010000" => - dest <= 9; - src <= 34; - when "010001" => - dest <= 25; - src <= 20; - when "010010" => - dest <= 30; - src <= 35; - when "010011" => - dest <= 35; - src <= 4; - when "010100" => - dest <= 7; - src <= 27; - when "010101" => - dest <= 26; - src <= 0; - when "010110" => - dest <= 3; - src <= 7; - when "010111" => - dest <= 22; - src <= 22; - when "011000" => - dest <= 15; - src <= 26; - when "011001" => - dest <= 1; - src <= 23; - when "011010" => - dest <= 13; - src <= 9; - when "011011" => - dest <= 34; - src <= 13; - when "011100" => - dest <= 8; - src <= 30; - when "011101" => - dest <= 29; - src <= 1; - when "011110" => - dest <= 26; - src <= 15; - when "011111" => - dest <= 21; - src <= 3; - when "100000" => - dest <= 14; - src <= 18; - when "100001" => - dest <= 33; - src <= 8; - when "100010" => - dest <= 28; - src <= 29; - when "100011" => - dest <= 2; - src <= 31; - when "100100" => - dest <= 26; - src <= 2; - when "100101" => - dest <= 20; - src <= 19; - when "100110" => - dest <= 27; - src <= 24; - when "100111" => - dest <= 26; - src <= 14; - when "101000" => - dest <= 11; - src <= 32; - when "101001" => - dest <= 4; - src <= 25; - when others => - dest <= 26; - src <= 26; - - end case; - end process; - - end generate NI_NUM26; - - NI_NUM27 : if NI_NUM = 27 generate - process(count) begin - - case count is - - when "000000" => - dest <= 32; - src <= 27; - when "000001" => - dest <= 27; - src <= 27; - when "000010" => - dest <= 12; - src <= 27; - when "000011" => - dest <= 7; - src <= 27; - when "000100" => - dest <= 20; - src <= 22; - when "000101" => - dest <= 1; - src <= 13; - when "000110" => - dest <= 27; - src <= 6; - when "000111" => - dest <= 0; - src <= 27; - when "001000" => - dest <= 11; - src <= 34; - when "001001" => - dest <= 25; - src <= 0; - when "001010" => - dest <= 27; - src <= 11; - when "001011" => - dest <= 19; - src <= 17; - when "001100" => - dest <= 18; - src <= 27; - when "001101" => - dest <= 13; - src <= 29; - when "001110" => - dest <= 33; - src <= 12; - when "001111" => - dest <= 17; - src <= 7; - when "010000" => - dest <= 10; - src <= 35; - when "010001" => - dest <= 26; - src <= 21; - when "010010" => - dest <= 31; - src <= 30; - when "010011" => - dest <= 30; - src <= 5; - when "010100" => - dest <= 8; - src <= 28; - when "010101" => - dest <= 27; - src <= 1; - when "010110" => - dest <= 4; - src <= 8; - when "010111" => - dest <= 23; - src <= 23; - when "011000" => - dest <= 16; - src <= 27; - when "011001" => - dest <= 2; - src <= 18; - when "011010" => - dest <= 14; - src <= 10; - when "011011" => - dest <= 35; - src <= 14; - when "011100" => - dest <= 9; - src <= 31; - when "011101" => - dest <= 24; - src <= 2; - when "011110" => - dest <= 27; - src <= 16; - when "011111" => - dest <= 22; - src <= 4; - when "100000" => - dest <= 15; - src <= 19; - when "100001" => - dest <= 34; - src <= 9; - when "100010" => - dest <= 29; - src <= 24; - when "100011" => - dest <= 3; - src <= 32; - when "100100" => - dest <= 27; - src <= 3; - when "100101" => - dest <= 21; - src <= 20; - when "100110" => - dest <= 28; - src <= 25; - when "100111" => - dest <= 27; - src <= 15; - when "101000" => - dest <= 6; - src <= 33; - when "101001" => - dest <= 5; - src <= 26; - when others => - dest <= 27; - src <= 27; - - end case; - end process; - - end generate NI_NUM27; - - NI_NUM28 : if NI_NUM = 28 generate - process(count) begin - - case count is - - when "000000" => - dest <= 33; - src <= 28; - when "000001" => - dest <= 28; - src <= 28; - when "000010" => - dest <= 13; - src <= 28; - when "000011" => - dest <= 8; - src <= 28; - when "000100" => - dest <= 21; - src <= 23; - when "000101" => - dest <= 2; - src <= 14; - when "000110" => - dest <= 28; - src <= 7; - when "000111" => - dest <= 1; - src <= 28; - when "001000" => - dest <= 6; - src <= 35; - when "001001" => - dest <= 26; - src <= 1; - when "001010" => - dest <= 28; - src <= 6; - when "001011" => - dest <= 20; - src <= 12; - when "001100" => - dest <= 19; - src <= 28; - when "001101" => - dest <= 14; - src <= 24; - when "001110" => - dest <= 34; - src <= 13; - when "001111" => - dest <= 12; - src <= 8; - when "010000" => - dest <= 11; - src <= 30; - when "010001" => - dest <= 27; - src <= 22; - when "010010" => - dest <= 32; - src <= 31; - when "010011" => - dest <= 31; - src <= 0; - when "010100" => - dest <= 9; - src <= 29; - when "010101" => - dest <= 28; - src <= 2; - when "010110" => - dest <= 5; - src <= 9; - when "010111" => - dest <= 18; - src <= 18; - when "011000" => - dest <= 17; - src <= 28; - when "011001" => - dest <= 3; - src <= 19; - when "011010" => - dest <= 15; - src <= 11; - when "011011" => - dest <= 30; - src <= 15; - when "011100" => - dest <= 10; - src <= 32; - when "011101" => - dest <= 25; - src <= 3; - when "011110" => - dest <= 28; - src <= 17; - when "011111" => - dest <= 23; - src <= 5; - when "100000" => - dest <= 16; - src <= 20; - when "100001" => - dest <= 35; - src <= 10; - when "100010" => - dest <= 24; - src <= 25; - when "100011" => - dest <= 4; - src <= 33; - when "100100" => - dest <= 28; - src <= 4; - when "100101" => - dest <= 22; - src <= 21; - when "100110" => - dest <= 29; - src <= 26; - when "100111" => - dest <= 28; - src <= 16; - when "101000" => - dest <= 7; - src <= 34; - when "101001" => - dest <= 0; - src <= 27; - when others => - dest <= 28; - src <= 28; - - end case; - end process; - - end generate NI_NUM28; - - NI_NUM29 : if NI_NUM = 29 generate - process(count) begin - - case count is - - when "000000" => - dest <= 34; - src <= 29; - when "000001" => - dest <= 29; - src <= 29; - when "000010" => - dest <= 14; - src <= 29; - when "000011" => - dest <= 9; - src <= 29; - when "000100" => - dest <= 22; - src <= 18; - when "000101" => - dest <= 3; - src <= 15; - when "000110" => - dest <= 29; - src <= 8; - when "000111" => - dest <= 2; - src <= 29; - when "001000" => - dest <= 7; - src <= 30; - when "001001" => - dest <= 27; - src <= 2; - when "001010" => - dest <= 29; - src <= 7; - when "001011" => - dest <= 21; - src <= 13; - when "001100" => - dest <= 20; - src <= 29; - when "001101" => - dest <= 15; - src <= 25; - when "001110" => - dest <= 35; - src <= 14; - when "001111" => - dest <= 13; - src <= 9; - when "010000" => - dest <= 6; - src <= 31; - when "010001" => - dest <= 28; - src <= 23; - when "010010" => - dest <= 33; - src <= 32; - when "010011" => - dest <= 32; - src <= 1; - when "010100" => - dest <= 10; - src <= 24; - when "010101" => - dest <= 29; - src <= 3; - when "010110" => - dest <= 0; - src <= 10; - when "010111" => - dest <= 19; - src <= 19; - when "011000" => - dest <= 12; - src <= 29; - when "011001" => - dest <= 4; - src <= 20; - when "011010" => - dest <= 16; - src <= 6; - when "011011" => - dest <= 31; - src <= 16; - when "011100" => - dest <= 11; - src <= 33; - when "011101" => - dest <= 26; - src <= 4; - when "011110" => - dest <= 29; - src <= 12; - when "011111" => - dest <= 18; - src <= 0; - when "100000" => - dest <= 17; - src <= 21; - when "100001" => - dest <= 30; - src <= 11; - when "100010" => - dest <= 25; - src <= 26; - when "100011" => - dest <= 5; - src <= 34; - when "100100" => - dest <= 29; - src <= 5; - when "100101" => - dest <= 23; - src <= 22; - when "100110" => - dest <= 24; - src <= 27; - when "100111" => - dest <= 29; - src <= 17; - when "101000" => - dest <= 8; - src <= 35; - when "101001" => - dest <= 1; - src <= 28; - when others => - dest <= 29; - src <= 29; - - end case; - end process; - - end generate NI_NUM29; - - NI_NUM30 : if NI_NUM = 30 generate - process(count) begin - - case count is - - when "000000" => - dest <= 5; - src <= 30; - when "000001" => - dest <= 30; - src <= 30; - when "000010" => - dest <= 21; - src <= 30; - when "000011" => - dest <= 16; - src <= 30; - when "000100" => - dest <= 29; - src <= 25; - when "000101" => - dest <= 10; - src <= 22; - when "000110" => - dest <= 30; - src <= 15; - when "000111" => - dest <= 9; - src <= 30; - when "001000" => - dest <= 14; - src <= 1; - when "001001" => - dest <= 34; - src <= 9; - when "001010" => - dest <= 30; - src <= 14; - when "001011" => - dest <= 28; - src <= 20; - when "001100" => - dest <= 27; - src <= 30; - when "001101" => - dest <= 22; - src <= 32; - when "001110" => - dest <= 0; - src <= 21; - when "001111" => - dest <= 20; - src <= 16; - when "010000" => - dest <= 13; - src <= 2; - when "010001" => - dest <= 35; - src <= 24; - when "010010" => - dest <= 4; - src <= 3; - when "010011" => - dest <= 3; - src <= 8; - when "010100" => - dest <= 17; - src <= 31; - when "010101" => - dest <= 30; - src <= 10; - when "010110" => - dest <= 7; - src <= 17; - when "010111" => - dest <= 26; - src <= 26; - when "011000" => - dest <= 19; - src <= 30; - when "011001" => - dest <= 11; - src <= 27; - when "011010" => - dest <= 23; - src <= 13; - when "011011" => - dest <= 2; - src <= 23; - when "011100" => - dest <= 12; - src <= 4; - when "011101" => - dest <= 33; - src <= 11; - when "011110" => - dest <= 30; - src <= 19; - when "011111" => - dest <= 25; - src <= 7; - when "100000" => - dest <= 18; - src <= 28; - when "100001" => - dest <= 1; - src <= 12; - when "100010" => - dest <= 32; - src <= 33; - when "100011" => - dest <= 6; - src <= 5; - when "100100" => - dest <= 30; - src <= 6; - when "100101" => - dest <= 24; - src <= 29; - when "100110" => - dest <= 31; - src <= 34; - when "100111" => - dest <= 30; - src <= 18; - when "101000" => - dest <= 15; - src <= 0; - when "101001" => - dest <= 8; - src <= 35; - when others => - dest <= 30; - src <= 30; - - end case; - end process; - - end generate NI_NUM30; - - NI_NUM31 : if NI_NUM = 31 generate - process(count) begin - - case count is - - when "000000" => - dest <= 0; - src <= 31; - when "000001" => - dest <= 31; - src <= 31; - when "000010" => - dest <= 22; - src <= 31; - when "000011" => - dest <= 17; - src <= 31; - when "000100" => - dest <= 24; - src <= 26; - when "000101" => - dest <= 11; - src <= 23; - when "000110" => - dest <= 31; - src <= 16; - when "000111" => - dest <= 10; - src <= 31; - when "001000" => - dest <= 15; - src <= 2; - when "001001" => - dest <= 35; - src <= 10; - when "001010" => - dest <= 31; - src <= 15; - when "001011" => - dest <= 29; - src <= 21; - when "001100" => - dest <= 28; - src <= 31; - when "001101" => - dest <= 23; - src <= 33; - when "001110" => - dest <= 1; - src <= 22; - when "001111" => - dest <= 21; - src <= 17; - when "010000" => - dest <= 14; - src <= 3; - when "010001" => - dest <= 30; - src <= 25; - when "010010" => - dest <= 5; - src <= 4; - when "010011" => - dest <= 4; - src <= 9; - when "010100" => - dest <= 12; - src <= 32; - when "010101" => - dest <= 31; - src <= 11; - when "010110" => - dest <= 8; - src <= 12; - when "010111" => - dest <= 27; - src <= 27; - when "011000" => - dest <= 20; - src <= 31; - when "011001" => - dest <= 6; - src <= 28; - when "011010" => - dest <= 18; - src <= 14; - when "011011" => - dest <= 3; - src <= 18; - when "011100" => - dest <= 13; - src <= 5; - when "011101" => - dest <= 34; - src <= 6; - when "011110" => - dest <= 31; - src <= 20; - when "011111" => - dest <= 26; - src <= 8; - when "100000" => - dest <= 19; - src <= 29; - when "100001" => - dest <= 2; - src <= 13; - when "100010" => - dest <= 33; - src <= 34; - when "100011" => - dest <= 7; - src <= 0; - when "100100" => - dest <= 31; - src <= 7; - when "100101" => - dest <= 25; - src <= 24; - when "100110" => - dest <= 32; - src <= 35; - when "100111" => - dest <= 31; - src <= 19; - when "101000" => - dest <= 16; - src <= 1; - when "101001" => - dest <= 9; - src <= 30; - when others => - dest <= 31; - src <= 31; - - end case; - end process; - - end generate NI_NUM31; - - NI_NUM32 : if NI_NUM = 32 generate - process(count) begin - - case count is - - when "000000" => - dest <= 1; - src <= 32; - when "000001" => - dest <= 32; - src <= 32; - when "000010" => - dest <= 23; - src <= 32; - when "000011" => - dest <= 12; - src <= 32; - when "000100" => - dest <= 25; - src <= 27; - when "000101" => - dest <= 6; - src <= 18; - when "000110" => - dest <= 32; - src <= 17; - when "000111" => - dest <= 11; - src <= 32; - when "001000" => - dest <= 16; - src <= 3; - when "001001" => - dest <= 30; - src <= 11; - when "001010" => - dest <= 32; - src <= 16; - when "001011" => - dest <= 24; - src <= 22; - when "001100" => - dest <= 29; - src <= 32; - when "001101" => - dest <= 18; - src <= 34; - when "001110" => - dest <= 2; - src <= 23; - when "001111" => - dest <= 22; - src <= 12; - when "010000" => - dest <= 15; - src <= 4; - when "010001" => - dest <= 31; - src <= 26; - when "010010" => - dest <= 0; - src <= 5; - when "010011" => - dest <= 5; - src <= 10; - when "010100" => - dest <= 13; - src <= 33; - when "010101" => - dest <= 32; - src <= 6; - when "010110" => - dest <= 9; - src <= 13; - when "010111" => - dest <= 28; - src <= 28; - when "011000" => - dest <= 21; - src <= 32; - when "011001" => - dest <= 7; - src <= 29; - when "011010" => - dest <= 19; - src <= 15; - when "011011" => - dest <= 4; - src <= 19; - when "011100" => - dest <= 14; - src <= 0; - when "011101" => - dest <= 35; - src <= 7; - when "011110" => - dest <= 32; - src <= 21; - when "011111" => - dest <= 27; - src <= 9; - when "100000" => - dest <= 20; - src <= 24; - when "100001" => - dest <= 3; - src <= 14; - when "100010" => - dest <= 34; - src <= 35; - when "100011" => - dest <= 8; - src <= 1; - when "100100" => - dest <= 32; - src <= 8; - when "100101" => - dest <= 26; - src <= 25; - when "100110" => - dest <= 33; - src <= 30; - when "100111" => - dest <= 32; - src <= 20; - when "101000" => - dest <= 17; - src <= 2; - when "101001" => - dest <= 10; - src <= 31; - when others => - dest <= 32; - src <= 32; - - end case; - end process; - - end generate NI_NUM32; - - NI_NUM33 : if NI_NUM = 33 generate - process(count) begin - - case count is - - when "000000" => - dest <= 2; - src <= 33; - when "000001" => - dest <= 33; - src <= 33; - when "000010" => - dest <= 18; - src <= 33; - when "000011" => - dest <= 13; - src <= 33; - when "000100" => - dest <= 26; - src <= 28; - when "000101" => - dest <= 7; - src <= 19; - when "000110" => - dest <= 33; - src <= 12; - when "000111" => - dest <= 6; - src <= 33; - when "001000" => - dest <= 17; - src <= 4; - when "001001" => - dest <= 31; - src <= 6; - when "001010" => - dest <= 33; - src <= 17; - when "001011" => - dest <= 25; - src <= 23; - when "001100" => - dest <= 24; - src <= 33; - when "001101" => - dest <= 19; - src <= 35; - when "001110" => - dest <= 3; - src <= 18; - when "001111" => - dest <= 23; - src <= 13; - when "010000" => - dest <= 16; - src <= 5; - when "010001" => - dest <= 32; - src <= 27; - when "010010" => - dest <= 1; - src <= 0; - when "010011" => - dest <= 0; - src <= 11; - when "010100" => - dest <= 14; - src <= 34; - when "010101" => - dest <= 33; - src <= 7; - when "010110" => - dest <= 10; - src <= 14; - when "010111" => - dest <= 29; - src <= 29; - when "011000" => - dest <= 22; - src <= 33; - when "011001" => - dest <= 8; - src <= 24; - when "011010" => - dest <= 20; - src <= 16; - when "011011" => - dest <= 5; - src <= 20; - when "011100" => - dest <= 15; - src <= 1; - when "011101" => - dest <= 30; - src <= 8; - when "011110" => - dest <= 33; - src <= 22; - when "011111" => - dest <= 28; - src <= 10; - when "100000" => - dest <= 21; - src <= 25; - when "100001" => - dest <= 4; - src <= 15; - when "100010" => - dest <= 35; - src <= 30; - when "100011" => - dest <= 9; - src <= 2; - when "100100" => - dest <= 33; - src <= 9; - when "100101" => - dest <= 27; - src <= 26; - when "100110" => - dest <= 34; - src <= 31; - when "100111" => - dest <= 33; - src <= 21; - when "101000" => - dest <= 12; - src <= 3; - when "101001" => - dest <= 11; - src <= 32; - when others => - dest <= 33; - src <= 33; - - end case; - end process; - - end generate NI_NUM33; - - NI_NUM34 : if NI_NUM = 34 generate - process(count) begin - - case count is - - when "000000" => - dest <= 3; - src <= 34; - when "000001" => - dest <= 34; - src <= 34; - when "000010" => - dest <= 19; - src <= 34; - when "000011" => - dest <= 14; - src <= 34; - when "000100" => - dest <= 27; - src <= 29; - when "000101" => - dest <= 8; - src <= 20; - when "000110" => - dest <= 34; - src <= 13; - when "000111" => - dest <= 7; - src <= 34; - when "001000" => - dest <= 12; - src <= 5; - when "001001" => - dest <= 32; - src <= 7; - when "001010" => - dest <= 34; - src <= 12; - when "001011" => - dest <= 26; - src <= 18; - when "001100" => - dest <= 25; - src <= 34; - when "001101" => - dest <= 20; - src <= 30; - when "001110" => - dest <= 4; - src <= 19; - when "001111" => - dest <= 18; - src <= 14; - when "010000" => - dest <= 17; - src <= 0; - when "010001" => - dest <= 33; - src <= 28; - when "010010" => - dest <= 2; - src <= 1; - when "010011" => - dest <= 1; - src <= 6; - when "010100" => - dest <= 15; - src <= 35; - when "010101" => - dest <= 34; - src <= 8; - when "010110" => - dest <= 11; - src <= 15; - when "010111" => - dest <= 24; - src <= 24; - when "011000" => - dest <= 23; - src <= 34; - when "011001" => - dest <= 9; - src <= 25; - when "011010" => - dest <= 21; - src <= 17; - when "011011" => - dest <= 0; - src <= 21; - when "011100" => - dest <= 16; - src <= 2; - when "011101" => - dest <= 31; - src <= 9; - when "011110" => - dest <= 34; - src <= 23; - when "011111" => - dest <= 29; - src <= 11; - when "100000" => - dest <= 22; - src <= 26; - when "100001" => - dest <= 5; - src <= 16; - when "100010" => - dest <= 30; - src <= 31; - when "100011" => - dest <= 10; - src <= 3; - when "100100" => - dest <= 34; - src <= 10; - when "100101" => - dest <= 28; - src <= 27; - when "100110" => - dest <= 35; - src <= 32; - when "100111" => - dest <= 34; - src <= 22; - when "101000" => - dest <= 13; - src <= 4; - when "101001" => - dest <= 6; - src <= 33; - when others => - dest <= 34; - src <= 34; - - end case; - end process; - - end generate NI_NUM34; - - NI_NUM35 : if NI_NUM = 35 generate - process(count) begin - - case count is - - when "000000" => - dest <= 4; - src <= 35; - when "000001" => - dest <= 35; - src <= 35; - when "000010" => - dest <= 20; - src <= 35; - when "000011" => - dest <= 15; - src <= 35; - when "000100" => - dest <= 28; - src <= 24; - when "000101" => - dest <= 9; - src <= 21; - when "000110" => - dest <= 35; - src <= 14; - when "000111" => - dest <= 8; - src <= 35; - when "001000" => - dest <= 13; - src <= 0; - when "001001" => - dest <= 33; - src <= 8; - when "001010" => - dest <= 35; - src <= 13; - when "001011" => - dest <= 27; - src <= 19; - when "001100" => - dest <= 26; - src <= 35; - when "001101" => - dest <= 21; - src <= 31; - when "001110" => - dest <= 5; - src <= 20; - when "001111" => - dest <= 19; - src <= 15; - when "010000" => - dest <= 12; - src <= 1; - when "010001" => - dest <= 34; - src <= 29; - when "010010" => - dest <= 3; - src <= 2; - when "010011" => - dest <= 2; - src <= 7; - when "010100" => - dest <= 16; - src <= 30; - when "010101" => - dest <= 35; - src <= 9; - when "010110" => - dest <= 6; - src <= 16; - when "010111" => - dest <= 25; - src <= 25; - when "011000" => - dest <= 18; - src <= 35; - when "011001" => - dest <= 10; - src <= 26; - when "011010" => - dest <= 22; - src <= 12; - when "011011" => - dest <= 1; - src <= 22; - when "011100" => - dest <= 17; - src <= 3; - when "011101" => - dest <= 32; - src <= 10; - when "011110" => - dest <= 35; - src <= 18; - when "011111" => - dest <= 24; - src <= 6; - when "100000" => - dest <= 23; - src <= 27; - when "100001" => - dest <= 0; - src <= 17; - when "100010" => - dest <= 31; - src <= 32; - when "100011" => - dest <= 11; - src <= 4; - when "100100" => - dest <= 35; - src <= 11; - when "100101" => - dest <= 29; - src <= 28; - when "100110" => - dest <= 30; - src <= 33; - when "100111" => - dest <= 35; - src <= 23; - when "101000" => - dest <= 14; - src <= 5; - when "101001" => - dest <= 7; - src <= 34; - when others => - dest <= 35; - src <= 35; - - end case; - end process; - - end generate NI_NUM35; - -end data; +------------------------------------------------------------- +-- ni_ST_36.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity ni_ST_36 is + generic ( + NI_NUM : natural); + port ( + count : in unsigned(5 downto 0); + dest : out integer range 0 to 35; + src : out integer range 0 to 35 + ); +end ni_ST_36; + +architecture data of ni_ST_36 is +begin -- data + + NI_NUM0 : if NI_NUM = 0 generate + process(count) begin + + case count is + + when "000000" => + dest <= 11; + src <= 0; + when "000001" => + dest <= 0; + src <= 0; + when "000010" => + dest <= 27; + src <= 0; + when "000011" => + dest <= 22; + src <= 0; + when "000100" => + dest <= 35; + src <= 31; + when "000101" => + dest <= 16; + src <= 28; + when "000110" => + dest <= 0; + src <= 21; + when "000111" => + dest <= 15; + src <= 0; + when "001000" => + dest <= 20; + src <= 7; + when "001001" => + dest <= 4; + src <= 15; + when "001010" => + dest <= 0; + src <= 20; + when "001011" => + dest <= 34; + src <= 26; + when "001100" => + dest <= 33; + src <= 0; + when "001101" => + dest <= 28; + src <= 2; + when "001110" => + dest <= 6; + src <= 27; + when "001111" => + dest <= 26; + src <= 22; + when "010000" => + dest <= 19; + src <= 8; + when "010001" => + dest <= 5; + src <= 30; + when "010010" => + dest <= 10; + src <= 9; + when "010011" => + dest <= 9; + src <= 14; + when "010100" => + dest <= 23; + src <= 1; + when "010101" => + dest <= 0; + src <= 16; + when "010110" => + dest <= 13; + src <= 23; + when "010111" => + dest <= 32; + src <= 32; + when "011000" => + dest <= 25; + src <= 0; + when "011001" => + dest <= 17; + src <= 33; + when "011010" => + dest <= 29; + src <= 19; + when "011011" => + dest <= 8; + src <= 29; + when "011100" => + dest <= 18; + src <= 10; + when "011101" => + dest <= 3; + src <= 17; + when "011110" => + dest <= 0; + src <= 25; + when "011111" => + dest <= 31; + src <= 13; + when "100000" => + dest <= 24; + src <= 34; + when "100001" => + dest <= 7; + src <= 18; + when "100010" => + dest <= 2; + src <= 3; + when "100011" => + dest <= 12; + src <= 11; + when "100100" => + dest <= 0; + src <= 12; + when "100101" => + dest <= 30; + src <= 35; + when "100110" => + dest <= 1; + src <= 4; + when "100111" => + dest <= 0; + src <= 24; + when "101000" => + dest <= 21; + src <= 6; + when "101001" => + dest <= 14; + src <= 5; + when others => + dest <= 0; + src <= 0; + + end case; + end process; + + end generate NI_NUM0; + + NI_NUM1 : if NI_NUM = 1 generate + process(count) begin + + case count is + + when "000000" => + dest <= 6; + src <= 1; + when "000001" => + dest <= 1; + src <= 1; + when "000010" => + dest <= 28; + src <= 1; + when "000011" => + dest <= 23; + src <= 1; + when "000100" => + dest <= 30; + src <= 32; + when "000101" => + dest <= 17; + src <= 29; + when "000110" => + dest <= 1; + src <= 22; + when "000111" => + dest <= 16; + src <= 1; + when "001000" => + dest <= 21; + src <= 8; + when "001001" => + dest <= 5; + src <= 16; + when "001010" => + dest <= 1; + src <= 21; + when "001011" => + dest <= 35; + src <= 27; + when "001100" => + dest <= 34; + src <= 1; + when "001101" => + dest <= 29; + src <= 3; + when "001110" => + dest <= 7; + src <= 28; + when "001111" => + dest <= 27; + src <= 23; + when "010000" => + dest <= 20; + src <= 9; + when "010001" => + dest <= 0; + src <= 31; + when "010010" => + dest <= 11; + src <= 10; + when "010011" => + dest <= 10; + src <= 15; + when "010100" => + dest <= 18; + src <= 2; + when "010101" => + dest <= 1; + src <= 17; + when "010110" => + dest <= 14; + src <= 18; + when "010111" => + dest <= 33; + src <= 33; + when "011000" => + dest <= 26; + src <= 1; + when "011001" => + dest <= 12; + src <= 34; + when "011010" => + dest <= 24; + src <= 20; + when "011011" => + dest <= 9; + src <= 24; + when "011100" => + dest <= 19; + src <= 11; + when "011101" => + dest <= 4; + src <= 12; + when "011110" => + dest <= 1; + src <= 26; + when "011111" => + dest <= 32; + src <= 14; + when "100000" => + dest <= 25; + src <= 35; + when "100001" => + dest <= 8; + src <= 19; + when "100010" => + dest <= 3; + src <= 4; + when "100011" => + dest <= 13; + src <= 6; + when "100100" => + dest <= 1; + src <= 13; + when "100101" => + dest <= 31; + src <= 30; + when "100110" => + dest <= 2; + src <= 5; + when "100111" => + dest <= 1; + src <= 25; + when "101000" => + dest <= 22; + src <= 7; + when "101001" => + dest <= 15; + src <= 0; + when others => + dest <= 1; + src <= 1; + + end case; + end process; + + end generate NI_NUM1; + + NI_NUM2 : if NI_NUM = 2 generate + process(count) begin + + case count is + + when "000000" => + dest <= 7; + src <= 2; + when "000001" => + dest <= 2; + src <= 2; + when "000010" => + dest <= 29; + src <= 2; + when "000011" => + dest <= 18; + src <= 2; + when "000100" => + dest <= 31; + src <= 33; + when "000101" => + dest <= 12; + src <= 24; + when "000110" => + dest <= 2; + src <= 23; + when "000111" => + dest <= 17; + src <= 2; + when "001000" => + dest <= 22; + src <= 9; + when "001001" => + dest <= 0; + src <= 17; + when "001010" => + dest <= 2; + src <= 22; + when "001011" => + dest <= 30; + src <= 28; + when "001100" => + dest <= 35; + src <= 2; + when "001101" => + dest <= 24; + src <= 4; + when "001110" => + dest <= 8; + src <= 29; + when "001111" => + dest <= 28; + src <= 18; + when "010000" => + dest <= 21; + src <= 10; + when "010001" => + dest <= 1; + src <= 32; + when "010010" => + dest <= 6; + src <= 11; + when "010011" => + dest <= 11; + src <= 16; + when "010100" => + dest <= 19; + src <= 3; + when "010101" => + dest <= 2; + src <= 12; + when "010110" => + dest <= 15; + src <= 19; + when "010111" => + dest <= 34; + src <= 34; + when "011000" => + dest <= 27; + src <= 2; + when "011001" => + dest <= 13; + src <= 35; + when "011010" => + dest <= 25; + src <= 21; + when "011011" => + dest <= 10; + src <= 25; + when "011100" => + dest <= 20; + src <= 6; + when "011101" => + dest <= 5; + src <= 13; + when "011110" => + dest <= 2; + src <= 27; + when "011111" => + dest <= 33; + src <= 15; + when "100000" => + dest <= 26; + src <= 30; + when "100001" => + dest <= 9; + src <= 20; + when "100010" => + dest <= 4; + src <= 5; + when "100011" => + dest <= 14; + src <= 7; + when "100100" => + dest <= 2; + src <= 14; + when "100101" => + dest <= 32; + src <= 31; + when "100110" => + dest <= 3; + src <= 0; + when "100111" => + dest <= 2; + src <= 26; + when "101000" => + dest <= 23; + src <= 8; + when "101001" => + dest <= 16; + src <= 1; + when others => + dest <= 2; + src <= 2; + + end case; + end process; + + end generate NI_NUM2; + + NI_NUM3 : if NI_NUM = 3 generate + process(count) begin + + case count is + + when "000000" => + dest <= 8; + src <= 3; + when "000001" => + dest <= 3; + src <= 3; + when "000010" => + dest <= 24; + src <= 3; + when "000011" => + dest <= 19; + src <= 3; + when "000100" => + dest <= 32; + src <= 34; + when "000101" => + dest <= 13; + src <= 25; + when "000110" => + dest <= 3; + src <= 18; + when "000111" => + dest <= 12; + src <= 3; + when "001000" => + dest <= 23; + src <= 10; + when "001001" => + dest <= 1; + src <= 12; + when "001010" => + dest <= 3; + src <= 23; + when "001011" => + dest <= 31; + src <= 29; + when "001100" => + dest <= 30; + src <= 3; + when "001101" => + dest <= 25; + src <= 5; + when "001110" => + dest <= 9; + src <= 24; + when "001111" => + dest <= 29; + src <= 19; + when "010000" => + dest <= 22; + src <= 11; + when "010001" => + dest <= 2; + src <= 33; + when "010010" => + dest <= 7; + src <= 6; + when "010011" => + dest <= 6; + src <= 17; + when "010100" => + dest <= 20; + src <= 4; + when "010101" => + dest <= 3; + src <= 13; + when "010110" => + dest <= 16; + src <= 20; + when "010111" => + dest <= 35; + src <= 35; + when "011000" => + dest <= 28; + src <= 3; + when "011001" => + dest <= 14; + src <= 30; + when "011010" => + dest <= 26; + src <= 22; + when "011011" => + dest <= 11; + src <= 26; + when "011100" => + dest <= 21; + src <= 7; + when "011101" => + dest <= 0; + src <= 14; + when "011110" => + dest <= 3; + src <= 28; + when "011111" => + dest <= 34; + src <= 16; + when "100000" => + dest <= 27; + src <= 31; + when "100001" => + dest <= 10; + src <= 21; + when "100010" => + dest <= 5; + src <= 0; + when "100011" => + dest <= 15; + src <= 8; + when "100100" => + dest <= 3; + src <= 15; + when "100101" => + dest <= 33; + src <= 32; + when "100110" => + dest <= 4; + src <= 1; + when "100111" => + dest <= 3; + src <= 27; + when "101000" => + dest <= 18; + src <= 9; + when "101001" => + dest <= 17; + src <= 2; + when others => + dest <= 3; + src <= 3; + + end case; + end process; + + end generate NI_NUM3; + + NI_NUM4 : if NI_NUM = 4 generate + process(count) begin + + case count is + + when "000000" => + dest <= 9; + src <= 4; + when "000001" => + dest <= 4; + src <= 4; + when "000010" => + dest <= 25; + src <= 4; + when "000011" => + dest <= 20; + src <= 4; + when "000100" => + dest <= 33; + src <= 35; + when "000101" => + dest <= 14; + src <= 26; + when "000110" => + dest <= 4; + src <= 19; + when "000111" => + dest <= 13; + src <= 4; + when "001000" => + dest <= 18; + src <= 11; + when "001001" => + dest <= 2; + src <= 13; + when "001010" => + dest <= 4; + src <= 18; + when "001011" => + dest <= 32; + src <= 24; + when "001100" => + dest <= 31; + src <= 4; + when "001101" => + dest <= 26; + src <= 0; + when "001110" => + dest <= 10; + src <= 25; + when "001111" => + dest <= 24; + src <= 20; + when "010000" => + dest <= 23; + src <= 6; + when "010001" => + dest <= 3; + src <= 34; + when "010010" => + dest <= 8; + src <= 7; + when "010011" => + dest <= 7; + src <= 12; + when "010100" => + dest <= 21; + src <= 5; + when "010101" => + dest <= 4; + src <= 14; + when "010110" => + dest <= 17; + src <= 21; + when "010111" => + dest <= 30; + src <= 30; + when "011000" => + dest <= 29; + src <= 4; + when "011001" => + dest <= 15; + src <= 31; + when "011010" => + dest <= 27; + src <= 23; + when "011011" => + dest <= 6; + src <= 27; + when "011100" => + dest <= 22; + src <= 8; + when "011101" => + dest <= 1; + src <= 15; + when "011110" => + dest <= 4; + src <= 29; + when "011111" => + dest <= 35; + src <= 17; + when "100000" => + dest <= 28; + src <= 32; + when "100001" => + dest <= 11; + src <= 22; + when "100010" => + dest <= 0; + src <= 1; + when "100011" => + dest <= 16; + src <= 9; + when "100100" => + dest <= 4; + src <= 16; + when "100101" => + dest <= 34; + src <= 33; + when "100110" => + dest <= 5; + src <= 2; + when "100111" => + dest <= 4; + src <= 28; + when "101000" => + dest <= 19; + src <= 10; + when "101001" => + dest <= 12; + src <= 3; + when others => + dest <= 4; + src <= 4; + + end case; + end process; + + end generate NI_NUM4; + + NI_NUM5 : if NI_NUM = 5 generate + process(count) begin + + case count is + + when "000000" => + dest <= 10; + src <= 5; + when "000001" => + dest <= 5; + src <= 5; + when "000010" => + dest <= 26; + src <= 5; + when "000011" => + dest <= 21; + src <= 5; + when "000100" => + dest <= 34; + src <= 30; + when "000101" => + dest <= 15; + src <= 27; + when "000110" => + dest <= 5; + src <= 20; + when "000111" => + dest <= 14; + src <= 5; + when "001000" => + dest <= 19; + src <= 6; + when "001001" => + dest <= 3; + src <= 14; + when "001010" => + dest <= 5; + src <= 19; + when "001011" => + dest <= 33; + src <= 25; + when "001100" => + dest <= 32; + src <= 5; + when "001101" => + dest <= 27; + src <= 1; + when "001110" => + dest <= 11; + src <= 26; + when "001111" => + dest <= 25; + src <= 21; + when "010000" => + dest <= 18; + src <= 7; + when "010001" => + dest <= 4; + src <= 35; + when "010010" => + dest <= 9; + src <= 8; + when "010011" => + dest <= 8; + src <= 13; + when "010100" => + dest <= 22; + src <= 0; + when "010101" => + dest <= 5; + src <= 15; + when "010110" => + dest <= 12; + src <= 22; + when "010111" => + dest <= 31; + src <= 31; + when "011000" => + dest <= 24; + src <= 5; + when "011001" => + dest <= 16; + src <= 32; + when "011010" => + dest <= 28; + src <= 18; + when "011011" => + dest <= 7; + src <= 28; + when "011100" => + dest <= 23; + src <= 9; + when "011101" => + dest <= 2; + src <= 16; + when "011110" => + dest <= 5; + src <= 24; + when "011111" => + dest <= 30; + src <= 12; + when "100000" => + dest <= 29; + src <= 33; + when "100001" => + dest <= 6; + src <= 23; + when "100010" => + dest <= 1; + src <= 2; + when "100011" => + dest <= 17; + src <= 10; + when "100100" => + dest <= 5; + src <= 17; + when "100101" => + dest <= 35; + src <= 34; + when "100110" => + dest <= 0; + src <= 3; + when "100111" => + dest <= 5; + src <= 29; + when "101000" => + dest <= 20; + src <= 11; + when "101001" => + dest <= 13; + src <= 4; + when others => + dest <= 5; + src <= 5; + + end case; + end process; + + end generate NI_NUM5; + + NI_NUM6 : if NI_NUM = 6 generate + process(count) begin + + case count is + + when "000000" => + dest <= 17; + src <= 6; + when "000001" => + dest <= 6; + src <= 6; + when "000010" => + dest <= 33; + src <= 6; + when "000011" => + dest <= 28; + src <= 6; + when "000100" => + dest <= 5; + src <= 1; + when "000101" => + dest <= 22; + src <= 34; + when "000110" => + dest <= 6; + src <= 27; + when "000111" => + dest <= 21; + src <= 6; + when "001000" => + dest <= 26; + src <= 13; + when "001001" => + dest <= 10; + src <= 21; + when "001010" => + dest <= 6; + src <= 26; + when "001011" => + dest <= 4; + src <= 32; + when "001100" => + dest <= 3; + src <= 6; + when "001101" => + dest <= 34; + src <= 8; + when "001110" => + dest <= 12; + src <= 33; + when "001111" => + dest <= 32; + src <= 28; + when "010000" => + dest <= 25; + src <= 14; + when "010001" => + dest <= 11; + src <= 0; + when "010010" => + dest <= 16; + src <= 15; + when "010011" => + dest <= 15; + src <= 20; + when "010100" => + dest <= 29; + src <= 7; + when "010101" => + dest <= 6; + src <= 22; + when "010110" => + dest <= 19; + src <= 29; + when "010111" => + dest <= 2; + src <= 2; + when "011000" => + dest <= 31; + src <= 6; + when "011001" => + dest <= 23; + src <= 3; + when "011010" => + dest <= 35; + src <= 25; + when "011011" => + dest <= 14; + src <= 35; + when "011100" => + dest <= 24; + src <= 16; + when "011101" => + dest <= 9; + src <= 23; + when "011110" => + dest <= 6; + src <= 31; + when "011111" => + dest <= 1; + src <= 19; + when "100000" => + dest <= 30; + src <= 4; + when "100001" => + dest <= 13; + src <= 24; + when "100010" => + dest <= 8; + src <= 9; + when "100011" => + dest <= 18; + src <= 17; + when "100100" => + dest <= 6; + src <= 18; + when "100101" => + dest <= 0; + src <= 5; + when "100110" => + dest <= 7; + src <= 10; + when "100111" => + dest <= 6; + src <= 30; + when "101000" => + dest <= 27; + src <= 12; + when "101001" => + dest <= 20; + src <= 11; + when others => + dest <= 6; + src <= 6; + + end case; + end process; + + end generate NI_NUM6; + + NI_NUM7 : if NI_NUM = 7 generate + process(count) begin + + case count is + + when "000000" => + dest <= 12; + src <= 7; + when "000001" => + dest <= 7; + src <= 7; + when "000010" => + dest <= 34; + src <= 7; + when "000011" => + dest <= 29; + src <= 7; + when "000100" => + dest <= 0; + src <= 2; + when "000101" => + dest <= 23; + src <= 35; + when "000110" => + dest <= 7; + src <= 28; + when "000111" => + dest <= 22; + src <= 7; + when "001000" => + dest <= 27; + src <= 14; + when "001001" => + dest <= 11; + src <= 22; + when "001010" => + dest <= 7; + src <= 27; + when "001011" => + dest <= 5; + src <= 33; + when "001100" => + dest <= 4; + src <= 7; + when "001101" => + dest <= 35; + src <= 9; + when "001110" => + dest <= 13; + src <= 34; + when "001111" => + dest <= 33; + src <= 29; + when "010000" => + dest <= 26; + src <= 15; + when "010001" => + dest <= 6; + src <= 1; + when "010010" => + dest <= 17; + src <= 16; + when "010011" => + dest <= 16; + src <= 21; + when "010100" => + dest <= 24; + src <= 8; + when "010101" => + dest <= 7; + src <= 23; + when "010110" => + dest <= 20; + src <= 24; + when "010111" => + dest <= 3; + src <= 3; + when "011000" => + dest <= 32; + src <= 7; + when "011001" => + dest <= 18; + src <= 4; + when "011010" => + dest <= 30; + src <= 26; + when "011011" => + dest <= 15; + src <= 30; + when "011100" => + dest <= 25; + src <= 17; + when "011101" => + dest <= 10; + src <= 18; + when "011110" => + dest <= 7; + src <= 32; + when "011111" => + dest <= 2; + src <= 20; + when "100000" => + dest <= 31; + src <= 5; + when "100001" => + dest <= 14; + src <= 25; + when "100010" => + dest <= 9; + src <= 10; + when "100011" => + dest <= 19; + src <= 12; + when "100100" => + dest <= 7; + src <= 19; + when "100101" => + dest <= 1; + src <= 0; + when "100110" => + dest <= 8; + src <= 11; + when "100111" => + dest <= 7; + src <= 31; + when "101000" => + dest <= 28; + src <= 13; + when "101001" => + dest <= 21; + src <= 6; + when others => + dest <= 7; + src <= 7; + + end case; + end process; + + end generate NI_NUM7; + + NI_NUM8 : if NI_NUM = 8 generate + process(count) begin + + case count is + + when "000000" => + dest <= 13; + src <= 8; + when "000001" => + dest <= 8; + src <= 8; + when "000010" => + dest <= 35; + src <= 8; + when "000011" => + dest <= 24; + src <= 8; + when "000100" => + dest <= 1; + src <= 3; + when "000101" => + dest <= 18; + src <= 30; + when "000110" => + dest <= 8; + src <= 29; + when "000111" => + dest <= 23; + src <= 8; + when "001000" => + dest <= 28; + src <= 15; + when "001001" => + dest <= 6; + src <= 23; + when "001010" => + dest <= 8; + src <= 28; + when "001011" => + dest <= 0; + src <= 34; + when "001100" => + dest <= 5; + src <= 8; + when "001101" => + dest <= 30; + src <= 10; + when "001110" => + dest <= 14; + src <= 35; + when "001111" => + dest <= 34; + src <= 24; + when "010000" => + dest <= 27; + src <= 16; + when "010001" => + dest <= 7; + src <= 2; + when "010010" => + dest <= 12; + src <= 17; + when "010011" => + dest <= 17; + src <= 22; + when "010100" => + dest <= 25; + src <= 9; + when "010101" => + dest <= 8; + src <= 18; + when "010110" => + dest <= 21; + src <= 25; + when "010111" => + dest <= 4; + src <= 4; + when "011000" => + dest <= 33; + src <= 8; + when "011001" => + dest <= 19; + src <= 5; + when "011010" => + dest <= 31; + src <= 27; + when "011011" => + dest <= 16; + src <= 31; + when "011100" => + dest <= 26; + src <= 12; + when "011101" => + dest <= 11; + src <= 19; + when "011110" => + dest <= 8; + src <= 33; + when "011111" => + dest <= 3; + src <= 21; + when "100000" => + dest <= 32; + src <= 0; + when "100001" => + dest <= 15; + src <= 26; + when "100010" => + dest <= 10; + src <= 11; + when "100011" => + dest <= 20; + src <= 13; + when "100100" => + dest <= 8; + src <= 20; + when "100101" => + dest <= 2; + src <= 1; + when "100110" => + dest <= 9; + src <= 6; + when "100111" => + dest <= 8; + src <= 32; + when "101000" => + dest <= 29; + src <= 14; + when "101001" => + dest <= 22; + src <= 7; + when others => + dest <= 8; + src <= 8; + + end case; + end process; + + end generate NI_NUM8; + + NI_NUM9 : if NI_NUM = 9 generate + process(count) begin + + case count is + + when "000000" => + dest <= 14; + src <= 9; + when "000001" => + dest <= 9; + src <= 9; + when "000010" => + dest <= 30; + src <= 9; + when "000011" => + dest <= 25; + src <= 9; + when "000100" => + dest <= 2; + src <= 4; + when "000101" => + dest <= 19; + src <= 31; + when "000110" => + dest <= 9; + src <= 24; + when "000111" => + dest <= 18; + src <= 9; + when "001000" => + dest <= 29; + src <= 16; + when "001001" => + dest <= 7; + src <= 18; + when "001010" => + dest <= 9; + src <= 29; + when "001011" => + dest <= 1; + src <= 35; + when "001100" => + dest <= 0; + src <= 9; + when "001101" => + dest <= 31; + src <= 11; + when "001110" => + dest <= 15; + src <= 30; + when "001111" => + dest <= 35; + src <= 25; + when "010000" => + dest <= 28; + src <= 17; + when "010001" => + dest <= 8; + src <= 3; + when "010010" => + dest <= 13; + src <= 12; + when "010011" => + dest <= 12; + src <= 23; + when "010100" => + dest <= 26; + src <= 10; + when "010101" => + dest <= 9; + src <= 19; + when "010110" => + dest <= 22; + src <= 26; + when "010111" => + dest <= 5; + src <= 5; + when "011000" => + dest <= 34; + src <= 9; + when "011001" => + dest <= 20; + src <= 0; + when "011010" => + dest <= 32; + src <= 28; + when "011011" => + dest <= 17; + src <= 32; + when "011100" => + dest <= 27; + src <= 13; + when "011101" => + dest <= 6; + src <= 20; + when "011110" => + dest <= 9; + src <= 34; + when "011111" => + dest <= 4; + src <= 22; + when "100000" => + dest <= 33; + src <= 1; + when "100001" => + dest <= 16; + src <= 27; + when "100010" => + dest <= 11; + src <= 6; + when "100011" => + dest <= 21; + src <= 14; + when "100100" => + dest <= 9; + src <= 21; + when "100101" => + dest <= 3; + src <= 2; + when "100110" => + dest <= 10; + src <= 7; + when "100111" => + dest <= 9; + src <= 33; + when "101000" => + dest <= 24; + src <= 15; + when "101001" => + dest <= 23; + src <= 8; + when others => + dest <= 9; + src <= 9; + + end case; + end process; + + end generate NI_NUM9; + + NI_NUM10 : if NI_NUM = 10 generate + process(count) begin + + case count is + + when "000000" => + dest <= 15; + src <= 10; + when "000001" => + dest <= 10; + src <= 10; + when "000010" => + dest <= 31; + src <= 10; + when "000011" => + dest <= 26; + src <= 10; + when "000100" => + dest <= 3; + src <= 5; + when "000101" => + dest <= 20; + src <= 32; + when "000110" => + dest <= 10; + src <= 25; + when "000111" => + dest <= 19; + src <= 10; + when "001000" => + dest <= 24; + src <= 17; + when "001001" => + dest <= 8; + src <= 19; + when "001010" => + dest <= 10; + src <= 24; + when "001011" => + dest <= 2; + src <= 30; + when "001100" => + dest <= 1; + src <= 10; + when "001101" => + dest <= 32; + src <= 6; + when "001110" => + dest <= 16; + src <= 31; + when "001111" => + dest <= 30; + src <= 26; + when "010000" => + dest <= 29; + src <= 12; + when "010001" => + dest <= 9; + src <= 4; + when "010010" => + dest <= 14; + src <= 13; + when "010011" => + dest <= 13; + src <= 18; + when "010100" => + dest <= 27; + src <= 11; + when "010101" => + dest <= 10; + src <= 20; + when "010110" => + dest <= 23; + src <= 27; + when "010111" => + dest <= 0; + src <= 0; + when "011000" => + dest <= 35; + src <= 10; + when "011001" => + dest <= 21; + src <= 1; + when "011010" => + dest <= 33; + src <= 29; + when "011011" => + dest <= 12; + src <= 33; + when "011100" => + dest <= 28; + src <= 14; + when "011101" => + dest <= 7; + src <= 21; + when "011110" => + dest <= 10; + src <= 35; + when "011111" => + dest <= 5; + src <= 23; + when "100000" => + dest <= 34; + src <= 2; + when "100001" => + dest <= 17; + src <= 28; + when "100010" => + dest <= 6; + src <= 7; + when "100011" => + dest <= 22; + src <= 15; + when "100100" => + dest <= 10; + src <= 22; + when "100101" => + dest <= 4; + src <= 3; + when "100110" => + dest <= 11; + src <= 8; + when "100111" => + dest <= 10; + src <= 34; + when "101000" => + dest <= 25; + src <= 16; + when "101001" => + dest <= 18; + src <= 9; + when others => + dest <= 10; + src <= 10; + + end case; + end process; + + end generate NI_NUM10; + + NI_NUM11 : if NI_NUM = 11 generate + process(count) begin + + case count is + + when "000000" => + dest <= 16; + src <= 11; + when "000001" => + dest <= 11; + src <= 11; + when "000010" => + dest <= 32; + src <= 11; + when "000011" => + dest <= 27; + src <= 11; + when "000100" => + dest <= 4; + src <= 0; + when "000101" => + dest <= 21; + src <= 33; + when "000110" => + dest <= 11; + src <= 26; + when "000111" => + dest <= 20; + src <= 11; + when "001000" => + dest <= 25; + src <= 12; + when "001001" => + dest <= 9; + src <= 20; + when "001010" => + dest <= 11; + src <= 25; + when "001011" => + dest <= 3; + src <= 31; + when "001100" => + dest <= 2; + src <= 11; + when "001101" => + dest <= 33; + src <= 7; + when "001110" => + dest <= 17; + src <= 32; + when "001111" => + dest <= 31; + src <= 27; + when "010000" => + dest <= 24; + src <= 13; + when "010001" => + dest <= 10; + src <= 5; + when "010010" => + dest <= 15; + src <= 14; + when "010011" => + dest <= 14; + src <= 19; + when "010100" => + dest <= 28; + src <= 6; + when "010101" => + dest <= 11; + src <= 21; + when "010110" => + dest <= 18; + src <= 28; + when "010111" => + dest <= 1; + src <= 1; + when "011000" => + dest <= 30; + src <= 11; + when "011001" => + dest <= 22; + src <= 2; + when "011010" => + dest <= 34; + src <= 24; + when "011011" => + dest <= 13; + src <= 34; + when "011100" => + dest <= 29; + src <= 15; + when "011101" => + dest <= 8; + src <= 22; + when "011110" => + dest <= 11; + src <= 30; + when "011111" => + dest <= 0; + src <= 18; + when "100000" => + dest <= 35; + src <= 3; + when "100001" => + dest <= 12; + src <= 29; + when "100010" => + dest <= 7; + src <= 8; + when "100011" => + dest <= 23; + src <= 16; + when "100100" => + dest <= 11; + src <= 23; + when "100101" => + dest <= 5; + src <= 4; + when "100110" => + dest <= 6; + src <= 9; + when "100111" => + dest <= 11; + src <= 35; + when "101000" => + dest <= 26; + src <= 17; + when "101001" => + dest <= 19; + src <= 10; + when others => + dest <= 11; + src <= 11; + + end case; + end process; + + end generate NI_NUM11; + + NI_NUM12 : if NI_NUM = 12 generate + process(count) begin + + case count is + + when "000000" => + dest <= 23; + src <= 12; + when "000001" => + dest <= 12; + src <= 12; + when "000010" => + dest <= 3; + src <= 12; + when "000011" => + dest <= 34; + src <= 12; + when "000100" => + dest <= 11; + src <= 7; + when "000101" => + dest <= 28; + src <= 4; + when "000110" => + dest <= 12; + src <= 33; + when "000111" => + dest <= 27; + src <= 12; + when "001000" => + dest <= 32; + src <= 19; + when "001001" => + dest <= 16; + src <= 27; + when "001010" => + dest <= 12; + src <= 32; + when "001011" => + dest <= 10; + src <= 2; + when "001100" => + dest <= 9; + src <= 12; + when "001101" => + dest <= 4; + src <= 14; + when "001110" => + dest <= 18; + src <= 3; + when "001111" => + dest <= 2; + src <= 34; + when "010000" => + dest <= 31; + src <= 20; + when "010001" => + dest <= 17; + src <= 6; + when "010010" => + dest <= 22; + src <= 21; + when "010011" => + dest <= 21; + src <= 26; + when "010100" => + dest <= 35; + src <= 13; + when "010101" => + dest <= 12; + src <= 28; + when "010110" => + dest <= 25; + src <= 35; + when "010111" => + dest <= 8; + src <= 8; + when "011000" => + dest <= 1; + src <= 12; + when "011001" => + dest <= 29; + src <= 9; + when "011010" => + dest <= 5; + src <= 31; + when "011011" => + dest <= 20; + src <= 5; + when "011100" => + dest <= 30; + src <= 22; + when "011101" => + dest <= 15; + src <= 29; + when "011110" => + dest <= 12; + src <= 1; + when "011111" => + dest <= 7; + src <= 25; + when "100000" => + dest <= 0; + src <= 10; + when "100001" => + dest <= 19; + src <= 30; + when "100010" => + dest <= 14; + src <= 15; + when "100011" => + dest <= 24; + src <= 23; + when "100100" => + dest <= 12; + src <= 24; + when "100101" => + dest <= 6; + src <= 11; + when "100110" => + dest <= 13; + src <= 16; + when "100111" => + dest <= 12; + src <= 0; + when "101000" => + dest <= 33; + src <= 18; + when "101001" => + dest <= 26; + src <= 17; + when others => + dest <= 12; + src <= 12; + + end case; + end process; + + end generate NI_NUM12; + + NI_NUM13 : if NI_NUM = 13 generate + process(count) begin + + case count is + + when "000000" => + dest <= 18; + src <= 13; + when "000001" => + dest <= 13; + src <= 13; + when "000010" => + dest <= 4; + src <= 13; + when "000011" => + dest <= 35; + src <= 13; + when "000100" => + dest <= 6; + src <= 8; + when "000101" => + dest <= 29; + src <= 5; + when "000110" => + dest <= 13; + src <= 34; + when "000111" => + dest <= 28; + src <= 13; + when "001000" => + dest <= 33; + src <= 20; + when "001001" => + dest <= 17; + src <= 28; + when "001010" => + dest <= 13; + src <= 33; + when "001011" => + dest <= 11; + src <= 3; + when "001100" => + dest <= 10; + src <= 13; + when "001101" => + dest <= 5; + src <= 15; + when "001110" => + dest <= 19; + src <= 4; + when "001111" => + dest <= 3; + src <= 35; + when "010000" => + dest <= 32; + src <= 21; + when "010001" => + dest <= 12; + src <= 7; + when "010010" => + dest <= 23; + src <= 22; + when "010011" => + dest <= 22; + src <= 27; + when "010100" => + dest <= 30; + src <= 14; + when "010101" => + dest <= 13; + src <= 29; + when "010110" => + dest <= 26; + src <= 30; + when "010111" => + dest <= 9; + src <= 9; + when "011000" => + dest <= 2; + src <= 13; + when "011001" => + dest <= 24; + src <= 10; + when "011010" => + dest <= 0; + src <= 32; + when "011011" => + dest <= 21; + src <= 0; + when "011100" => + dest <= 31; + src <= 23; + when "011101" => + dest <= 16; + src <= 24; + when "011110" => + dest <= 13; + src <= 2; + when "011111" => + dest <= 8; + src <= 26; + when "100000" => + dest <= 1; + src <= 11; + when "100001" => + dest <= 20; + src <= 31; + when "100010" => + dest <= 15; + src <= 16; + when "100011" => + dest <= 25; + src <= 18; + when "100100" => + dest <= 13; + src <= 25; + when "100101" => + dest <= 7; + src <= 6; + when "100110" => + dest <= 14; + src <= 17; + when "100111" => + dest <= 13; + src <= 1; + when "101000" => + dest <= 34; + src <= 19; + when "101001" => + dest <= 27; + src <= 12; + when others => + dest <= 13; + src <= 13; + + end case; + end process; + + end generate NI_NUM13; + + NI_NUM14 : if NI_NUM = 14 generate + process(count) begin + + case count is + + when "000000" => + dest <= 19; + src <= 14; + when "000001" => + dest <= 14; + src <= 14; + when "000010" => + dest <= 5; + src <= 14; + when "000011" => + dest <= 30; + src <= 14; + when "000100" => + dest <= 7; + src <= 9; + when "000101" => + dest <= 24; + src <= 0; + when "000110" => + dest <= 14; + src <= 35; + when "000111" => + dest <= 29; + src <= 14; + when "001000" => + dest <= 34; + src <= 21; + when "001001" => + dest <= 12; + src <= 29; + when "001010" => + dest <= 14; + src <= 34; + when "001011" => + dest <= 6; + src <= 4; + when "001100" => + dest <= 11; + src <= 14; + when "001101" => + dest <= 0; + src <= 16; + when "001110" => + dest <= 20; + src <= 5; + when "001111" => + dest <= 4; + src <= 30; + when "010000" => + dest <= 33; + src <= 22; + when "010001" => + dest <= 13; + src <= 8; + when "010010" => + dest <= 18; + src <= 23; + when "010011" => + dest <= 23; + src <= 28; + when "010100" => + dest <= 31; + src <= 15; + when "010101" => + dest <= 14; + src <= 24; + when "010110" => + dest <= 27; + src <= 31; + when "010111" => + dest <= 10; + src <= 10; + when "011000" => + dest <= 3; + src <= 14; + when "011001" => + dest <= 25; + src <= 11; + when "011010" => + dest <= 1; + src <= 33; + when "011011" => + dest <= 22; + src <= 1; + when "011100" => + dest <= 32; + src <= 18; + when "011101" => + dest <= 17; + src <= 25; + when "011110" => + dest <= 14; + src <= 3; + when "011111" => + dest <= 9; + src <= 27; + when "100000" => + dest <= 2; + src <= 6; + when "100001" => + dest <= 21; + src <= 32; + when "100010" => + dest <= 16; + src <= 17; + when "100011" => + dest <= 26; + src <= 19; + when "100100" => + dest <= 14; + src <= 26; + when "100101" => + dest <= 8; + src <= 7; + when "100110" => + dest <= 15; + src <= 12; + when "100111" => + dest <= 14; + src <= 2; + when "101000" => + dest <= 35; + src <= 20; + when "101001" => + dest <= 28; + src <= 13; + when others => + dest <= 14; + src <= 14; + + end case; + end process; + + end generate NI_NUM14; + + NI_NUM15 : if NI_NUM = 15 generate + process(count) begin + + case count is + + when "000000" => + dest <= 20; + src <= 15; + when "000001" => + dest <= 15; + src <= 15; + when "000010" => + dest <= 0; + src <= 15; + when "000011" => + dest <= 31; + src <= 15; + when "000100" => + dest <= 8; + src <= 10; + when "000101" => + dest <= 25; + src <= 1; + when "000110" => + dest <= 15; + src <= 30; + when "000111" => + dest <= 24; + src <= 15; + when "001000" => + dest <= 35; + src <= 22; + when "001001" => + dest <= 13; + src <= 24; + when "001010" => + dest <= 15; + src <= 35; + when "001011" => + dest <= 7; + src <= 5; + when "001100" => + dest <= 6; + src <= 15; + when "001101" => + dest <= 1; + src <= 17; + when "001110" => + dest <= 21; + src <= 0; + when "001111" => + dest <= 5; + src <= 31; + when "010000" => + dest <= 34; + src <= 23; + when "010001" => + dest <= 14; + src <= 9; + when "010010" => + dest <= 19; + src <= 18; + when "010011" => + dest <= 18; + src <= 29; + when "010100" => + dest <= 32; + src <= 16; + when "010101" => + dest <= 15; + src <= 25; + when "010110" => + dest <= 28; + src <= 32; + when "010111" => + dest <= 11; + src <= 11; + when "011000" => + dest <= 4; + src <= 15; + when "011001" => + dest <= 26; + src <= 6; + when "011010" => + dest <= 2; + src <= 34; + when "011011" => + dest <= 23; + src <= 2; + when "011100" => + dest <= 33; + src <= 19; + when "011101" => + dest <= 12; + src <= 26; + when "011110" => + dest <= 15; + src <= 4; + when "011111" => + dest <= 10; + src <= 28; + when "100000" => + dest <= 3; + src <= 7; + when "100001" => + dest <= 22; + src <= 33; + when "100010" => + dest <= 17; + src <= 12; + when "100011" => + dest <= 27; + src <= 20; + when "100100" => + dest <= 15; + src <= 27; + when "100101" => + dest <= 9; + src <= 8; + when "100110" => + dest <= 16; + src <= 13; + when "100111" => + dest <= 15; + src <= 3; + when "101000" => + dest <= 30; + src <= 21; + when "101001" => + dest <= 29; + src <= 14; + when others => + dest <= 15; + src <= 15; + + end case; + end process; + + end generate NI_NUM15; + + NI_NUM16 : if NI_NUM = 16 generate + process(count) begin + + case count is + + when "000000" => + dest <= 21; + src <= 16; + when "000001" => + dest <= 16; + src <= 16; + when "000010" => + dest <= 1; + src <= 16; + when "000011" => + dest <= 32; + src <= 16; + when "000100" => + dest <= 9; + src <= 11; + when "000101" => + dest <= 26; + src <= 2; + when "000110" => + dest <= 16; + src <= 31; + when "000111" => + dest <= 25; + src <= 16; + when "001000" => + dest <= 30; + src <= 23; + when "001001" => + dest <= 14; + src <= 25; + when "001010" => + dest <= 16; + src <= 30; + when "001011" => + dest <= 8; + src <= 0; + when "001100" => + dest <= 7; + src <= 16; + when "001101" => + dest <= 2; + src <= 12; + when "001110" => + dest <= 22; + src <= 1; + when "001111" => + dest <= 0; + src <= 32; + when "010000" => + dest <= 35; + src <= 18; + when "010001" => + dest <= 15; + src <= 10; + when "010010" => + dest <= 20; + src <= 19; + when "010011" => + dest <= 19; + src <= 24; + when "010100" => + dest <= 33; + src <= 17; + when "010101" => + dest <= 16; + src <= 26; + when "010110" => + dest <= 29; + src <= 33; + when "010111" => + dest <= 6; + src <= 6; + when "011000" => + dest <= 5; + src <= 16; + when "011001" => + dest <= 27; + src <= 7; + when "011010" => + dest <= 3; + src <= 35; + when "011011" => + dest <= 18; + src <= 3; + when "011100" => + dest <= 34; + src <= 20; + when "011101" => + dest <= 13; + src <= 27; + when "011110" => + dest <= 16; + src <= 5; + when "011111" => + dest <= 11; + src <= 29; + when "100000" => + dest <= 4; + src <= 8; + when "100001" => + dest <= 23; + src <= 34; + when "100010" => + dest <= 12; + src <= 13; + when "100011" => + dest <= 28; + src <= 21; + when "100100" => + dest <= 16; + src <= 28; + when "100101" => + dest <= 10; + src <= 9; + when "100110" => + dest <= 17; + src <= 14; + when "100111" => + dest <= 16; + src <= 4; + when "101000" => + dest <= 31; + src <= 22; + when "101001" => + dest <= 24; + src <= 15; + when others => + dest <= 16; + src <= 16; + + end case; + end process; + + end generate NI_NUM16; + + NI_NUM17 : if NI_NUM = 17 generate + process(count) begin + + case count is + + when "000000" => + dest <= 22; + src <= 17; + when "000001" => + dest <= 17; + src <= 17; + when "000010" => + dest <= 2; + src <= 17; + when "000011" => + dest <= 33; + src <= 17; + when "000100" => + dest <= 10; + src <= 6; + when "000101" => + dest <= 27; + src <= 3; + when "000110" => + dest <= 17; + src <= 32; + when "000111" => + dest <= 26; + src <= 17; + when "001000" => + dest <= 31; + src <= 18; + when "001001" => + dest <= 15; + src <= 26; + when "001010" => + dest <= 17; + src <= 31; + when "001011" => + dest <= 9; + src <= 1; + when "001100" => + dest <= 8; + src <= 17; + when "001101" => + dest <= 3; + src <= 13; + when "001110" => + dest <= 23; + src <= 2; + when "001111" => + dest <= 1; + src <= 33; + when "010000" => + dest <= 30; + src <= 19; + when "010001" => + dest <= 16; + src <= 11; + when "010010" => + dest <= 21; + src <= 20; + when "010011" => + dest <= 20; + src <= 25; + when "010100" => + dest <= 34; + src <= 12; + when "010101" => + dest <= 17; + src <= 27; + when "010110" => + dest <= 24; + src <= 34; + when "010111" => + dest <= 7; + src <= 7; + when "011000" => + dest <= 0; + src <= 17; + when "011001" => + dest <= 28; + src <= 8; + when "011010" => + dest <= 4; + src <= 30; + when "011011" => + dest <= 19; + src <= 4; + when "011100" => + dest <= 35; + src <= 21; + when "011101" => + dest <= 14; + src <= 28; + when "011110" => + dest <= 17; + src <= 0; + when "011111" => + dest <= 6; + src <= 24; + when "100000" => + dest <= 5; + src <= 9; + when "100001" => + dest <= 18; + src <= 35; + when "100010" => + dest <= 13; + src <= 14; + when "100011" => + dest <= 29; + src <= 22; + when "100100" => + dest <= 17; + src <= 29; + when "100101" => + dest <= 11; + src <= 10; + when "100110" => + dest <= 12; + src <= 15; + when "100111" => + dest <= 17; + src <= 5; + when "101000" => + dest <= 32; + src <= 23; + when "101001" => + dest <= 25; + src <= 16; + when others => + dest <= 17; + src <= 17; + + end case; + end process; + + end generate NI_NUM17; + + NI_NUM18 : if NI_NUM = 18 generate + process(count) begin + + case count is + + when "000000" => + dest <= 29; + src <= 18; + when "000001" => + dest <= 18; + src <= 18; + when "000010" => + dest <= 9; + src <= 18; + when "000011" => + dest <= 4; + src <= 18; + when "000100" => + dest <= 17; + src <= 13; + when "000101" => + dest <= 34; + src <= 10; + when "000110" => + dest <= 18; + src <= 3; + when "000111" => + dest <= 33; + src <= 18; + when "001000" => + dest <= 2; + src <= 25; + when "001001" => + dest <= 22; + src <= 33; + when "001010" => + dest <= 18; + src <= 2; + when "001011" => + dest <= 16; + src <= 8; + when "001100" => + dest <= 15; + src <= 18; + when "001101" => + dest <= 10; + src <= 20; + when "001110" => + dest <= 24; + src <= 9; + when "001111" => + dest <= 8; + src <= 4; + when "010000" => + dest <= 1; + src <= 26; + when "010001" => + dest <= 23; + src <= 12; + when "010010" => + dest <= 28; + src <= 27; + when "010011" => + dest <= 27; + src <= 32; + when "010100" => + dest <= 5; + src <= 19; + when "010101" => + dest <= 18; + src <= 34; + when "010110" => + dest <= 31; + src <= 5; + when "010111" => + dest <= 14; + src <= 14; + when "011000" => + dest <= 7; + src <= 18; + when "011001" => + dest <= 35; + src <= 15; + when "011010" => + dest <= 11; + src <= 1; + when "011011" => + dest <= 26; + src <= 11; + when "011100" => + dest <= 0; + src <= 28; + when "011101" => + dest <= 21; + src <= 35; + when "011110" => + dest <= 18; + src <= 7; + when "011111" => + dest <= 13; + src <= 31; + when "100000" => + dest <= 6; + src <= 16; + when "100001" => + dest <= 25; + src <= 0; + when "100010" => + dest <= 20; + src <= 21; + when "100011" => + dest <= 30; + src <= 29; + when "100100" => + dest <= 18; + src <= 30; + when "100101" => + dest <= 12; + src <= 17; + when "100110" => + dest <= 19; + src <= 22; + when "100111" => + dest <= 18; + src <= 6; + when "101000" => + dest <= 3; + src <= 24; + when "101001" => + dest <= 32; + src <= 23; + when others => + dest <= 18; + src <= 18; + + end case; + end process; + + end generate NI_NUM18; + + NI_NUM19 : if NI_NUM = 19 generate + process(count) begin + + case count is + + when "000000" => + dest <= 24; + src <= 19; + when "000001" => + dest <= 19; + src <= 19; + when "000010" => + dest <= 10; + src <= 19; + when "000011" => + dest <= 5; + src <= 19; + when "000100" => + dest <= 12; + src <= 14; + when "000101" => + dest <= 35; + src <= 11; + when "000110" => + dest <= 19; + src <= 4; + when "000111" => + dest <= 34; + src <= 19; + when "001000" => + dest <= 3; + src <= 26; + when "001001" => + dest <= 23; + src <= 34; + when "001010" => + dest <= 19; + src <= 3; + when "001011" => + dest <= 17; + src <= 9; + when "001100" => + dest <= 16; + src <= 19; + when "001101" => + dest <= 11; + src <= 21; + when "001110" => + dest <= 25; + src <= 10; + when "001111" => + dest <= 9; + src <= 5; + when "010000" => + dest <= 2; + src <= 27; + when "010001" => + dest <= 18; + src <= 13; + when "010010" => + dest <= 29; + src <= 28; + when "010011" => + dest <= 28; + src <= 33; + when "010100" => + dest <= 0; + src <= 20; + when "010101" => + dest <= 19; + src <= 35; + when "010110" => + dest <= 32; + src <= 0; + when "010111" => + dest <= 15; + src <= 15; + when "011000" => + dest <= 8; + src <= 19; + when "011001" => + dest <= 30; + src <= 16; + when "011010" => + dest <= 6; + src <= 2; + when "011011" => + dest <= 27; + src <= 6; + when "011100" => + dest <= 1; + src <= 29; + when "011101" => + dest <= 22; + src <= 30; + when "011110" => + dest <= 19; + src <= 8; + when "011111" => + dest <= 14; + src <= 32; + when "100000" => + dest <= 7; + src <= 17; + when "100001" => + dest <= 26; + src <= 1; + when "100010" => + dest <= 21; + src <= 22; + when "100011" => + dest <= 31; + src <= 24; + when "100100" => + dest <= 19; + src <= 31; + when "100101" => + dest <= 13; + src <= 12; + when "100110" => + dest <= 20; + src <= 23; + when "100111" => + dest <= 19; + src <= 7; + when "101000" => + dest <= 4; + src <= 25; + when "101001" => + dest <= 33; + src <= 18; + when others => + dest <= 19; + src <= 19; + + end case; + end process; + + end generate NI_NUM19; + + NI_NUM20 : if NI_NUM = 20 generate + process(count) begin + + case count is + + when "000000" => + dest <= 25; + src <= 20; + when "000001" => + dest <= 20; + src <= 20; + when "000010" => + dest <= 11; + src <= 20; + when "000011" => + dest <= 0; + src <= 20; + when "000100" => + dest <= 13; + src <= 15; + when "000101" => + dest <= 30; + src <= 6; + when "000110" => + dest <= 20; + src <= 5; + when "000111" => + dest <= 35; + src <= 20; + when "001000" => + dest <= 4; + src <= 27; + when "001001" => + dest <= 18; + src <= 35; + when "001010" => + dest <= 20; + src <= 4; + when "001011" => + dest <= 12; + src <= 10; + when "001100" => + dest <= 17; + src <= 20; + when "001101" => + dest <= 6; + src <= 22; + when "001110" => + dest <= 26; + src <= 11; + when "001111" => + dest <= 10; + src <= 0; + when "010000" => + dest <= 3; + src <= 28; + when "010001" => + dest <= 19; + src <= 14; + when "010010" => + dest <= 24; + src <= 29; + when "010011" => + dest <= 29; + src <= 34; + when "010100" => + dest <= 1; + src <= 21; + when "010101" => + dest <= 20; + src <= 30; + when "010110" => + dest <= 33; + src <= 1; + when "010111" => + dest <= 16; + src <= 16; + when "011000" => + dest <= 9; + src <= 20; + when "011001" => + dest <= 31; + src <= 17; + when "011010" => + dest <= 7; + src <= 3; + when "011011" => + dest <= 28; + src <= 7; + when "011100" => + dest <= 2; + src <= 24; + when "011101" => + dest <= 23; + src <= 31; + when "011110" => + dest <= 20; + src <= 9; + when "011111" => + dest <= 15; + src <= 33; + when "100000" => + dest <= 8; + src <= 12; + when "100001" => + dest <= 27; + src <= 2; + when "100010" => + dest <= 22; + src <= 23; + when "100011" => + dest <= 32; + src <= 25; + when "100100" => + dest <= 20; + src <= 32; + when "100101" => + dest <= 14; + src <= 13; + when "100110" => + dest <= 21; + src <= 18; + when "100111" => + dest <= 20; + src <= 8; + when "101000" => + dest <= 5; + src <= 26; + when "101001" => + dest <= 34; + src <= 19; + when others => + dest <= 20; + src <= 20; + + end case; + end process; + + end generate NI_NUM20; + + NI_NUM21 : if NI_NUM = 21 generate + process(count) begin + + case count is + + when "000000" => + dest <= 26; + src <= 21; + when "000001" => + dest <= 21; + src <= 21; + when "000010" => + dest <= 6; + src <= 21; + when "000011" => + dest <= 1; + src <= 21; + when "000100" => + dest <= 14; + src <= 16; + when "000101" => + dest <= 31; + src <= 7; + when "000110" => + dest <= 21; + src <= 0; + when "000111" => + dest <= 30; + src <= 21; + when "001000" => + dest <= 5; + src <= 28; + when "001001" => + dest <= 19; + src <= 30; + when "001010" => + dest <= 21; + src <= 5; + when "001011" => + dest <= 13; + src <= 11; + when "001100" => + dest <= 12; + src <= 21; + when "001101" => + dest <= 7; + src <= 23; + when "001110" => + dest <= 27; + src <= 6; + when "001111" => + dest <= 11; + src <= 1; + when "010000" => + dest <= 4; + src <= 29; + when "010001" => + dest <= 20; + src <= 15; + when "010010" => + dest <= 25; + src <= 24; + when "010011" => + dest <= 24; + src <= 35; + when "010100" => + dest <= 2; + src <= 22; + when "010101" => + dest <= 21; + src <= 31; + when "010110" => + dest <= 34; + src <= 2; + when "010111" => + dest <= 17; + src <= 17; + when "011000" => + dest <= 10; + src <= 21; + when "011001" => + dest <= 32; + src <= 12; + when "011010" => + dest <= 8; + src <= 4; + when "011011" => + dest <= 29; + src <= 8; + when "011100" => + dest <= 3; + src <= 25; + when "011101" => + dest <= 18; + src <= 32; + when "011110" => + dest <= 21; + src <= 10; + when "011111" => + dest <= 16; + src <= 34; + when "100000" => + dest <= 9; + src <= 13; + when "100001" => + dest <= 28; + src <= 3; + when "100010" => + dest <= 23; + src <= 18; + when "100011" => + dest <= 33; + src <= 26; + when "100100" => + dest <= 21; + src <= 33; + when "100101" => + dest <= 15; + src <= 14; + when "100110" => + dest <= 22; + src <= 19; + when "100111" => + dest <= 21; + src <= 9; + when "101000" => + dest <= 0; + src <= 27; + when "101001" => + dest <= 35; + src <= 20; + when others => + dest <= 21; + src <= 21; + + end case; + end process; + + end generate NI_NUM21; + + NI_NUM22 : if NI_NUM = 22 generate + process(count) begin + + case count is + + when "000000" => + dest <= 27; + src <= 22; + when "000001" => + dest <= 22; + src <= 22; + when "000010" => + dest <= 7; + src <= 22; + when "000011" => + dest <= 2; + src <= 22; + when "000100" => + dest <= 15; + src <= 17; + when "000101" => + dest <= 32; + src <= 8; + when "000110" => + dest <= 22; + src <= 1; + when "000111" => + dest <= 31; + src <= 22; + when "001000" => + dest <= 0; + src <= 29; + when "001001" => + dest <= 20; + src <= 31; + when "001010" => + dest <= 22; + src <= 0; + when "001011" => + dest <= 14; + src <= 6; + when "001100" => + dest <= 13; + src <= 22; + when "001101" => + dest <= 8; + src <= 18; + when "001110" => + dest <= 28; + src <= 7; + when "001111" => + dest <= 6; + src <= 2; + when "010000" => + dest <= 5; + src <= 24; + when "010001" => + dest <= 21; + src <= 16; + when "010010" => + dest <= 26; + src <= 25; + when "010011" => + dest <= 25; + src <= 30; + when "010100" => + dest <= 3; + src <= 23; + when "010101" => + dest <= 22; + src <= 32; + when "010110" => + dest <= 35; + src <= 3; + when "010111" => + dest <= 12; + src <= 12; + when "011000" => + dest <= 11; + src <= 22; + when "011001" => + dest <= 33; + src <= 13; + when "011010" => + dest <= 9; + src <= 5; + when "011011" => + dest <= 24; + src <= 9; + when "011100" => + dest <= 4; + src <= 26; + when "011101" => + dest <= 19; + src <= 33; + when "011110" => + dest <= 22; + src <= 11; + when "011111" => + dest <= 17; + src <= 35; + when "100000" => + dest <= 10; + src <= 14; + when "100001" => + dest <= 29; + src <= 4; + when "100010" => + dest <= 18; + src <= 19; + when "100011" => + dest <= 34; + src <= 27; + when "100100" => + dest <= 22; + src <= 34; + when "100101" => + dest <= 16; + src <= 15; + when "100110" => + dest <= 23; + src <= 20; + when "100111" => + dest <= 22; + src <= 10; + when "101000" => + dest <= 1; + src <= 28; + when "101001" => + dest <= 30; + src <= 21; + when others => + dest <= 22; + src <= 22; + + end case; + end process; + + end generate NI_NUM22; + + NI_NUM23 : if NI_NUM = 23 generate + process(count) begin + + case count is + + when "000000" => + dest <= 28; + src <= 23; + when "000001" => + dest <= 23; + src <= 23; + when "000010" => + dest <= 8; + src <= 23; + when "000011" => + dest <= 3; + src <= 23; + when "000100" => + dest <= 16; + src <= 12; + when "000101" => + dest <= 33; + src <= 9; + when "000110" => + dest <= 23; + src <= 2; + when "000111" => + dest <= 32; + src <= 23; + when "001000" => + dest <= 1; + src <= 24; + when "001001" => + dest <= 21; + src <= 32; + when "001010" => + dest <= 23; + src <= 1; + when "001011" => + dest <= 15; + src <= 7; + when "001100" => + dest <= 14; + src <= 23; + when "001101" => + dest <= 9; + src <= 19; + when "001110" => + dest <= 29; + src <= 8; + when "001111" => + dest <= 7; + src <= 3; + when "010000" => + dest <= 0; + src <= 25; + when "010001" => + dest <= 22; + src <= 17; + when "010010" => + dest <= 27; + src <= 26; + when "010011" => + dest <= 26; + src <= 31; + when "010100" => + dest <= 4; + src <= 18; + when "010101" => + dest <= 23; + src <= 33; + when "010110" => + dest <= 30; + src <= 4; + when "010111" => + dest <= 13; + src <= 13; + when "011000" => + dest <= 6; + src <= 23; + when "011001" => + dest <= 34; + src <= 14; + when "011010" => + dest <= 10; + src <= 0; + when "011011" => + dest <= 25; + src <= 10; + when "011100" => + dest <= 5; + src <= 27; + when "011101" => + dest <= 20; + src <= 34; + when "011110" => + dest <= 23; + src <= 6; + when "011111" => + dest <= 12; + src <= 30; + when "100000" => + dest <= 11; + src <= 15; + when "100001" => + dest <= 24; + src <= 5; + when "100010" => + dest <= 19; + src <= 20; + when "100011" => + dest <= 35; + src <= 28; + when "100100" => + dest <= 23; + src <= 35; + when "100101" => + dest <= 17; + src <= 16; + when "100110" => + dest <= 18; + src <= 21; + when "100111" => + dest <= 23; + src <= 11; + when "101000" => + dest <= 2; + src <= 29; + when "101001" => + dest <= 31; + src <= 22; + when others => + dest <= 23; + src <= 23; + + end case; + end process; + + end generate NI_NUM23; + + NI_NUM24 : if NI_NUM = 24 generate + process(count) begin + + case count is + + when "000000" => + dest <= 35; + src <= 24; + when "000001" => + dest <= 24; + src <= 24; + when "000010" => + dest <= 15; + src <= 24; + when "000011" => + dest <= 10; + src <= 24; + when "000100" => + dest <= 23; + src <= 19; + when "000101" => + dest <= 4; + src <= 16; + when "000110" => + dest <= 24; + src <= 9; + when "000111" => + dest <= 3; + src <= 24; + when "001000" => + dest <= 8; + src <= 31; + when "001001" => + dest <= 28; + src <= 3; + when "001010" => + dest <= 24; + src <= 8; + when "001011" => + dest <= 22; + src <= 14; + when "001100" => + dest <= 21; + src <= 24; + when "001101" => + dest <= 16; + src <= 26; + when "001110" => + dest <= 30; + src <= 15; + when "001111" => + dest <= 14; + src <= 10; + when "010000" => + dest <= 7; + src <= 32; + when "010001" => + dest <= 29; + src <= 18; + when "010010" => + dest <= 34; + src <= 33; + when "010011" => + dest <= 33; + src <= 2; + when "010100" => + dest <= 11; + src <= 25; + when "010101" => + dest <= 24; + src <= 4; + when "010110" => + dest <= 1; + src <= 11; + when "010111" => + dest <= 20; + src <= 20; + when "011000" => + dest <= 13; + src <= 24; + when "011001" => + dest <= 5; + src <= 21; + when "011010" => + dest <= 17; + src <= 7; + when "011011" => + dest <= 32; + src <= 17; + when "011100" => + dest <= 6; + src <= 34; + when "011101" => + dest <= 27; + src <= 5; + when "011110" => + dest <= 24; + src <= 13; + when "011111" => + dest <= 19; + src <= 1; + when "100000" => + dest <= 12; + src <= 22; + when "100001" => + dest <= 31; + src <= 6; + when "100010" => + dest <= 26; + src <= 27; + when "100011" => + dest <= 0; + src <= 35; + when "100100" => + dest <= 24; + src <= 0; + when "100101" => + dest <= 18; + src <= 23; + when "100110" => + dest <= 25; + src <= 28; + when "100111" => + dest <= 24; + src <= 12; + when "101000" => + dest <= 9; + src <= 30; + when "101001" => + dest <= 2; + src <= 29; + when others => + dest <= 24; + src <= 24; + + end case; + end process; + + end generate NI_NUM24; + + NI_NUM25 : if NI_NUM = 25 generate + process(count) begin + + case count is + + when "000000" => + dest <= 30; + src <= 25; + when "000001" => + dest <= 25; + src <= 25; + when "000010" => + dest <= 16; + src <= 25; + when "000011" => + dest <= 11; + src <= 25; + when "000100" => + dest <= 18; + src <= 20; + when "000101" => + dest <= 5; + src <= 17; + when "000110" => + dest <= 25; + src <= 10; + when "000111" => + dest <= 4; + src <= 25; + when "001000" => + dest <= 9; + src <= 32; + when "001001" => + dest <= 29; + src <= 4; + when "001010" => + dest <= 25; + src <= 9; + when "001011" => + dest <= 23; + src <= 15; + when "001100" => + dest <= 22; + src <= 25; + when "001101" => + dest <= 17; + src <= 27; + when "001110" => + dest <= 31; + src <= 16; + when "001111" => + dest <= 15; + src <= 11; + when "010000" => + dest <= 8; + src <= 33; + when "010001" => + dest <= 24; + src <= 19; + when "010010" => + dest <= 35; + src <= 34; + when "010011" => + dest <= 34; + src <= 3; + when "010100" => + dest <= 6; + src <= 26; + when "010101" => + dest <= 25; + src <= 5; + when "010110" => + dest <= 2; + src <= 6; + when "010111" => + dest <= 21; + src <= 21; + when "011000" => + dest <= 14; + src <= 25; + when "011001" => + dest <= 0; + src <= 22; + when "011010" => + dest <= 12; + src <= 8; + when "011011" => + dest <= 33; + src <= 12; + when "011100" => + dest <= 7; + src <= 35; + when "011101" => + dest <= 28; + src <= 0; + when "011110" => + dest <= 25; + src <= 14; + when "011111" => + dest <= 20; + src <= 2; + when "100000" => + dest <= 13; + src <= 23; + when "100001" => + dest <= 32; + src <= 7; + when "100010" => + dest <= 27; + src <= 28; + when "100011" => + dest <= 1; + src <= 30; + when "100100" => + dest <= 25; + src <= 1; + when "100101" => + dest <= 19; + src <= 18; + when "100110" => + dest <= 26; + src <= 29; + when "100111" => + dest <= 25; + src <= 13; + when "101000" => + dest <= 10; + src <= 31; + when "101001" => + dest <= 3; + src <= 24; + when others => + dest <= 25; + src <= 25; + + end case; + end process; + + end generate NI_NUM25; + + NI_NUM26 : if NI_NUM = 26 generate + process(count) begin + + case count is + + when "000000" => + dest <= 31; + src <= 26; + when "000001" => + dest <= 26; + src <= 26; + when "000010" => + dest <= 17; + src <= 26; + when "000011" => + dest <= 6; + src <= 26; + when "000100" => + dest <= 19; + src <= 21; + when "000101" => + dest <= 0; + src <= 12; + when "000110" => + dest <= 26; + src <= 11; + when "000111" => + dest <= 5; + src <= 26; + when "001000" => + dest <= 10; + src <= 33; + when "001001" => + dest <= 24; + src <= 5; + when "001010" => + dest <= 26; + src <= 10; + when "001011" => + dest <= 18; + src <= 16; + when "001100" => + dest <= 23; + src <= 26; + when "001101" => + dest <= 12; + src <= 28; + when "001110" => + dest <= 32; + src <= 17; + when "001111" => + dest <= 16; + src <= 6; + when "010000" => + dest <= 9; + src <= 34; + when "010001" => + dest <= 25; + src <= 20; + when "010010" => + dest <= 30; + src <= 35; + when "010011" => + dest <= 35; + src <= 4; + when "010100" => + dest <= 7; + src <= 27; + when "010101" => + dest <= 26; + src <= 0; + when "010110" => + dest <= 3; + src <= 7; + when "010111" => + dest <= 22; + src <= 22; + when "011000" => + dest <= 15; + src <= 26; + when "011001" => + dest <= 1; + src <= 23; + when "011010" => + dest <= 13; + src <= 9; + when "011011" => + dest <= 34; + src <= 13; + when "011100" => + dest <= 8; + src <= 30; + when "011101" => + dest <= 29; + src <= 1; + when "011110" => + dest <= 26; + src <= 15; + when "011111" => + dest <= 21; + src <= 3; + when "100000" => + dest <= 14; + src <= 18; + when "100001" => + dest <= 33; + src <= 8; + when "100010" => + dest <= 28; + src <= 29; + when "100011" => + dest <= 2; + src <= 31; + when "100100" => + dest <= 26; + src <= 2; + when "100101" => + dest <= 20; + src <= 19; + when "100110" => + dest <= 27; + src <= 24; + when "100111" => + dest <= 26; + src <= 14; + when "101000" => + dest <= 11; + src <= 32; + when "101001" => + dest <= 4; + src <= 25; + when others => + dest <= 26; + src <= 26; + + end case; + end process; + + end generate NI_NUM26; + + NI_NUM27 : if NI_NUM = 27 generate + process(count) begin + + case count is + + when "000000" => + dest <= 32; + src <= 27; + when "000001" => + dest <= 27; + src <= 27; + when "000010" => + dest <= 12; + src <= 27; + when "000011" => + dest <= 7; + src <= 27; + when "000100" => + dest <= 20; + src <= 22; + when "000101" => + dest <= 1; + src <= 13; + when "000110" => + dest <= 27; + src <= 6; + when "000111" => + dest <= 0; + src <= 27; + when "001000" => + dest <= 11; + src <= 34; + when "001001" => + dest <= 25; + src <= 0; + when "001010" => + dest <= 27; + src <= 11; + when "001011" => + dest <= 19; + src <= 17; + when "001100" => + dest <= 18; + src <= 27; + when "001101" => + dest <= 13; + src <= 29; + when "001110" => + dest <= 33; + src <= 12; + when "001111" => + dest <= 17; + src <= 7; + when "010000" => + dest <= 10; + src <= 35; + when "010001" => + dest <= 26; + src <= 21; + when "010010" => + dest <= 31; + src <= 30; + when "010011" => + dest <= 30; + src <= 5; + when "010100" => + dest <= 8; + src <= 28; + when "010101" => + dest <= 27; + src <= 1; + when "010110" => + dest <= 4; + src <= 8; + when "010111" => + dest <= 23; + src <= 23; + when "011000" => + dest <= 16; + src <= 27; + when "011001" => + dest <= 2; + src <= 18; + when "011010" => + dest <= 14; + src <= 10; + when "011011" => + dest <= 35; + src <= 14; + when "011100" => + dest <= 9; + src <= 31; + when "011101" => + dest <= 24; + src <= 2; + when "011110" => + dest <= 27; + src <= 16; + when "011111" => + dest <= 22; + src <= 4; + when "100000" => + dest <= 15; + src <= 19; + when "100001" => + dest <= 34; + src <= 9; + when "100010" => + dest <= 29; + src <= 24; + when "100011" => + dest <= 3; + src <= 32; + when "100100" => + dest <= 27; + src <= 3; + when "100101" => + dest <= 21; + src <= 20; + when "100110" => + dest <= 28; + src <= 25; + when "100111" => + dest <= 27; + src <= 15; + when "101000" => + dest <= 6; + src <= 33; + when "101001" => + dest <= 5; + src <= 26; + when others => + dest <= 27; + src <= 27; + + end case; + end process; + + end generate NI_NUM27; + + NI_NUM28 : if NI_NUM = 28 generate + process(count) begin + + case count is + + when "000000" => + dest <= 33; + src <= 28; + when "000001" => + dest <= 28; + src <= 28; + when "000010" => + dest <= 13; + src <= 28; + when "000011" => + dest <= 8; + src <= 28; + when "000100" => + dest <= 21; + src <= 23; + when "000101" => + dest <= 2; + src <= 14; + when "000110" => + dest <= 28; + src <= 7; + when "000111" => + dest <= 1; + src <= 28; + when "001000" => + dest <= 6; + src <= 35; + when "001001" => + dest <= 26; + src <= 1; + when "001010" => + dest <= 28; + src <= 6; + when "001011" => + dest <= 20; + src <= 12; + when "001100" => + dest <= 19; + src <= 28; + when "001101" => + dest <= 14; + src <= 24; + when "001110" => + dest <= 34; + src <= 13; + when "001111" => + dest <= 12; + src <= 8; + when "010000" => + dest <= 11; + src <= 30; + when "010001" => + dest <= 27; + src <= 22; + when "010010" => + dest <= 32; + src <= 31; + when "010011" => + dest <= 31; + src <= 0; + when "010100" => + dest <= 9; + src <= 29; + when "010101" => + dest <= 28; + src <= 2; + when "010110" => + dest <= 5; + src <= 9; + when "010111" => + dest <= 18; + src <= 18; + when "011000" => + dest <= 17; + src <= 28; + when "011001" => + dest <= 3; + src <= 19; + when "011010" => + dest <= 15; + src <= 11; + when "011011" => + dest <= 30; + src <= 15; + when "011100" => + dest <= 10; + src <= 32; + when "011101" => + dest <= 25; + src <= 3; + when "011110" => + dest <= 28; + src <= 17; + when "011111" => + dest <= 23; + src <= 5; + when "100000" => + dest <= 16; + src <= 20; + when "100001" => + dest <= 35; + src <= 10; + when "100010" => + dest <= 24; + src <= 25; + when "100011" => + dest <= 4; + src <= 33; + when "100100" => + dest <= 28; + src <= 4; + when "100101" => + dest <= 22; + src <= 21; + when "100110" => + dest <= 29; + src <= 26; + when "100111" => + dest <= 28; + src <= 16; + when "101000" => + dest <= 7; + src <= 34; + when "101001" => + dest <= 0; + src <= 27; + when others => + dest <= 28; + src <= 28; + + end case; + end process; + + end generate NI_NUM28; + + NI_NUM29 : if NI_NUM = 29 generate + process(count) begin + + case count is + + when "000000" => + dest <= 34; + src <= 29; + when "000001" => + dest <= 29; + src <= 29; + when "000010" => + dest <= 14; + src <= 29; + when "000011" => + dest <= 9; + src <= 29; + when "000100" => + dest <= 22; + src <= 18; + when "000101" => + dest <= 3; + src <= 15; + when "000110" => + dest <= 29; + src <= 8; + when "000111" => + dest <= 2; + src <= 29; + when "001000" => + dest <= 7; + src <= 30; + when "001001" => + dest <= 27; + src <= 2; + when "001010" => + dest <= 29; + src <= 7; + when "001011" => + dest <= 21; + src <= 13; + when "001100" => + dest <= 20; + src <= 29; + when "001101" => + dest <= 15; + src <= 25; + when "001110" => + dest <= 35; + src <= 14; + when "001111" => + dest <= 13; + src <= 9; + when "010000" => + dest <= 6; + src <= 31; + when "010001" => + dest <= 28; + src <= 23; + when "010010" => + dest <= 33; + src <= 32; + when "010011" => + dest <= 32; + src <= 1; + when "010100" => + dest <= 10; + src <= 24; + when "010101" => + dest <= 29; + src <= 3; + when "010110" => + dest <= 0; + src <= 10; + when "010111" => + dest <= 19; + src <= 19; + when "011000" => + dest <= 12; + src <= 29; + when "011001" => + dest <= 4; + src <= 20; + when "011010" => + dest <= 16; + src <= 6; + when "011011" => + dest <= 31; + src <= 16; + when "011100" => + dest <= 11; + src <= 33; + when "011101" => + dest <= 26; + src <= 4; + when "011110" => + dest <= 29; + src <= 12; + when "011111" => + dest <= 18; + src <= 0; + when "100000" => + dest <= 17; + src <= 21; + when "100001" => + dest <= 30; + src <= 11; + when "100010" => + dest <= 25; + src <= 26; + when "100011" => + dest <= 5; + src <= 34; + when "100100" => + dest <= 29; + src <= 5; + when "100101" => + dest <= 23; + src <= 22; + when "100110" => + dest <= 24; + src <= 27; + when "100111" => + dest <= 29; + src <= 17; + when "101000" => + dest <= 8; + src <= 35; + when "101001" => + dest <= 1; + src <= 28; + when others => + dest <= 29; + src <= 29; + + end case; + end process; + + end generate NI_NUM29; + + NI_NUM30 : if NI_NUM = 30 generate + process(count) begin + + case count is + + when "000000" => + dest <= 5; + src <= 30; + when "000001" => + dest <= 30; + src <= 30; + when "000010" => + dest <= 21; + src <= 30; + when "000011" => + dest <= 16; + src <= 30; + when "000100" => + dest <= 29; + src <= 25; + when "000101" => + dest <= 10; + src <= 22; + when "000110" => + dest <= 30; + src <= 15; + when "000111" => + dest <= 9; + src <= 30; + when "001000" => + dest <= 14; + src <= 1; + when "001001" => + dest <= 34; + src <= 9; + when "001010" => + dest <= 30; + src <= 14; + when "001011" => + dest <= 28; + src <= 20; + when "001100" => + dest <= 27; + src <= 30; + when "001101" => + dest <= 22; + src <= 32; + when "001110" => + dest <= 0; + src <= 21; + when "001111" => + dest <= 20; + src <= 16; + when "010000" => + dest <= 13; + src <= 2; + when "010001" => + dest <= 35; + src <= 24; + when "010010" => + dest <= 4; + src <= 3; + when "010011" => + dest <= 3; + src <= 8; + when "010100" => + dest <= 17; + src <= 31; + when "010101" => + dest <= 30; + src <= 10; + when "010110" => + dest <= 7; + src <= 17; + when "010111" => + dest <= 26; + src <= 26; + when "011000" => + dest <= 19; + src <= 30; + when "011001" => + dest <= 11; + src <= 27; + when "011010" => + dest <= 23; + src <= 13; + when "011011" => + dest <= 2; + src <= 23; + when "011100" => + dest <= 12; + src <= 4; + when "011101" => + dest <= 33; + src <= 11; + when "011110" => + dest <= 30; + src <= 19; + when "011111" => + dest <= 25; + src <= 7; + when "100000" => + dest <= 18; + src <= 28; + when "100001" => + dest <= 1; + src <= 12; + when "100010" => + dest <= 32; + src <= 33; + when "100011" => + dest <= 6; + src <= 5; + when "100100" => + dest <= 30; + src <= 6; + when "100101" => + dest <= 24; + src <= 29; + when "100110" => + dest <= 31; + src <= 34; + when "100111" => + dest <= 30; + src <= 18; + when "101000" => + dest <= 15; + src <= 0; + when "101001" => + dest <= 8; + src <= 35; + when others => + dest <= 30; + src <= 30; + + end case; + end process; + + end generate NI_NUM30; + + NI_NUM31 : if NI_NUM = 31 generate + process(count) begin + + case count is + + when "000000" => + dest <= 0; + src <= 31; + when "000001" => + dest <= 31; + src <= 31; + when "000010" => + dest <= 22; + src <= 31; + when "000011" => + dest <= 17; + src <= 31; + when "000100" => + dest <= 24; + src <= 26; + when "000101" => + dest <= 11; + src <= 23; + when "000110" => + dest <= 31; + src <= 16; + when "000111" => + dest <= 10; + src <= 31; + when "001000" => + dest <= 15; + src <= 2; + when "001001" => + dest <= 35; + src <= 10; + when "001010" => + dest <= 31; + src <= 15; + when "001011" => + dest <= 29; + src <= 21; + when "001100" => + dest <= 28; + src <= 31; + when "001101" => + dest <= 23; + src <= 33; + when "001110" => + dest <= 1; + src <= 22; + when "001111" => + dest <= 21; + src <= 17; + when "010000" => + dest <= 14; + src <= 3; + when "010001" => + dest <= 30; + src <= 25; + when "010010" => + dest <= 5; + src <= 4; + when "010011" => + dest <= 4; + src <= 9; + when "010100" => + dest <= 12; + src <= 32; + when "010101" => + dest <= 31; + src <= 11; + when "010110" => + dest <= 8; + src <= 12; + when "010111" => + dest <= 27; + src <= 27; + when "011000" => + dest <= 20; + src <= 31; + when "011001" => + dest <= 6; + src <= 28; + when "011010" => + dest <= 18; + src <= 14; + when "011011" => + dest <= 3; + src <= 18; + when "011100" => + dest <= 13; + src <= 5; + when "011101" => + dest <= 34; + src <= 6; + when "011110" => + dest <= 31; + src <= 20; + when "011111" => + dest <= 26; + src <= 8; + when "100000" => + dest <= 19; + src <= 29; + when "100001" => + dest <= 2; + src <= 13; + when "100010" => + dest <= 33; + src <= 34; + when "100011" => + dest <= 7; + src <= 0; + when "100100" => + dest <= 31; + src <= 7; + when "100101" => + dest <= 25; + src <= 24; + when "100110" => + dest <= 32; + src <= 35; + when "100111" => + dest <= 31; + src <= 19; + when "101000" => + dest <= 16; + src <= 1; + when "101001" => + dest <= 9; + src <= 30; + when others => + dest <= 31; + src <= 31; + + end case; + end process; + + end generate NI_NUM31; + + NI_NUM32 : if NI_NUM = 32 generate + process(count) begin + + case count is + + when "000000" => + dest <= 1; + src <= 32; + when "000001" => + dest <= 32; + src <= 32; + when "000010" => + dest <= 23; + src <= 32; + when "000011" => + dest <= 12; + src <= 32; + when "000100" => + dest <= 25; + src <= 27; + when "000101" => + dest <= 6; + src <= 18; + when "000110" => + dest <= 32; + src <= 17; + when "000111" => + dest <= 11; + src <= 32; + when "001000" => + dest <= 16; + src <= 3; + when "001001" => + dest <= 30; + src <= 11; + when "001010" => + dest <= 32; + src <= 16; + when "001011" => + dest <= 24; + src <= 22; + when "001100" => + dest <= 29; + src <= 32; + when "001101" => + dest <= 18; + src <= 34; + when "001110" => + dest <= 2; + src <= 23; + when "001111" => + dest <= 22; + src <= 12; + when "010000" => + dest <= 15; + src <= 4; + when "010001" => + dest <= 31; + src <= 26; + when "010010" => + dest <= 0; + src <= 5; + when "010011" => + dest <= 5; + src <= 10; + when "010100" => + dest <= 13; + src <= 33; + when "010101" => + dest <= 32; + src <= 6; + when "010110" => + dest <= 9; + src <= 13; + when "010111" => + dest <= 28; + src <= 28; + when "011000" => + dest <= 21; + src <= 32; + when "011001" => + dest <= 7; + src <= 29; + when "011010" => + dest <= 19; + src <= 15; + when "011011" => + dest <= 4; + src <= 19; + when "011100" => + dest <= 14; + src <= 0; + when "011101" => + dest <= 35; + src <= 7; + when "011110" => + dest <= 32; + src <= 21; + when "011111" => + dest <= 27; + src <= 9; + when "100000" => + dest <= 20; + src <= 24; + when "100001" => + dest <= 3; + src <= 14; + when "100010" => + dest <= 34; + src <= 35; + when "100011" => + dest <= 8; + src <= 1; + when "100100" => + dest <= 32; + src <= 8; + when "100101" => + dest <= 26; + src <= 25; + when "100110" => + dest <= 33; + src <= 30; + when "100111" => + dest <= 32; + src <= 20; + when "101000" => + dest <= 17; + src <= 2; + when "101001" => + dest <= 10; + src <= 31; + when others => + dest <= 32; + src <= 32; + + end case; + end process; + + end generate NI_NUM32; + + NI_NUM33 : if NI_NUM = 33 generate + process(count) begin + + case count is + + when "000000" => + dest <= 2; + src <= 33; + when "000001" => + dest <= 33; + src <= 33; + when "000010" => + dest <= 18; + src <= 33; + when "000011" => + dest <= 13; + src <= 33; + when "000100" => + dest <= 26; + src <= 28; + when "000101" => + dest <= 7; + src <= 19; + when "000110" => + dest <= 33; + src <= 12; + when "000111" => + dest <= 6; + src <= 33; + when "001000" => + dest <= 17; + src <= 4; + when "001001" => + dest <= 31; + src <= 6; + when "001010" => + dest <= 33; + src <= 17; + when "001011" => + dest <= 25; + src <= 23; + when "001100" => + dest <= 24; + src <= 33; + when "001101" => + dest <= 19; + src <= 35; + when "001110" => + dest <= 3; + src <= 18; + when "001111" => + dest <= 23; + src <= 13; + when "010000" => + dest <= 16; + src <= 5; + when "010001" => + dest <= 32; + src <= 27; + when "010010" => + dest <= 1; + src <= 0; + when "010011" => + dest <= 0; + src <= 11; + when "010100" => + dest <= 14; + src <= 34; + when "010101" => + dest <= 33; + src <= 7; + when "010110" => + dest <= 10; + src <= 14; + when "010111" => + dest <= 29; + src <= 29; + when "011000" => + dest <= 22; + src <= 33; + when "011001" => + dest <= 8; + src <= 24; + when "011010" => + dest <= 20; + src <= 16; + when "011011" => + dest <= 5; + src <= 20; + when "011100" => + dest <= 15; + src <= 1; + when "011101" => + dest <= 30; + src <= 8; + when "011110" => + dest <= 33; + src <= 22; + when "011111" => + dest <= 28; + src <= 10; + when "100000" => + dest <= 21; + src <= 25; + when "100001" => + dest <= 4; + src <= 15; + when "100010" => + dest <= 35; + src <= 30; + when "100011" => + dest <= 9; + src <= 2; + when "100100" => + dest <= 33; + src <= 9; + when "100101" => + dest <= 27; + src <= 26; + when "100110" => + dest <= 34; + src <= 31; + when "100111" => + dest <= 33; + src <= 21; + when "101000" => + dest <= 12; + src <= 3; + when "101001" => + dest <= 11; + src <= 32; + when others => + dest <= 33; + src <= 33; + + end case; + end process; + + end generate NI_NUM33; + + NI_NUM34 : if NI_NUM = 34 generate + process(count) begin + + case count is + + when "000000" => + dest <= 3; + src <= 34; + when "000001" => + dest <= 34; + src <= 34; + when "000010" => + dest <= 19; + src <= 34; + when "000011" => + dest <= 14; + src <= 34; + when "000100" => + dest <= 27; + src <= 29; + when "000101" => + dest <= 8; + src <= 20; + when "000110" => + dest <= 34; + src <= 13; + when "000111" => + dest <= 7; + src <= 34; + when "001000" => + dest <= 12; + src <= 5; + when "001001" => + dest <= 32; + src <= 7; + when "001010" => + dest <= 34; + src <= 12; + when "001011" => + dest <= 26; + src <= 18; + when "001100" => + dest <= 25; + src <= 34; + when "001101" => + dest <= 20; + src <= 30; + when "001110" => + dest <= 4; + src <= 19; + when "001111" => + dest <= 18; + src <= 14; + when "010000" => + dest <= 17; + src <= 0; + when "010001" => + dest <= 33; + src <= 28; + when "010010" => + dest <= 2; + src <= 1; + when "010011" => + dest <= 1; + src <= 6; + when "010100" => + dest <= 15; + src <= 35; + when "010101" => + dest <= 34; + src <= 8; + when "010110" => + dest <= 11; + src <= 15; + when "010111" => + dest <= 24; + src <= 24; + when "011000" => + dest <= 23; + src <= 34; + when "011001" => + dest <= 9; + src <= 25; + when "011010" => + dest <= 21; + src <= 17; + when "011011" => + dest <= 0; + src <= 21; + when "011100" => + dest <= 16; + src <= 2; + when "011101" => + dest <= 31; + src <= 9; + when "011110" => + dest <= 34; + src <= 23; + when "011111" => + dest <= 29; + src <= 11; + when "100000" => + dest <= 22; + src <= 26; + when "100001" => + dest <= 5; + src <= 16; + when "100010" => + dest <= 30; + src <= 31; + when "100011" => + dest <= 10; + src <= 3; + when "100100" => + dest <= 34; + src <= 10; + when "100101" => + dest <= 28; + src <= 27; + when "100110" => + dest <= 35; + src <= 32; + when "100111" => + dest <= 34; + src <= 22; + when "101000" => + dest <= 13; + src <= 4; + when "101001" => + dest <= 6; + src <= 33; + when others => + dest <= 34; + src <= 34; + + end case; + end process; + + end generate NI_NUM34; + + NI_NUM35 : if NI_NUM = 35 generate + process(count) begin + + case count is + + when "000000" => + dest <= 4; + src <= 35; + when "000001" => + dest <= 35; + src <= 35; + when "000010" => + dest <= 20; + src <= 35; + when "000011" => + dest <= 15; + src <= 35; + when "000100" => + dest <= 28; + src <= 24; + when "000101" => + dest <= 9; + src <= 21; + when "000110" => + dest <= 35; + src <= 14; + when "000111" => + dest <= 8; + src <= 35; + when "001000" => + dest <= 13; + src <= 0; + when "001001" => + dest <= 33; + src <= 8; + when "001010" => + dest <= 35; + src <= 13; + when "001011" => + dest <= 27; + src <= 19; + when "001100" => + dest <= 26; + src <= 35; + when "001101" => + dest <= 21; + src <= 31; + when "001110" => + dest <= 5; + src <= 20; + when "001111" => + dest <= 19; + src <= 15; + when "010000" => + dest <= 12; + src <= 1; + when "010001" => + dest <= 34; + src <= 29; + when "010010" => + dest <= 3; + src <= 2; + when "010011" => + dest <= 2; + src <= 7; + when "010100" => + dest <= 16; + src <= 30; + when "010101" => + dest <= 35; + src <= 9; + when "010110" => + dest <= 6; + src <= 16; + when "010111" => + dest <= 25; + src <= 25; + when "011000" => + dest <= 18; + src <= 35; + when "011001" => + dest <= 10; + src <= 26; + when "011010" => + dest <= 22; + src <= 12; + when "011011" => + dest <= 1; + src <= 22; + when "011100" => + dest <= 17; + src <= 3; + when "011101" => + dest <= 32; + src <= 10; + when "011110" => + dest <= 35; + src <= 18; + when "011111" => + dest <= 24; + src <= 6; + when "100000" => + dest <= 23; + src <= 27; + when "100001" => + dest <= 0; + src <= 17; + when "100010" => + dest <= 31; + src <= 32; + when "100011" => + dest <= 11; + src <= 4; + when "100100" => + dest <= 35; + src <= 11; + when "100101" => + dest <= 29; + src <= 28; + when "100110" => + dest <= 30; + src <= 33; + when "100111" => + dest <= 35; + src <= 23; + when "101000" => + dest <= 14; + src <= 5; + when "101001" => + dest <= 7; + src <= 34; + when others => + dest <= 35; + src <= 35; + + end case; + end process; + + end generate NI_NUM35; + +end data; diff --git a/noc/vhdl/generated/bt6x6/router_ST.vhd b/noc/vhdl/generated/bt6x6/router_ST.vhd deleted file mode 100644 index 3d2c726..0000000 --- a/noc/vhdl/generated/bt6x6/router_ST.vhd +++ /dev/null @@ -1,283 +0,0 @@ -------------------------------------------------------------- --- router_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity router_ST is - port ( - count : in unsigned(5 downto 0); - sels : out select_signals - ); -end router_ST; - -architecture data of router_ST is -begin -- data - -process(count) begin - - case count is - - when "000000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "000001" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "000010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "000011" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 0; - when "000100" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "000101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "000110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "000111" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 3; - sels(4) <= 0; - when "001000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "001001" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 3; - when "001010" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 2; - when "001011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "001100" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "001101" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "001110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "001111" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "010000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 1; - when "010001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "010010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "010011" => - sels(0) <= 2; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "010100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 1; - when "010101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 3; - when "010110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 2; - when "010111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "011000" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "011001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "011010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "011011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "011100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "011101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 3; - when "011110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 1; - when "011111" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "100000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "100001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "100010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "100011" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "100100" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "100101" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "100110" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "100111" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "101000" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "101001" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when others => sels <= (others => 0); - - end case; -end process; - -end data; diff --git a/noc/vhdl/generated/bt6x6/router_ST_36.vhd b/noc/vhdl/generated/bt6x6/router_ST_36.vhd new file mode 100644 index 0000000..83a1903 --- /dev/null +++ b/noc/vhdl/generated/bt6x6/router_ST_36.vhd @@ -0,0 +1,285 @@ +------------------------------------------------------------- +-- router_ST_36.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity router_ST_36 is + generic ( + NI_NUM : natural + ); + port ( + count : in unsigned(5 downto 0); + sels : out select_signals + ); +end router_ST_36; + +architecture data of router_ST_36 is +begin -- data + +process(count) begin + + case count is + + when "000000" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "000001" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "000010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= D; + when "000011" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= W; + sels(W) <= N; + sels(L) <= D; + when "000100" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "000101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= L; + sels(L) <= N; + when "000110" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "000111" => + sels(N) <= E; + sels(E) <= W; + sels(S) <= L; + sels(W) <= S; + sels(L) <= D; + when "001000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "001001" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= N; + sels(L) <= W; + when "001010" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= S; + when "001011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= L; + sels(L) <= E; + when "001100" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "001101" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "001110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= N; + when "001111" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "010000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= E; + when "010001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= N; + when "010010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "010011" => + sels(N) <= W; + sels(E) <= S; + sels(S) <= D; + sels(W) <= L; + sels(L) <= E; + when "010100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= E; + when "010101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= N; + sels(L) <= W; + when "010110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= S; + when "010111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= E; + when "011000" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= D; + sels(L) <= D; + when "011001" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= W; + sels(W) <= S; + sels(L) <= N; + when "011010" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "011011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= N; + when "011100" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= W; + when "011101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= N; + sels(L) <= W; + when "011110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= E; + when "011111" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= D; + sels(L) <= E; + when "100000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "100001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= S; + when "100010" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "100011" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "100100" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= W; + sels(W) <= D; + sels(L) <= S; + when "100101" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= N; + when "100110" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= N; + sels(W) <= D; + sels(L) <= W; + when "100111" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "101000" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= S; + when "101001" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when others => sels <= (others => D); + + end case; +end process; + +end data; diff --git a/noc/vhdl/generated/bt7x7/ni_ST.vhd b/noc/vhdl/generated/bt7x7/ni_ST_49.vhd similarity index 94% rename from noc/vhdl/generated/bt7x7/ni_ST.vhd rename to noc/vhdl/generated/bt7x7/ni_ST_49.vhd index 3a9d297..c4a813f 100644 --- a/noc/vhdl/generated/bt7x7/ni_ST.vhd +++ b/noc/vhdl/generated/bt7x7/ni_ST_49.vhd @@ -1,9237 +1,9236 @@ -------------------------------------------------------------- --- ni_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity ni_ST is - generic ( - NI_NUM : natural); - port ( - count : in unsigned(5 downto 0); - dest : out integer range 0 to 49; - src : out integer range 0 to 49 - ); -end ni_ST; - -architecture data of ni_ST is -begin -- data - - NI_NUM0 : if NI_NUM = 0 generate - process(count) begin - - case count is - - when "000000" => - dest <= 5; - src <= 0; - when "000001" => - dest <= 0; - src <= 0; - when "000010" => - dest <= 32; - src <= 0; - when "000011" => - dest <= 21; - src <= 0; - when "000100" => - dest <= 24; - src <= 2; - when "000101" => - dest <= 0; - src <= 0; - when "000110" => - dest <= 38; - src <= 25; - when "000111" => - dest <= 0; - src <= 31; - when "001000" => - dest <= 30; - src <= 28; - when "001001" => - dest <= 4; - src <= 0; - when "001010" => - dest <= 18; - src <= 24; - when "001011" => - dest <= 28; - src <= 0; - when "001100" => - dest <= 26; - src <= 32; - when "001101" => - dest <= 23; - src <= 18; - when "001110" => - dest <= 43; - src <= 3; - when "001111" => - dest <= 39; - src <= 26; - when "010000" => - dest <= 17; - src <= 21; - when "010001" => - dest <= 33; - src <= 38; - when "010010" => - dest <= 7; - src <= 13; - when "010011" => - dest <= 16; - src <= 30; - when "010100" => - dest <= 45; - src <= 33; - when "010101" => - dest <= 46; - src <= 42; - when "010110" => - dest <= 37; - src <= 17; - when "010111" => - dest <= 0; - src <= 39; - when "011000" => - dest <= 11; - src <= 23; - when "011001" => - dest <= 29; - src <= 40; - when "011010" => - dest <= 19; - src <= 11; - when "011011" => - dest <= 22; - src <= 10; - when "011100" => - dest <= 34; - src <= 19; - when "011101" => - dest <= 10; - src <= 0; - when "011110" => - dest <= 0; - src <= 45; - when "011111" => - dest <= 40; - src <= 27; - when "100000" => - dest <= 2; - src <= 37; - when "100001" => - dest <= 27; - src <= 34; - when "100010" => - dest <= 48; - src <= 22; - when "100011" => - dest <= 44; - src <= 46; - when "100100" => - dest <= 47; - src <= 5; - when "100101" => - dest <= 36; - src <= 16; - when "100110" => - dest <= 12; - src <= 8; - when "100111" => - dest <= 41; - src <= 29; - when "101000" => - dest <= 15; - src <= 12; - when "101001" => - dest <= 9; - src <= 9; - when "101010" => - dest <= 0; - src <= 20; - when "101011" => - dest <= 3; - src <= 44; - when "101100" => - dest <= 20; - src <= 15; - when "101101" => - dest <= 0; - src <= 41; - when "101110" => - dest <= 35; - src <= 47; - when "101111" => - dest <= 8; - src <= 0; - when "110000" => - dest <= 0; - src <= 4; - when "110001" => - dest <= 13; - src <= 36; - when "110010" => - dest <= 14; - src <= 14; - when "110011" => - dest <= 0; - src <= 48; - when "110100" => - dest <= 42; - src <= 0; - when "110101" => - dest <= 1; - src <= 43; - when "110110" => - dest <= 6; - src <= 35; - when "110111" => - dest <= 0; - src <= 7; - when "111000" => - dest <= 31; - src <= 6; - when "111001" => - dest <= 25; - src <= 1; - when others => - dest <= 0; - src <= 0; - - end case; - end process; - - end generate NI_NUM0; - - NI_NUM1 : if NI_NUM = 1 generate - process(count) begin - - case count is - - when "000000" => - dest <= 6; - src <= 1; - when "000001" => - dest <= 1; - src <= 1; - when "000010" => - dest <= 33; - src <= 1; - when "000011" => - dest <= 22; - src <= 1; - when "000100" => - dest <= 25; - src <= 3; - when "000101" => - dest <= 1; - src <= 1; - when "000110" => - dest <= 39; - src <= 26; - when "000111" => - dest <= 1; - src <= 32; - when "001000" => - dest <= 31; - src <= 29; - when "001001" => - dest <= 5; - src <= 1; - when "001010" => - dest <= 19; - src <= 25; - when "001011" => - dest <= 29; - src <= 1; - when "001100" => - dest <= 27; - src <= 33; - when "001101" => - dest <= 24; - src <= 19; - when "001110" => - dest <= 44; - src <= 4; - when "001111" => - dest <= 40; - src <= 27; - when "010000" => - dest <= 18; - src <= 22; - when "010001" => - dest <= 34; - src <= 39; - when "010010" => - dest <= 8; - src <= 7; - when "010011" => - dest <= 17; - src <= 31; - when "010100" => - dest <= 46; - src <= 34; - when "010101" => - dest <= 47; - src <= 43; - when "010110" => - dest <= 38; - src <= 18; - when "010111" => - dest <= 1; - src <= 40; - when "011000" => - dest <= 12; - src <= 24; - when "011001" => - dest <= 30; - src <= 41; - when "011010" => - dest <= 20; - src <= 12; - when "011011" => - dest <= 23; - src <= 11; - when "011100" => - dest <= 28; - src <= 20; - when "011101" => - dest <= 11; - src <= 1; - when "011110" => - dest <= 1; - src <= 46; - when "011111" => - dest <= 41; - src <= 21; - when "100000" => - dest <= 3; - src <= 38; - when "100001" => - dest <= 21; - src <= 28; - when "100010" => - dest <= 42; - src <= 23; - when "100011" => - dest <= 45; - src <= 47; - when "100100" => - dest <= 48; - src <= 6; - when "100101" => - dest <= 37; - src <= 17; - when "100110" => - dest <= 13; - src <= 9; - when "100111" => - dest <= 35; - src <= 30; - when "101000" => - dest <= 16; - src <= 13; - when "101001" => - dest <= 10; - src <= 10; - when "101010" => - dest <= 1; - src <= 14; - when "101011" => - dest <= 4; - src <= 45; - when "101100" => - dest <= 14; - src <= 16; - when "101101" => - dest <= 1; - src <= 35; - when "101110" => - dest <= 36; - src <= 48; - when "101111" => - dest <= 9; - src <= 1; - when "110000" => - dest <= 1; - src <= 5; - when "110001" => - dest <= 7; - src <= 37; - when "110010" => - dest <= 15; - src <= 15; - when "110011" => - dest <= 1; - src <= 42; - when "110100" => - dest <= 43; - src <= 1; - when "110101" => - dest <= 2; - src <= 44; - when "110110" => - dest <= 0; - src <= 36; - when "110111" => - dest <= 1; - src <= 8; - when "111000" => - dest <= 32; - src <= 0; - when "111001" => - dest <= 26; - src <= 2; - when others => - dest <= 1; - src <= 1; - - end case; - end process; - - end generate NI_NUM1; - - NI_NUM2 : if NI_NUM = 2 generate - process(count) begin - - case count is - - when "000000" => - dest <= 0; - src <= 2; - when "000001" => - dest <= 2; - src <= 2; - when "000010" => - dest <= 34; - src <= 2; - when "000011" => - dest <= 23; - src <= 2; - when "000100" => - dest <= 26; - src <= 4; - when "000101" => - dest <= 2; - src <= 2; - when "000110" => - dest <= 40; - src <= 27; - when "000111" => - dest <= 2; - src <= 33; - when "001000" => - dest <= 32; - src <= 30; - when "001001" => - dest <= 6; - src <= 2; - when "001010" => - dest <= 20; - src <= 26; - when "001011" => - dest <= 30; - src <= 2; - when "001100" => - dest <= 21; - src <= 34; - when "001101" => - dest <= 25; - src <= 20; - when "001110" => - dest <= 45; - src <= 5; - when "001111" => - dest <= 41; - src <= 21; - when "010000" => - dest <= 19; - src <= 23; - when "010001" => - dest <= 28; - src <= 40; - when "010010" => - dest <= 9; - src <= 8; - when "010011" => - dest <= 18; - src <= 32; - when "010100" => - dest <= 47; - src <= 28; - when "010101" => - dest <= 48; - src <= 44; - when "010110" => - dest <= 39; - src <= 19; - when "010111" => - dest <= 2; - src <= 41; - when "011000" => - dest <= 13; - src <= 25; - when "011001" => - dest <= 31; - src <= 35; - when "011010" => - dest <= 14; - src <= 13; - when "011011" => - dest <= 24; - src <= 12; - when "011100" => - dest <= 29; - src <= 14; - when "011101" => - dest <= 12; - src <= 2; - when "011110" => - dest <= 2; - src <= 47; - when "011111" => - dest <= 35; - src <= 22; - when "100000" => - dest <= 4; - src <= 39; - when "100001" => - dest <= 22; - src <= 29; - when "100010" => - dest <= 43; - src <= 24; - when "100011" => - dest <= 46; - src <= 48; - when "100100" => - dest <= 42; - src <= 0; - when "100101" => - dest <= 38; - src <= 18; - when "100110" => - dest <= 7; - src <= 10; - when "100111" => - dest <= 36; - src <= 31; - when "101000" => - dest <= 17; - src <= 7; - when "101001" => - dest <= 11; - src <= 11; - when "101010" => - dest <= 2; - src <= 15; - when "101011" => - dest <= 5; - src <= 46; - when "101100" => - dest <= 15; - src <= 17; - when "101101" => - dest <= 2; - src <= 36; - when "101110" => - dest <= 37; - src <= 42; - when "101111" => - dest <= 10; - src <= 2; - when "110000" => - dest <= 2; - src <= 6; - when "110001" => - dest <= 8; - src <= 38; - when "110010" => - dest <= 16; - src <= 16; - when "110011" => - dest <= 2; - src <= 43; - when "110100" => - dest <= 44; - src <= 2; - when "110101" => - dest <= 3; - src <= 45; - when "110110" => - dest <= 1; - src <= 37; - when "110111" => - dest <= 2; - src <= 9; - when "111000" => - dest <= 33; - src <= 1; - when "111001" => - dest <= 27; - src <= 3; - when others => - dest <= 2; - src <= 2; - - end case; - end process; - - end generate NI_NUM2; - - NI_NUM3 : if NI_NUM = 3 generate - process(count) begin - - case count is - - when "000000" => - dest <= 1; - src <= 3; - when "000001" => - dest <= 3; - src <= 3; - when "000010" => - dest <= 28; - src <= 3; - when "000011" => - dest <= 24; - src <= 3; - when "000100" => - dest <= 27; - src <= 5; - when "000101" => - dest <= 3; - src <= 3; - when "000110" => - dest <= 41; - src <= 21; - when "000111" => - dest <= 3; - src <= 34; - when "001000" => - dest <= 33; - src <= 31; - when "001001" => - dest <= 0; - src <= 3; - when "001010" => - dest <= 14; - src <= 27; - when "001011" => - dest <= 31; - src <= 3; - when "001100" => - dest <= 22; - src <= 28; - when "001101" => - dest <= 26; - src <= 14; - when "001110" => - dest <= 46; - src <= 6; - when "001111" => - dest <= 35; - src <= 22; - when "010000" => - dest <= 20; - src <= 24; - when "010001" => - dest <= 29; - src <= 41; - when "010010" => - dest <= 10; - src <= 9; - when "010011" => - dest <= 19; - src <= 33; - when "010100" => - dest <= 48; - src <= 29; - when "010101" => - dest <= 42; - src <= 45; - when "010110" => - dest <= 40; - src <= 20; - when "010111" => - dest <= 3; - src <= 35; - when "011000" => - dest <= 7; - src <= 26; - when "011001" => - dest <= 32; - src <= 36; - when "011010" => - dest <= 15; - src <= 7; - when "011011" => - dest <= 25; - src <= 13; - when "011100" => - dest <= 30; - src <= 15; - when "011101" => - dest <= 13; - src <= 3; - when "011110" => - dest <= 3; - src <= 48; - when "011111" => - dest <= 36; - src <= 23; - when "100000" => - dest <= 5; - src <= 40; - when "100001" => - dest <= 23; - src <= 30; - when "100010" => - dest <= 44; - src <= 25; - when "100011" => - dest <= 47; - src <= 42; - when "100100" => - dest <= 43; - src <= 1; - when "100101" => - dest <= 39; - src <= 19; - when "100110" => - dest <= 8; - src <= 11; - when "100111" => - dest <= 37; - src <= 32; - when "101000" => - dest <= 18; - src <= 8; - when "101001" => - dest <= 12; - src <= 12; - when "101010" => - dest <= 3; - src <= 16; - when "101011" => - dest <= 6; - src <= 47; - when "101100" => - dest <= 16; - src <= 18; - when "101101" => - dest <= 3; - src <= 37; - when "101110" => - dest <= 38; - src <= 43; - when "101111" => - dest <= 11; - src <= 3; - when "110000" => - dest <= 3; - src <= 0; - when "110001" => - dest <= 9; - src <= 39; - when "110010" => - dest <= 17; - src <= 17; - when "110011" => - dest <= 3; - src <= 44; - when "110100" => - dest <= 45; - src <= 3; - when "110101" => - dest <= 4; - src <= 46; - when "110110" => - dest <= 2; - src <= 38; - when "110111" => - dest <= 3; - src <= 10; - when "111000" => - dest <= 34; - src <= 2; - when "111001" => - dest <= 21; - src <= 4; - when others => - dest <= 3; - src <= 3; - - end case; - end process; - - end generate NI_NUM3; - - NI_NUM4 : if NI_NUM = 4 generate - process(count) begin - - case count is - - when "000000" => - dest <= 2; - src <= 4; - when "000001" => - dest <= 4; - src <= 4; - when "000010" => - dest <= 29; - src <= 4; - when "000011" => - dest <= 25; - src <= 4; - when "000100" => - dest <= 21; - src <= 6; - when "000101" => - dest <= 4; - src <= 4; - when "000110" => - dest <= 35; - src <= 22; - when "000111" => - dest <= 4; - src <= 28; - when "001000" => - dest <= 34; - src <= 32; - when "001001" => - dest <= 1; - src <= 4; - when "001010" => - dest <= 15; - src <= 21; - when "001011" => - dest <= 32; - src <= 4; - when "001100" => - dest <= 23; - src <= 29; - when "001101" => - dest <= 27; - src <= 15; - when "001110" => - dest <= 47; - src <= 0; - when "001111" => - dest <= 36; - src <= 23; - when "010000" => - dest <= 14; - src <= 25; - when "010001" => - dest <= 30; - src <= 35; - when "010010" => - dest <= 11; - src <= 10; - when "010011" => - dest <= 20; - src <= 34; - when "010100" => - dest <= 42; - src <= 30; - when "010101" => - dest <= 43; - src <= 46; - when "010110" => - dest <= 41; - src <= 14; - when "010111" => - dest <= 4; - src <= 36; - when "011000" => - dest <= 8; - src <= 27; - when "011001" => - dest <= 33; - src <= 37; - when "011010" => - dest <= 16; - src <= 8; - when "011011" => - dest <= 26; - src <= 7; - when "011100" => - dest <= 31; - src <= 16; - when "011101" => - dest <= 7; - src <= 4; - when "011110" => - dest <= 4; - src <= 42; - when "011111" => - dest <= 37; - src <= 24; - when "100000" => - dest <= 6; - src <= 41; - when "100001" => - dest <= 24; - src <= 31; - when "100010" => - dest <= 45; - src <= 26; - when "100011" => - dest <= 48; - src <= 43; - when "100100" => - dest <= 44; - src <= 2; - when "100101" => - dest <= 40; - src <= 20; - when "100110" => - dest <= 9; - src <= 12; - when "100111" => - dest <= 38; - src <= 33; - when "101000" => - dest <= 19; - src <= 9; - when "101001" => - dest <= 13; - src <= 13; - when "101010" => - dest <= 4; - src <= 17; - when "101011" => - dest <= 0; - src <= 48; - when "101100" => - dest <= 17; - src <= 19; - when "101101" => - dest <= 4; - src <= 38; - when "101110" => - dest <= 39; - src <= 44; - when "101111" => - dest <= 12; - src <= 4; - when "110000" => - dest <= 4; - src <= 1; - when "110001" => - dest <= 10; - src <= 40; - when "110010" => - dest <= 18; - src <= 18; - when "110011" => - dest <= 4; - src <= 45; - when "110100" => - dest <= 46; - src <= 4; - when "110101" => - dest <= 5; - src <= 47; - when "110110" => - dest <= 3; - src <= 39; - when "110111" => - dest <= 4; - src <= 11; - when "111000" => - dest <= 28; - src <= 3; - when "111001" => - dest <= 22; - src <= 5; - when others => - dest <= 4; - src <= 4; - - end case; - end process; - - end generate NI_NUM4; - - NI_NUM5 : if NI_NUM = 5 generate - process(count) begin - - case count is - - when "000000" => - dest <= 3; - src <= 5; - when "000001" => - dest <= 5; - src <= 5; - when "000010" => - dest <= 30; - src <= 5; - when "000011" => - dest <= 26; - src <= 5; - when "000100" => - dest <= 22; - src <= 0; - when "000101" => - dest <= 5; - src <= 5; - when "000110" => - dest <= 36; - src <= 23; - when "000111" => - dest <= 5; - src <= 29; - when "001000" => - dest <= 28; - src <= 33; - when "001001" => - dest <= 2; - src <= 5; - when "001010" => - dest <= 16; - src <= 22; - when "001011" => - dest <= 33; - src <= 5; - when "001100" => - dest <= 24; - src <= 30; - when "001101" => - dest <= 21; - src <= 16; - when "001110" => - dest <= 48; - src <= 1; - when "001111" => - dest <= 37; - src <= 24; - when "010000" => - dest <= 15; - src <= 26; - when "010001" => - dest <= 31; - src <= 36; - when "010010" => - dest <= 12; - src <= 11; - when "010011" => - dest <= 14; - src <= 28; - when "010100" => - dest <= 43; - src <= 31; - when "010101" => - dest <= 44; - src <= 47; - when "010110" => - dest <= 35; - src <= 15; - when "010111" => - dest <= 5; - src <= 37; - when "011000" => - dest <= 9; - src <= 21; - when "011001" => - dest <= 34; - src <= 38; - when "011010" => - dest <= 17; - src <= 9; - when "011011" => - dest <= 27; - src <= 8; - when "011100" => - dest <= 32; - src <= 17; - when "011101" => - dest <= 8; - src <= 5; - when "011110" => - dest <= 5; - src <= 43; - when "011111" => - dest <= 38; - src <= 25; - when "100000" => - dest <= 0; - src <= 35; - when "100001" => - dest <= 25; - src <= 32; - when "100010" => - dest <= 46; - src <= 27; - when "100011" => - dest <= 42; - src <= 44; - when "100100" => - dest <= 45; - src <= 3; - when "100101" => - dest <= 41; - src <= 14; - when "100110" => - dest <= 10; - src <= 13; - when "100111" => - dest <= 39; - src <= 34; - when "101000" => - dest <= 20; - src <= 10; - when "101001" => - dest <= 7; - src <= 7; - when "101010" => - dest <= 5; - src <= 18; - when "101011" => - dest <= 1; - src <= 42; - when "101100" => - dest <= 18; - src <= 20; - when "101101" => - dest <= 5; - src <= 39; - when "101110" => - dest <= 40; - src <= 45; - when "101111" => - dest <= 13; - src <= 5; - when "110000" => - dest <= 5; - src <= 2; - when "110001" => - dest <= 11; - src <= 41; - when "110010" => - dest <= 19; - src <= 19; - when "110011" => - dest <= 5; - src <= 46; - when "110100" => - dest <= 47; - src <= 5; - when "110101" => - dest <= 6; - src <= 48; - when "110110" => - dest <= 4; - src <= 40; - when "110111" => - dest <= 5; - src <= 12; - when "111000" => - dest <= 29; - src <= 4; - when "111001" => - dest <= 23; - src <= 6; - when others => - dest <= 5; - src <= 5; - - end case; - end process; - - end generate NI_NUM5; - - NI_NUM6 : if NI_NUM = 6 generate - process(count) begin - - case count is - - when "000000" => - dest <= 4; - src <= 6; - when "000001" => - dest <= 6; - src <= 6; - when "000010" => - dest <= 31; - src <= 6; - when "000011" => - dest <= 27; - src <= 6; - when "000100" => - dest <= 23; - src <= 1; - when "000101" => - dest <= 6; - src <= 6; - when "000110" => - dest <= 37; - src <= 24; - when "000111" => - dest <= 6; - src <= 30; - when "001000" => - dest <= 29; - src <= 34; - when "001001" => - dest <= 3; - src <= 6; - when "001010" => - dest <= 17; - src <= 23; - when "001011" => - dest <= 34; - src <= 6; - when "001100" => - dest <= 25; - src <= 31; - when "001101" => - dest <= 22; - src <= 17; - when "001110" => - dest <= 42; - src <= 2; - when "001111" => - dest <= 38; - src <= 25; - when "010000" => - dest <= 16; - src <= 27; - when "010001" => - dest <= 32; - src <= 37; - when "010010" => - dest <= 13; - src <= 12; - when "010011" => - dest <= 15; - src <= 29; - when "010100" => - dest <= 44; - src <= 32; - when "010101" => - dest <= 45; - src <= 48; - when "010110" => - dest <= 36; - src <= 16; - when "010111" => - dest <= 6; - src <= 38; - when "011000" => - dest <= 10; - src <= 22; - when "011001" => - dest <= 28; - src <= 39; - when "011010" => - dest <= 18; - src <= 10; - when "011011" => - dest <= 21; - src <= 9; - when "011100" => - dest <= 33; - src <= 18; - when "011101" => - dest <= 9; - src <= 6; - when "011110" => - dest <= 6; - src <= 44; - when "011111" => - dest <= 39; - src <= 26; - when "100000" => - dest <= 1; - src <= 36; - when "100001" => - dest <= 26; - src <= 33; - when "100010" => - dest <= 47; - src <= 21; - when "100011" => - dest <= 43; - src <= 45; - when "100100" => - dest <= 46; - src <= 4; - when "100101" => - dest <= 35; - src <= 15; - when "100110" => - dest <= 11; - src <= 7; - when "100111" => - dest <= 40; - src <= 28; - when "101000" => - dest <= 14; - src <= 11; - when "101001" => - dest <= 8; - src <= 8; - when "101010" => - dest <= 6; - src <= 19; - when "101011" => - dest <= 2; - src <= 43; - when "101100" => - dest <= 19; - src <= 14; - when "101101" => - dest <= 6; - src <= 40; - when "101110" => - dest <= 41; - src <= 46; - when "101111" => - dest <= 7; - src <= 6; - when "110000" => - dest <= 6; - src <= 3; - when "110001" => - dest <= 12; - src <= 35; - when "110010" => - dest <= 20; - src <= 20; - when "110011" => - dest <= 6; - src <= 47; - when "110100" => - dest <= 48; - src <= 6; - when "110101" => - dest <= 0; - src <= 42; - when "110110" => - dest <= 5; - src <= 41; - when "110111" => - dest <= 6; - src <= 13; - when "111000" => - dest <= 30; - src <= 5; - when "111001" => - dest <= 24; - src <= 0; - when others => - dest <= 6; - src <= 6; - - end case; - end process; - - end generate NI_NUM6; - - NI_NUM7 : if NI_NUM = 7 generate - process(count) begin - - case count is - - when "000000" => - dest <= 12; - src <= 7; - when "000001" => - dest <= 7; - src <= 7; - when "000010" => - dest <= 39; - src <= 7; - when "000011" => - dest <= 28; - src <= 7; - when "000100" => - dest <= 31; - src <= 9; - when "000101" => - dest <= 7; - src <= 7; - when "000110" => - dest <= 45; - src <= 32; - when "000111" => - dest <= 7; - src <= 38; - when "001000" => - dest <= 37; - src <= 35; - when "001001" => - dest <= 11; - src <= 7; - when "001010" => - dest <= 25; - src <= 31; - when "001011" => - dest <= 35; - src <= 7; - when "001100" => - dest <= 33; - src <= 39; - when "001101" => - dest <= 30; - src <= 25; - when "001110" => - dest <= 1; - src <= 10; - when "001111" => - dest <= 46; - src <= 33; - when "010000" => - dest <= 24; - src <= 28; - when "010001" => - dest <= 40; - src <= 45; - when "010010" => - dest <= 14; - src <= 20; - when "010011" => - dest <= 23; - src <= 37; - when "010100" => - dest <= 3; - src <= 40; - when "010101" => - dest <= 4; - src <= 0; - when "010110" => - dest <= 44; - src <= 24; - when "010111" => - dest <= 7; - src <= 46; - when "011000" => - dest <= 18; - src <= 30; - when "011001" => - dest <= 36; - src <= 47; - when "011010" => - dest <= 26; - src <= 18; - when "011011" => - dest <= 29; - src <= 17; - when "011100" => - dest <= 41; - src <= 26; - when "011101" => - dest <= 17; - src <= 7; - when "011110" => - dest <= 7; - src <= 3; - when "011111" => - dest <= 47; - src <= 34; - when "100000" => - dest <= 9; - src <= 44; - when "100001" => - dest <= 34; - src <= 41; - when "100010" => - dest <= 6; - src <= 29; - when "100011" => - dest <= 2; - src <= 4; - when "100100" => - dest <= 5; - src <= 12; - when "100101" => - dest <= 43; - src <= 23; - when "100110" => - dest <= 19; - src <= 15; - when "100111" => - dest <= 48; - src <= 36; - when "101000" => - dest <= 22; - src <= 19; - when "101001" => - dest <= 16; - src <= 16; - when "101010" => - dest <= 7; - src <= 27; - when "101011" => - dest <= 10; - src <= 2; - when "101100" => - dest <= 27; - src <= 22; - when "101101" => - dest <= 7; - src <= 48; - when "101110" => - dest <= 42; - src <= 5; - when "101111" => - dest <= 15; - src <= 7; - when "110000" => - dest <= 7; - src <= 11; - when "110001" => - dest <= 20; - src <= 43; - when "110010" => - dest <= 21; - src <= 21; - when "110011" => - dest <= 7; - src <= 6; - when "110100" => - dest <= 0; - src <= 7; - when "110101" => - dest <= 8; - src <= 1; - when "110110" => - dest <= 13; - src <= 42; - when "110111" => - dest <= 7; - src <= 14; - when "111000" => - dest <= 38; - src <= 13; - when "111001" => - dest <= 32; - src <= 8; - when others => - dest <= 7; - src <= 7; - - end case; - end process; - - end generate NI_NUM7; - - NI_NUM8 : if NI_NUM = 8 generate - process(count) begin - - case count is - - when "000000" => - dest <= 13; - src <= 8; - when "000001" => - dest <= 8; - src <= 8; - when "000010" => - dest <= 40; - src <= 8; - when "000011" => - dest <= 29; - src <= 8; - when "000100" => - dest <= 32; - src <= 10; - when "000101" => - dest <= 8; - src <= 8; - when "000110" => - dest <= 46; - src <= 33; - when "000111" => - dest <= 8; - src <= 39; - when "001000" => - dest <= 38; - src <= 36; - when "001001" => - dest <= 12; - src <= 8; - when "001010" => - dest <= 26; - src <= 32; - when "001011" => - dest <= 36; - src <= 8; - when "001100" => - dest <= 34; - src <= 40; - when "001101" => - dest <= 31; - src <= 26; - when "001110" => - dest <= 2; - src <= 11; - when "001111" => - dest <= 47; - src <= 34; - when "010000" => - dest <= 25; - src <= 29; - when "010001" => - dest <= 41; - src <= 46; - when "010010" => - dest <= 15; - src <= 14; - when "010011" => - dest <= 24; - src <= 38; - when "010100" => - dest <= 4; - src <= 41; - when "010101" => - dest <= 5; - src <= 1; - when "010110" => - dest <= 45; - src <= 25; - when "010111" => - dest <= 8; - src <= 47; - when "011000" => - dest <= 19; - src <= 31; - when "011001" => - dest <= 37; - src <= 48; - when "011010" => - dest <= 27; - src <= 19; - when "011011" => - dest <= 30; - src <= 18; - when "011100" => - dest <= 35; - src <= 27; - when "011101" => - dest <= 18; - src <= 8; - when "011110" => - dest <= 8; - src <= 4; - when "011111" => - dest <= 48; - src <= 28; - when "100000" => - dest <= 10; - src <= 45; - when "100001" => - dest <= 28; - src <= 35; - when "100010" => - dest <= 0; - src <= 30; - when "100011" => - dest <= 3; - src <= 5; - when "100100" => - dest <= 6; - src <= 13; - when "100101" => - dest <= 44; - src <= 24; - when "100110" => - dest <= 20; - src <= 16; - when "100111" => - dest <= 42; - src <= 37; - when "101000" => - dest <= 23; - src <= 20; - when "101001" => - dest <= 17; - src <= 17; - when "101010" => - dest <= 8; - src <= 21; - when "101011" => - dest <= 11; - src <= 3; - when "101100" => - dest <= 21; - src <= 23; - when "101101" => - dest <= 8; - src <= 42; - when "101110" => - dest <= 43; - src <= 6; - when "101111" => - dest <= 16; - src <= 8; - when "110000" => - dest <= 8; - src <= 12; - when "110001" => - dest <= 14; - src <= 44; - when "110010" => - dest <= 22; - src <= 22; - when "110011" => - dest <= 8; - src <= 0; - when "110100" => - dest <= 1; - src <= 8; - when "110101" => - dest <= 9; - src <= 2; - when "110110" => - dest <= 7; - src <= 43; - when "110111" => - dest <= 8; - src <= 15; - when "111000" => - dest <= 39; - src <= 7; - when "111001" => - dest <= 33; - src <= 9; - when others => - dest <= 8; - src <= 8; - - end case; - end process; - - end generate NI_NUM8; - - NI_NUM9 : if NI_NUM = 9 generate - process(count) begin - - case count is - - when "000000" => - dest <= 7; - src <= 9; - when "000001" => - dest <= 9; - src <= 9; - when "000010" => - dest <= 41; - src <= 9; - when "000011" => - dest <= 30; - src <= 9; - when "000100" => - dest <= 33; - src <= 11; - when "000101" => - dest <= 9; - src <= 9; - when "000110" => - dest <= 47; - src <= 34; - when "000111" => - dest <= 9; - src <= 40; - when "001000" => - dest <= 39; - src <= 37; - when "001001" => - dest <= 13; - src <= 9; - when "001010" => - dest <= 27; - src <= 33; - when "001011" => - dest <= 37; - src <= 9; - when "001100" => - dest <= 28; - src <= 41; - when "001101" => - dest <= 32; - src <= 27; - when "001110" => - dest <= 3; - src <= 12; - when "001111" => - dest <= 48; - src <= 28; - when "010000" => - dest <= 26; - src <= 30; - when "010001" => - dest <= 35; - src <= 47; - when "010010" => - dest <= 16; - src <= 15; - when "010011" => - dest <= 25; - src <= 39; - when "010100" => - dest <= 5; - src <= 35; - when "010101" => - dest <= 6; - src <= 2; - when "010110" => - dest <= 46; - src <= 26; - when "010111" => - dest <= 9; - src <= 48; - when "011000" => - dest <= 20; - src <= 32; - when "011001" => - dest <= 38; - src <= 42; - when "011010" => - dest <= 21; - src <= 20; - when "011011" => - dest <= 31; - src <= 19; - when "011100" => - dest <= 36; - src <= 21; - when "011101" => - dest <= 19; - src <= 9; - when "011110" => - dest <= 9; - src <= 5; - when "011111" => - dest <= 42; - src <= 29; - when "100000" => - dest <= 11; - src <= 46; - when "100001" => - dest <= 29; - src <= 36; - when "100010" => - dest <= 1; - src <= 31; - when "100011" => - dest <= 4; - src <= 6; - when "100100" => - dest <= 0; - src <= 7; - when "100101" => - dest <= 45; - src <= 25; - when "100110" => - dest <= 14; - src <= 17; - when "100111" => - dest <= 43; - src <= 38; - when "101000" => - dest <= 24; - src <= 14; - when "101001" => - dest <= 18; - src <= 18; - when "101010" => - dest <= 9; - src <= 22; - when "101011" => - dest <= 12; - src <= 4; - when "101100" => - dest <= 22; - src <= 24; - when "101101" => - dest <= 9; - src <= 43; - when "101110" => - dest <= 44; - src <= 0; - when "101111" => - dest <= 17; - src <= 9; - when "110000" => - dest <= 9; - src <= 13; - when "110001" => - dest <= 15; - src <= 45; - when "110010" => - dest <= 23; - src <= 23; - when "110011" => - dest <= 9; - src <= 1; - when "110100" => - dest <= 2; - src <= 9; - when "110101" => - dest <= 10; - src <= 3; - when "110110" => - dest <= 8; - src <= 44; - when "110111" => - dest <= 9; - src <= 16; - when "111000" => - dest <= 40; - src <= 8; - when "111001" => - dest <= 34; - src <= 10; - when others => - dest <= 9; - src <= 9; - - end case; - end process; - - end generate NI_NUM9; - - NI_NUM10 : if NI_NUM = 10 generate - process(count) begin - - case count is - - when "000000" => - dest <= 8; - src <= 10; - when "000001" => - dest <= 10; - src <= 10; - when "000010" => - dest <= 35; - src <= 10; - when "000011" => - dest <= 31; - src <= 10; - when "000100" => - dest <= 34; - src <= 12; - when "000101" => - dest <= 10; - src <= 10; - when "000110" => - dest <= 48; - src <= 28; - when "000111" => - dest <= 10; - src <= 41; - when "001000" => - dest <= 40; - src <= 38; - when "001001" => - dest <= 7; - src <= 10; - when "001010" => - dest <= 21; - src <= 34; - when "001011" => - dest <= 38; - src <= 10; - when "001100" => - dest <= 29; - src <= 35; - when "001101" => - dest <= 33; - src <= 21; - when "001110" => - dest <= 4; - src <= 13; - when "001111" => - dest <= 42; - src <= 29; - when "010000" => - dest <= 27; - src <= 31; - when "010001" => - dest <= 36; - src <= 48; - when "010010" => - dest <= 17; - src <= 16; - when "010011" => - dest <= 26; - src <= 40; - when "010100" => - dest <= 6; - src <= 36; - when "010101" => - dest <= 0; - src <= 3; - when "010110" => - dest <= 47; - src <= 27; - when "010111" => - dest <= 10; - src <= 42; - when "011000" => - dest <= 14; - src <= 33; - when "011001" => - dest <= 39; - src <= 43; - when "011010" => - dest <= 22; - src <= 14; - when "011011" => - dest <= 32; - src <= 20; - when "011100" => - dest <= 37; - src <= 22; - when "011101" => - dest <= 20; - src <= 10; - when "011110" => - dest <= 10; - src <= 6; - when "011111" => - dest <= 43; - src <= 30; - when "100000" => - dest <= 12; - src <= 47; - when "100001" => - dest <= 30; - src <= 37; - when "100010" => - dest <= 2; - src <= 32; - when "100011" => - dest <= 5; - src <= 0; - when "100100" => - dest <= 1; - src <= 8; - when "100101" => - dest <= 46; - src <= 26; - when "100110" => - dest <= 15; - src <= 18; - when "100111" => - dest <= 44; - src <= 39; - when "101000" => - dest <= 25; - src <= 15; - when "101001" => - dest <= 19; - src <= 19; - when "101010" => - dest <= 10; - src <= 23; - when "101011" => - dest <= 13; - src <= 5; - when "101100" => - dest <= 23; - src <= 25; - when "101101" => - dest <= 10; - src <= 44; - when "101110" => - dest <= 45; - src <= 1; - when "101111" => - dest <= 18; - src <= 10; - when "110000" => - dest <= 10; - src <= 7; - when "110001" => - dest <= 16; - src <= 46; - when "110010" => - dest <= 24; - src <= 24; - when "110011" => - dest <= 10; - src <= 2; - when "110100" => - dest <= 3; - src <= 10; - when "110101" => - dest <= 11; - src <= 4; - when "110110" => - dest <= 9; - src <= 45; - when "110111" => - dest <= 10; - src <= 17; - when "111000" => - dest <= 41; - src <= 9; - when "111001" => - dest <= 28; - src <= 11; - when others => - dest <= 10; - src <= 10; - - end case; - end process; - - end generate NI_NUM10; - - NI_NUM11 : if NI_NUM = 11 generate - process(count) begin - - case count is - - when "000000" => - dest <= 9; - src <= 11; - when "000001" => - dest <= 11; - src <= 11; - when "000010" => - dest <= 36; - src <= 11; - when "000011" => - dest <= 32; - src <= 11; - when "000100" => - dest <= 28; - src <= 13; - when "000101" => - dest <= 11; - src <= 11; - when "000110" => - dest <= 42; - src <= 29; - when "000111" => - dest <= 11; - src <= 35; - when "001000" => - dest <= 41; - src <= 39; - when "001001" => - dest <= 8; - src <= 11; - when "001010" => - dest <= 22; - src <= 28; - when "001011" => - dest <= 39; - src <= 11; - when "001100" => - dest <= 30; - src <= 36; - when "001101" => - dest <= 34; - src <= 22; - when "001110" => - dest <= 5; - src <= 7; - when "001111" => - dest <= 43; - src <= 30; - when "010000" => - dest <= 21; - src <= 32; - when "010001" => - dest <= 37; - src <= 42; - when "010010" => - dest <= 18; - src <= 17; - when "010011" => - dest <= 27; - src <= 41; - when "010100" => - dest <= 0; - src <= 37; - when "010101" => - dest <= 1; - src <= 4; - when "010110" => - dest <= 48; - src <= 21; - when "010111" => - dest <= 11; - src <= 43; - when "011000" => - dest <= 15; - src <= 34; - when "011001" => - dest <= 40; - src <= 44; - when "011010" => - dest <= 23; - src <= 15; - when "011011" => - dest <= 33; - src <= 14; - when "011100" => - dest <= 38; - src <= 23; - when "011101" => - dest <= 14; - src <= 11; - when "011110" => - dest <= 11; - src <= 0; - when "011111" => - dest <= 44; - src <= 31; - when "100000" => - dest <= 13; - src <= 48; - when "100001" => - dest <= 31; - src <= 38; - when "100010" => - dest <= 3; - src <= 33; - when "100011" => - dest <= 6; - src <= 1; - when "100100" => - dest <= 2; - src <= 9; - when "100101" => - dest <= 47; - src <= 27; - when "100110" => - dest <= 16; - src <= 19; - when "100111" => - dest <= 45; - src <= 40; - when "101000" => - dest <= 26; - src <= 16; - when "101001" => - dest <= 20; - src <= 20; - when "101010" => - dest <= 11; - src <= 24; - when "101011" => - dest <= 7; - src <= 6; - when "101100" => - dest <= 24; - src <= 26; - when "101101" => - dest <= 11; - src <= 45; - when "101110" => - dest <= 46; - src <= 2; - when "101111" => - dest <= 19; - src <= 11; - when "110000" => - dest <= 11; - src <= 8; - when "110001" => - dest <= 17; - src <= 47; - when "110010" => - dest <= 25; - src <= 25; - when "110011" => - dest <= 11; - src <= 3; - when "110100" => - dest <= 4; - src <= 11; - when "110101" => - dest <= 12; - src <= 5; - when "110110" => - dest <= 10; - src <= 46; - when "110111" => - dest <= 11; - src <= 18; - when "111000" => - dest <= 35; - src <= 10; - when "111001" => - dest <= 29; - src <= 12; - when others => - dest <= 11; - src <= 11; - - end case; - end process; - - end generate NI_NUM11; - - NI_NUM12 : if NI_NUM = 12 generate - process(count) begin - - case count is - - when "000000" => - dest <= 10; - src <= 12; - when "000001" => - dest <= 12; - src <= 12; - when "000010" => - dest <= 37; - src <= 12; - when "000011" => - dest <= 33; - src <= 12; - when "000100" => - dest <= 29; - src <= 7; - when "000101" => - dest <= 12; - src <= 12; - when "000110" => - dest <= 43; - src <= 30; - when "000111" => - dest <= 12; - src <= 36; - when "001000" => - dest <= 35; - src <= 40; - when "001001" => - dest <= 9; - src <= 12; - when "001010" => - dest <= 23; - src <= 29; - when "001011" => - dest <= 40; - src <= 12; - when "001100" => - dest <= 31; - src <= 37; - when "001101" => - dest <= 28; - src <= 23; - when "001110" => - dest <= 6; - src <= 8; - when "001111" => - dest <= 44; - src <= 31; - when "010000" => - dest <= 22; - src <= 33; - when "010001" => - dest <= 38; - src <= 43; - when "010010" => - dest <= 19; - src <= 18; - when "010011" => - dest <= 21; - src <= 35; - when "010100" => - dest <= 1; - src <= 38; - when "010101" => - dest <= 2; - src <= 5; - when "010110" => - dest <= 42; - src <= 22; - when "010111" => - dest <= 12; - src <= 44; - when "011000" => - dest <= 16; - src <= 28; - when "011001" => - dest <= 41; - src <= 45; - when "011010" => - dest <= 24; - src <= 16; - when "011011" => - dest <= 34; - src <= 15; - when "011100" => - dest <= 39; - src <= 24; - when "011101" => - dest <= 15; - src <= 12; - when "011110" => - dest <= 12; - src <= 1; - when "011111" => - dest <= 45; - src <= 32; - when "100000" => - dest <= 7; - src <= 42; - when "100001" => - dest <= 32; - src <= 39; - when "100010" => - dest <= 4; - src <= 34; - when "100011" => - dest <= 0; - src <= 2; - when "100100" => - dest <= 3; - src <= 10; - when "100101" => - dest <= 48; - src <= 21; - when "100110" => - dest <= 17; - src <= 20; - when "100111" => - dest <= 46; - src <= 41; - when "101000" => - dest <= 27; - src <= 17; - when "101001" => - dest <= 14; - src <= 14; - when "101010" => - dest <= 12; - src <= 25; - when "101011" => - dest <= 8; - src <= 0; - when "101100" => - dest <= 25; - src <= 27; - when "101101" => - dest <= 12; - src <= 46; - when "101110" => - dest <= 47; - src <= 3; - when "101111" => - dest <= 20; - src <= 12; - when "110000" => - dest <= 12; - src <= 9; - when "110001" => - dest <= 18; - src <= 48; - when "110010" => - dest <= 26; - src <= 26; - when "110011" => - dest <= 12; - src <= 4; - when "110100" => - dest <= 5; - src <= 12; - when "110101" => - dest <= 13; - src <= 6; - when "110110" => - dest <= 11; - src <= 47; - when "110111" => - dest <= 12; - src <= 19; - when "111000" => - dest <= 36; - src <= 11; - when "111001" => - dest <= 30; - src <= 13; - when others => - dest <= 12; - src <= 12; - - end case; - end process; - - end generate NI_NUM12; - - NI_NUM13 : if NI_NUM = 13 generate - process(count) begin - - case count is - - when "000000" => - dest <= 11; - src <= 13; - when "000001" => - dest <= 13; - src <= 13; - when "000010" => - dest <= 38; - src <= 13; - when "000011" => - dest <= 34; - src <= 13; - when "000100" => - dest <= 30; - src <= 8; - when "000101" => - dest <= 13; - src <= 13; - when "000110" => - dest <= 44; - src <= 31; - when "000111" => - dest <= 13; - src <= 37; - when "001000" => - dest <= 36; - src <= 41; - when "001001" => - dest <= 10; - src <= 13; - when "001010" => - dest <= 24; - src <= 30; - when "001011" => - dest <= 41; - src <= 13; - when "001100" => - dest <= 32; - src <= 38; - when "001101" => - dest <= 29; - src <= 24; - when "001110" => - dest <= 0; - src <= 9; - when "001111" => - dest <= 45; - src <= 32; - when "010000" => - dest <= 23; - src <= 34; - when "010001" => - dest <= 39; - src <= 44; - when "010010" => - dest <= 20; - src <= 19; - when "010011" => - dest <= 22; - src <= 36; - when "010100" => - dest <= 2; - src <= 39; - when "010101" => - dest <= 3; - src <= 6; - when "010110" => - dest <= 43; - src <= 23; - when "010111" => - dest <= 13; - src <= 45; - when "011000" => - dest <= 17; - src <= 29; - when "011001" => - dest <= 35; - src <= 46; - when "011010" => - dest <= 25; - src <= 17; - when "011011" => - dest <= 28; - src <= 16; - when "011100" => - dest <= 40; - src <= 25; - when "011101" => - dest <= 16; - src <= 13; - when "011110" => - dest <= 13; - src <= 2; - when "011111" => - dest <= 46; - src <= 33; - when "100000" => - dest <= 8; - src <= 43; - when "100001" => - dest <= 33; - src <= 40; - when "100010" => - dest <= 5; - src <= 28; - when "100011" => - dest <= 1; - src <= 3; - when "100100" => - dest <= 4; - src <= 11; - when "100101" => - dest <= 42; - src <= 22; - when "100110" => - dest <= 18; - src <= 14; - when "100111" => - dest <= 47; - src <= 35; - when "101000" => - dest <= 21; - src <= 18; - when "101001" => - dest <= 15; - src <= 15; - when "101010" => - dest <= 13; - src <= 26; - when "101011" => - dest <= 9; - src <= 1; - when "101100" => - dest <= 26; - src <= 21; - when "101101" => - dest <= 13; - src <= 47; - when "101110" => - dest <= 48; - src <= 4; - when "101111" => - dest <= 14; - src <= 13; - when "110000" => - dest <= 13; - src <= 10; - when "110001" => - dest <= 19; - src <= 42; - when "110010" => - dest <= 27; - src <= 27; - when "110011" => - dest <= 13; - src <= 5; - when "110100" => - dest <= 6; - src <= 13; - when "110101" => - dest <= 7; - src <= 0; - when "110110" => - dest <= 12; - src <= 48; - when "110111" => - dest <= 13; - src <= 20; - when "111000" => - dest <= 37; - src <= 12; - when "111001" => - dest <= 31; - src <= 7; - when others => - dest <= 13; - src <= 13; - - end case; - end process; - - end generate NI_NUM13; - - NI_NUM14 : if NI_NUM = 14 generate - process(count) begin - - case count is - - when "000000" => - dest <= 19; - src <= 14; - when "000001" => - dest <= 14; - src <= 14; - when "000010" => - dest <= 46; - src <= 14; - when "000011" => - dest <= 35; - src <= 14; - when "000100" => - dest <= 38; - src <= 16; - when "000101" => - dest <= 14; - src <= 14; - when "000110" => - dest <= 3; - src <= 39; - when "000111" => - dest <= 14; - src <= 45; - when "001000" => - dest <= 44; - src <= 42; - when "001001" => - dest <= 18; - src <= 14; - when "001010" => - dest <= 32; - src <= 38; - when "001011" => - dest <= 42; - src <= 14; - when "001100" => - dest <= 40; - src <= 46; - when "001101" => - dest <= 37; - src <= 32; - when "001110" => - dest <= 8; - src <= 17; - when "001111" => - dest <= 4; - src <= 40; - when "010000" => - dest <= 31; - src <= 35; - when "010001" => - dest <= 47; - src <= 3; - when "010010" => - dest <= 21; - src <= 27; - when "010011" => - dest <= 30; - src <= 44; - when "010100" => - dest <= 10; - src <= 47; - when "010101" => - dest <= 11; - src <= 7; - when "010110" => - dest <= 2; - src <= 31; - when "010111" => - dest <= 14; - src <= 4; - when "011000" => - dest <= 25; - src <= 37; - when "011001" => - dest <= 43; - src <= 5; - when "011010" => - dest <= 33; - src <= 25; - when "011011" => - dest <= 36; - src <= 24; - when "011100" => - dest <= 48; - src <= 33; - when "011101" => - dest <= 24; - src <= 14; - when "011110" => - dest <= 14; - src <= 10; - when "011111" => - dest <= 5; - src <= 41; - when "100000" => - dest <= 16; - src <= 2; - when "100001" => - dest <= 41; - src <= 48; - when "100010" => - dest <= 13; - src <= 36; - when "100011" => - dest <= 9; - src <= 11; - when "100100" => - dest <= 12; - src <= 19; - when "100101" => - dest <= 1; - src <= 30; - when "100110" => - dest <= 26; - src <= 22; - when "100111" => - dest <= 6; - src <= 43; - when "101000" => - dest <= 29; - src <= 26; - when "101001" => - dest <= 23; - src <= 23; - when "101010" => - dest <= 14; - src <= 34; - when "101011" => - dest <= 17; - src <= 9; - when "101100" => - dest <= 34; - src <= 29; - when "101101" => - dest <= 14; - src <= 6; - when "101110" => - dest <= 0; - src <= 12; - when "101111" => - dest <= 22; - src <= 14; - when "110000" => - dest <= 14; - src <= 18; - when "110001" => - dest <= 27; - src <= 1; - when "110010" => - dest <= 28; - src <= 28; - when "110011" => - dest <= 14; - src <= 13; - when "110100" => - dest <= 7; - src <= 14; - when "110101" => - dest <= 15; - src <= 8; - when "110110" => - dest <= 20; - src <= 0; - when "110111" => - dest <= 14; - src <= 21; - when "111000" => - dest <= 45; - src <= 20; - when "111001" => - dest <= 39; - src <= 15; - when others => - dest <= 14; - src <= 14; - - end case; - end process; - - end generate NI_NUM14; - - NI_NUM15 : if NI_NUM = 15 generate - process(count) begin - - case count is - - when "000000" => - dest <= 20; - src <= 15; - when "000001" => - dest <= 15; - src <= 15; - when "000010" => - dest <= 47; - src <= 15; - when "000011" => - dest <= 36; - src <= 15; - when "000100" => - dest <= 39; - src <= 17; - when "000101" => - dest <= 15; - src <= 15; - when "000110" => - dest <= 4; - src <= 40; - when "000111" => - dest <= 15; - src <= 46; - when "001000" => - dest <= 45; - src <= 43; - when "001001" => - dest <= 19; - src <= 15; - when "001010" => - dest <= 33; - src <= 39; - when "001011" => - dest <= 43; - src <= 15; - when "001100" => - dest <= 41; - src <= 47; - when "001101" => - dest <= 38; - src <= 33; - when "001110" => - dest <= 9; - src <= 18; - when "001111" => - dest <= 5; - src <= 41; - when "010000" => - dest <= 32; - src <= 36; - when "010001" => - dest <= 48; - src <= 4; - when "010010" => - dest <= 22; - src <= 21; - when "010011" => - dest <= 31; - src <= 45; - when "010100" => - dest <= 11; - src <= 48; - when "010101" => - dest <= 12; - src <= 8; - when "010110" => - dest <= 3; - src <= 32; - when "010111" => - dest <= 15; - src <= 5; - when "011000" => - dest <= 26; - src <= 38; - when "011001" => - dest <= 44; - src <= 6; - when "011010" => - dest <= 34; - src <= 26; - when "011011" => - dest <= 37; - src <= 25; - when "011100" => - dest <= 42; - src <= 34; - when "011101" => - dest <= 25; - src <= 15; - when "011110" => - dest <= 15; - src <= 11; - when "011111" => - dest <= 6; - src <= 35; - when "100000" => - dest <= 17; - src <= 3; - when "100001" => - dest <= 35; - src <= 42; - when "100010" => - dest <= 7; - src <= 37; - when "100011" => - dest <= 10; - src <= 12; - when "100100" => - dest <= 13; - src <= 20; - when "100101" => - dest <= 2; - src <= 31; - when "100110" => - dest <= 27; - src <= 23; - when "100111" => - dest <= 0; - src <= 44; - when "101000" => - dest <= 30; - src <= 27; - when "101001" => - dest <= 24; - src <= 24; - when "101010" => - dest <= 15; - src <= 28; - when "101011" => - dest <= 18; - src <= 10; - when "101100" => - dest <= 28; - src <= 30; - when "101101" => - dest <= 15; - src <= 0; - when "101110" => - dest <= 1; - src <= 13; - when "101111" => - dest <= 23; - src <= 15; - when "110000" => - dest <= 15; - src <= 19; - when "110001" => - dest <= 21; - src <= 2; - when "110010" => - dest <= 29; - src <= 29; - when "110011" => - dest <= 15; - src <= 7; - when "110100" => - dest <= 8; - src <= 15; - when "110101" => - dest <= 16; - src <= 9; - when "110110" => - dest <= 14; - src <= 1; - when "110111" => - dest <= 15; - src <= 22; - when "111000" => - dest <= 46; - src <= 14; - when "111001" => - dest <= 40; - src <= 16; - when others => - dest <= 15; - src <= 15; - - end case; - end process; - - end generate NI_NUM15; - - NI_NUM16 : if NI_NUM = 16 generate - process(count) begin - - case count is - - when "000000" => - dest <= 14; - src <= 16; - when "000001" => - dest <= 16; - src <= 16; - when "000010" => - dest <= 48; - src <= 16; - when "000011" => - dest <= 37; - src <= 16; - when "000100" => - dest <= 40; - src <= 18; - when "000101" => - dest <= 16; - src <= 16; - when "000110" => - dest <= 5; - src <= 41; - when "000111" => - dest <= 16; - src <= 47; - when "001000" => - dest <= 46; - src <= 44; - when "001001" => - dest <= 20; - src <= 16; - when "001010" => - dest <= 34; - src <= 40; - when "001011" => - dest <= 44; - src <= 16; - when "001100" => - dest <= 35; - src <= 48; - when "001101" => - dest <= 39; - src <= 34; - when "001110" => - dest <= 10; - src <= 19; - when "001111" => - dest <= 6; - src <= 35; - when "010000" => - dest <= 33; - src <= 37; - when "010001" => - dest <= 42; - src <= 5; - when "010010" => - dest <= 23; - src <= 22; - when "010011" => - dest <= 32; - src <= 46; - when "010100" => - dest <= 12; - src <= 42; - when "010101" => - dest <= 13; - src <= 9; - when "010110" => - dest <= 4; - src <= 33; - when "010111" => - dest <= 16; - src <= 6; - when "011000" => - dest <= 27; - src <= 39; - when "011001" => - dest <= 45; - src <= 0; - when "011010" => - dest <= 28; - src <= 27; - when "011011" => - dest <= 38; - src <= 26; - when "011100" => - dest <= 43; - src <= 28; - when "011101" => - dest <= 26; - src <= 16; - when "011110" => - dest <= 16; - src <= 12; - when "011111" => - dest <= 0; - src <= 36; - when "100000" => - dest <= 18; - src <= 4; - when "100001" => - dest <= 36; - src <= 43; - when "100010" => - dest <= 8; - src <= 38; - when "100011" => - dest <= 11; - src <= 13; - when "100100" => - dest <= 7; - src <= 14; - when "100101" => - dest <= 3; - src <= 32; - when "100110" => - dest <= 21; - src <= 24; - when "100111" => - dest <= 1; - src <= 45; - when "101000" => - dest <= 31; - src <= 21; - when "101001" => - dest <= 25; - src <= 25; - when "101010" => - dest <= 16; - src <= 29; - when "101011" => - dest <= 19; - src <= 11; - when "101100" => - dest <= 29; - src <= 31; - when "101101" => - dest <= 16; - src <= 1; - when "101110" => - dest <= 2; - src <= 7; - when "101111" => - dest <= 24; - src <= 16; - when "110000" => - dest <= 16; - src <= 20; - when "110001" => - dest <= 22; - src <= 3; - when "110010" => - dest <= 30; - src <= 30; - when "110011" => - dest <= 16; - src <= 8; - when "110100" => - dest <= 9; - src <= 16; - when "110101" => - dest <= 17; - src <= 10; - when "110110" => - dest <= 15; - src <= 2; - when "110111" => - dest <= 16; - src <= 23; - when "111000" => - dest <= 47; - src <= 15; - when "111001" => - dest <= 41; - src <= 17; - when others => - dest <= 16; - src <= 16; - - end case; - end process; - - end generate NI_NUM16; - - NI_NUM17 : if NI_NUM = 17 generate - process(count) begin - - case count is - - when "000000" => - dest <= 15; - src <= 17; - when "000001" => - dest <= 17; - src <= 17; - when "000010" => - dest <= 42; - src <= 17; - when "000011" => - dest <= 38; - src <= 17; - when "000100" => - dest <= 41; - src <= 19; - when "000101" => - dest <= 17; - src <= 17; - when "000110" => - dest <= 6; - src <= 35; - when "000111" => - dest <= 17; - src <= 48; - when "001000" => - dest <= 47; - src <= 45; - when "001001" => - dest <= 14; - src <= 17; - when "001010" => - dest <= 28; - src <= 41; - when "001011" => - dest <= 45; - src <= 17; - when "001100" => - dest <= 36; - src <= 42; - when "001101" => - dest <= 40; - src <= 28; - when "001110" => - dest <= 11; - src <= 20; - when "001111" => - dest <= 0; - src <= 36; - when "010000" => - dest <= 34; - src <= 38; - when "010001" => - dest <= 43; - src <= 6; - when "010010" => - dest <= 24; - src <= 23; - when "010011" => - dest <= 33; - src <= 47; - when "010100" => - dest <= 13; - src <= 43; - when "010101" => - dest <= 7; - src <= 10; - when "010110" => - dest <= 5; - src <= 34; - when "010111" => - dest <= 17; - src <= 0; - when "011000" => - dest <= 21; - src <= 40; - when "011001" => - dest <= 46; - src <= 1; - when "011010" => - dest <= 29; - src <= 21; - when "011011" => - dest <= 39; - src <= 27; - when "011100" => - dest <= 44; - src <= 29; - when "011101" => - dest <= 27; - src <= 17; - when "011110" => - dest <= 17; - src <= 13; - when "011111" => - dest <= 1; - src <= 37; - when "100000" => - dest <= 19; - src <= 5; - when "100001" => - dest <= 37; - src <= 44; - when "100010" => - dest <= 9; - src <= 39; - when "100011" => - dest <= 12; - src <= 7; - when "100100" => - dest <= 8; - src <= 15; - when "100101" => - dest <= 4; - src <= 33; - when "100110" => - dest <= 22; - src <= 25; - when "100111" => - dest <= 2; - src <= 46; - when "101000" => - dest <= 32; - src <= 22; - when "101001" => - dest <= 26; - src <= 26; - when "101010" => - dest <= 17; - src <= 30; - when "101011" => - dest <= 20; - src <= 12; - when "101100" => - dest <= 30; - src <= 32; - when "101101" => - dest <= 17; - src <= 2; - when "101110" => - dest <= 3; - src <= 8; - when "101111" => - dest <= 25; - src <= 17; - when "110000" => - dest <= 17; - src <= 14; - when "110001" => - dest <= 23; - src <= 4; - when "110010" => - dest <= 31; - src <= 31; - when "110011" => - dest <= 17; - src <= 9; - when "110100" => - dest <= 10; - src <= 17; - when "110101" => - dest <= 18; - src <= 11; - when "110110" => - dest <= 16; - src <= 3; - when "110111" => - dest <= 17; - src <= 24; - when "111000" => - dest <= 48; - src <= 16; - when "111001" => - dest <= 35; - src <= 18; - when others => - dest <= 17; - src <= 17; - - end case; - end process; - - end generate NI_NUM17; - - NI_NUM18 : if NI_NUM = 18 generate - process(count) begin - - case count is - - when "000000" => - dest <= 16; - src <= 18; - when "000001" => - dest <= 18; - src <= 18; - when "000010" => - dest <= 43; - src <= 18; - when "000011" => - dest <= 39; - src <= 18; - when "000100" => - dest <= 35; - src <= 20; - when "000101" => - dest <= 18; - src <= 18; - when "000110" => - dest <= 0; - src <= 36; - when "000111" => - dest <= 18; - src <= 42; - when "001000" => - dest <= 48; - src <= 46; - when "001001" => - dest <= 15; - src <= 18; - when "001010" => - dest <= 29; - src <= 35; - when "001011" => - dest <= 46; - src <= 18; - when "001100" => - dest <= 37; - src <= 43; - when "001101" => - dest <= 41; - src <= 29; - when "001110" => - dest <= 12; - src <= 14; - when "001111" => - dest <= 1; - src <= 37; - when "010000" => - dest <= 28; - src <= 39; - when "010001" => - dest <= 44; - src <= 0; - when "010010" => - dest <= 25; - src <= 24; - when "010011" => - dest <= 34; - src <= 48; - when "010100" => - dest <= 7; - src <= 44; - when "010101" => - dest <= 8; - src <= 11; - when "010110" => - dest <= 6; - src <= 28; - when "010111" => - dest <= 18; - src <= 1; - when "011000" => - dest <= 22; - src <= 41; - when "011001" => - dest <= 47; - src <= 2; - when "011010" => - dest <= 30; - src <= 22; - when "011011" => - dest <= 40; - src <= 21; - when "011100" => - dest <= 45; - src <= 30; - when "011101" => - dest <= 21; - src <= 18; - when "011110" => - dest <= 18; - src <= 7; - when "011111" => - dest <= 2; - src <= 38; - when "100000" => - dest <= 20; - src <= 6; - when "100001" => - dest <= 38; - src <= 45; - when "100010" => - dest <= 10; - src <= 40; - when "100011" => - dest <= 13; - src <= 8; - when "100100" => - dest <= 9; - src <= 16; - when "100101" => - dest <= 5; - src <= 34; - when "100110" => - dest <= 23; - src <= 26; - when "100111" => - dest <= 3; - src <= 47; - when "101000" => - dest <= 33; - src <= 23; - when "101001" => - dest <= 27; - src <= 27; - when "101010" => - dest <= 18; - src <= 31; - when "101011" => - dest <= 14; - src <= 13; - when "101100" => - dest <= 31; - src <= 33; - when "101101" => - dest <= 18; - src <= 3; - when "101110" => - dest <= 4; - src <= 9; - when "101111" => - dest <= 26; - src <= 18; - when "110000" => - dest <= 18; - src <= 15; - when "110001" => - dest <= 24; - src <= 5; - when "110010" => - dest <= 32; - src <= 32; - when "110011" => - dest <= 18; - src <= 10; - when "110100" => - dest <= 11; - src <= 18; - when "110101" => - dest <= 19; - src <= 12; - when "110110" => - dest <= 17; - src <= 4; - when "110111" => - dest <= 18; - src <= 25; - when "111000" => - dest <= 42; - src <= 17; - when "111001" => - dest <= 36; - src <= 19; - when others => - dest <= 18; - src <= 18; - - end case; - end process; - - end generate NI_NUM18; - - NI_NUM19 : if NI_NUM = 19 generate - process(count) begin - - case count is - - when "000000" => - dest <= 17; - src <= 19; - when "000001" => - dest <= 19; - src <= 19; - when "000010" => - dest <= 44; - src <= 19; - when "000011" => - dest <= 40; - src <= 19; - when "000100" => - dest <= 36; - src <= 14; - when "000101" => - dest <= 19; - src <= 19; - when "000110" => - dest <= 1; - src <= 37; - when "000111" => - dest <= 19; - src <= 43; - when "001000" => - dest <= 42; - src <= 47; - when "001001" => - dest <= 16; - src <= 19; - when "001010" => - dest <= 30; - src <= 36; - when "001011" => - dest <= 47; - src <= 19; - when "001100" => - dest <= 38; - src <= 44; - when "001101" => - dest <= 35; - src <= 30; - when "001110" => - dest <= 13; - src <= 15; - when "001111" => - dest <= 2; - src <= 38; - when "010000" => - dest <= 29; - src <= 40; - when "010001" => - dest <= 45; - src <= 1; - when "010010" => - dest <= 26; - src <= 25; - when "010011" => - dest <= 28; - src <= 42; - when "010100" => - dest <= 8; - src <= 45; - when "010101" => - dest <= 9; - src <= 12; - when "010110" => - dest <= 0; - src <= 29; - when "010111" => - dest <= 19; - src <= 2; - when "011000" => - dest <= 23; - src <= 35; - when "011001" => - dest <= 48; - src <= 3; - when "011010" => - dest <= 31; - src <= 23; - when "011011" => - dest <= 41; - src <= 22; - when "011100" => - dest <= 46; - src <= 31; - when "011101" => - dest <= 22; - src <= 19; - when "011110" => - dest <= 19; - src <= 8; - when "011111" => - dest <= 3; - src <= 39; - when "100000" => - dest <= 14; - src <= 0; - when "100001" => - dest <= 39; - src <= 46; - when "100010" => - dest <= 11; - src <= 41; - when "100011" => - dest <= 7; - src <= 9; - when "100100" => - dest <= 10; - src <= 17; - when "100101" => - dest <= 6; - src <= 28; - when "100110" => - dest <= 24; - src <= 27; - when "100111" => - dest <= 4; - src <= 48; - when "101000" => - dest <= 34; - src <= 24; - when "101001" => - dest <= 21; - src <= 21; - when "101010" => - dest <= 19; - src <= 32; - when "101011" => - dest <= 15; - src <= 7; - when "101100" => - dest <= 32; - src <= 34; - when "101101" => - dest <= 19; - src <= 4; - when "101110" => - dest <= 5; - src <= 10; - when "101111" => - dest <= 27; - src <= 19; - when "110000" => - dest <= 19; - src <= 16; - when "110001" => - dest <= 25; - src <= 6; - when "110010" => - dest <= 33; - src <= 33; - when "110011" => - dest <= 19; - src <= 11; - when "110100" => - dest <= 12; - src <= 19; - when "110101" => - dest <= 20; - src <= 13; - when "110110" => - dest <= 18; - src <= 5; - when "110111" => - dest <= 19; - src <= 26; - when "111000" => - dest <= 43; - src <= 18; - when "111001" => - dest <= 37; - src <= 20; - when others => - dest <= 19; - src <= 19; - - end case; - end process; - - end generate NI_NUM19; - - NI_NUM20 : if NI_NUM = 20 generate - process(count) begin - - case count is - - when "000000" => - dest <= 18; - src <= 20; - when "000001" => - dest <= 20; - src <= 20; - when "000010" => - dest <= 45; - src <= 20; - when "000011" => - dest <= 41; - src <= 20; - when "000100" => - dest <= 37; - src <= 15; - when "000101" => - dest <= 20; - src <= 20; - when "000110" => - dest <= 2; - src <= 38; - when "000111" => - dest <= 20; - src <= 44; - when "001000" => - dest <= 43; - src <= 48; - when "001001" => - dest <= 17; - src <= 20; - when "001010" => - dest <= 31; - src <= 37; - when "001011" => - dest <= 48; - src <= 20; - when "001100" => - dest <= 39; - src <= 45; - when "001101" => - dest <= 36; - src <= 31; - when "001110" => - dest <= 7; - src <= 16; - when "001111" => - dest <= 3; - src <= 39; - when "010000" => - dest <= 30; - src <= 41; - when "010001" => - dest <= 46; - src <= 2; - when "010010" => - dest <= 27; - src <= 26; - when "010011" => - dest <= 29; - src <= 43; - when "010100" => - dest <= 9; - src <= 46; - when "010101" => - dest <= 10; - src <= 13; - when "010110" => - dest <= 1; - src <= 30; - when "010111" => - dest <= 20; - src <= 3; - when "011000" => - dest <= 24; - src <= 36; - when "011001" => - dest <= 42; - src <= 4; - when "011010" => - dest <= 32; - src <= 24; - when "011011" => - dest <= 35; - src <= 23; - when "011100" => - dest <= 47; - src <= 32; - when "011101" => - dest <= 23; - src <= 20; - when "011110" => - dest <= 20; - src <= 9; - when "011111" => - dest <= 4; - src <= 40; - when "100000" => - dest <= 15; - src <= 1; - when "100001" => - dest <= 40; - src <= 47; - when "100010" => - dest <= 12; - src <= 35; - when "100011" => - dest <= 8; - src <= 10; - when "100100" => - dest <= 11; - src <= 18; - when "100101" => - dest <= 0; - src <= 29; - when "100110" => - dest <= 25; - src <= 21; - when "100111" => - dest <= 5; - src <= 42; - when "101000" => - dest <= 28; - src <= 25; - when "101001" => - dest <= 22; - src <= 22; - when "101010" => - dest <= 20; - src <= 33; - when "101011" => - dest <= 16; - src <= 8; - when "101100" => - dest <= 33; - src <= 28; - when "101101" => - dest <= 20; - src <= 5; - when "101110" => - dest <= 6; - src <= 11; - when "101111" => - dest <= 21; - src <= 20; - when "110000" => - dest <= 20; - src <= 17; - when "110001" => - dest <= 26; - src <= 0; - when "110010" => - dest <= 34; - src <= 34; - when "110011" => - dest <= 20; - src <= 12; - when "110100" => - dest <= 13; - src <= 20; - when "110101" => - dest <= 14; - src <= 7; - when "110110" => - dest <= 19; - src <= 6; - when "110111" => - dest <= 20; - src <= 27; - when "111000" => - dest <= 44; - src <= 19; - when "111001" => - dest <= 38; - src <= 14; - when others => - dest <= 20; - src <= 20; - - end case; - end process; - - end generate NI_NUM20; - - NI_NUM21 : if NI_NUM = 21 generate - process(count) begin - - case count is - - when "000000" => - dest <= 26; - src <= 21; - when "000001" => - dest <= 21; - src <= 21; - when "000010" => - dest <= 4; - src <= 21; - when "000011" => - dest <= 42; - src <= 21; - when "000100" => - dest <= 45; - src <= 23; - when "000101" => - dest <= 21; - src <= 21; - when "000110" => - dest <= 10; - src <= 46; - when "000111" => - dest <= 21; - src <= 3; - when "001000" => - dest <= 2; - src <= 0; - when "001001" => - dest <= 25; - src <= 21; - when "001010" => - dest <= 39; - src <= 45; - when "001011" => - dest <= 0; - src <= 21; - when "001100" => - dest <= 47; - src <= 4; - when "001101" => - dest <= 44; - src <= 39; - when "001110" => - dest <= 15; - src <= 24; - when "001111" => - dest <= 11; - src <= 47; - when "010000" => - dest <= 38; - src <= 42; - when "010001" => - dest <= 5; - src <= 10; - when "010010" => - dest <= 28; - src <= 34; - when "010011" => - dest <= 37; - src <= 2; - when "010100" => - dest <= 17; - src <= 5; - when "010101" => - dest <= 18; - src <= 14; - when "010110" => - dest <= 9; - src <= 38; - when "010111" => - dest <= 21; - src <= 11; - when "011000" => - dest <= 32; - src <= 44; - when "011001" => - dest <= 1; - src <= 12; - when "011010" => - dest <= 40; - src <= 32; - when "011011" => - dest <= 43; - src <= 31; - when "011100" => - dest <= 6; - src <= 40; - when "011101" => - dest <= 31; - src <= 21; - when "011110" => - dest <= 21; - src <= 17; - when "011111" => - dest <= 12; - src <= 48; - when "100000" => - dest <= 23; - src <= 9; - when "100001" => - dest <= 48; - src <= 6; - when "100010" => - dest <= 20; - src <= 43; - when "100011" => - dest <= 16; - src <= 18; - when "100100" => - dest <= 19; - src <= 26; - when "100101" => - dest <= 8; - src <= 37; - when "100110" => - dest <= 33; - src <= 29; - when "100111" => - dest <= 13; - src <= 1; - when "101000" => - dest <= 36; - src <= 33; - when "101001" => - dest <= 30; - src <= 30; - when "101010" => - dest <= 21; - src <= 41; - when "101011" => - dest <= 24; - src <= 16; - when "101100" => - dest <= 41; - src <= 36; - when "101101" => - dest <= 21; - src <= 13; - when "101110" => - dest <= 7; - src <= 19; - when "101111" => - dest <= 29; - src <= 21; - when "110000" => - dest <= 21; - src <= 25; - when "110001" => - dest <= 34; - src <= 8; - when "110010" => - dest <= 35; - src <= 35; - when "110011" => - dest <= 21; - src <= 20; - when "110100" => - dest <= 14; - src <= 21; - when "110101" => - dest <= 22; - src <= 15; - when "110110" => - dest <= 27; - src <= 7; - when "110111" => - dest <= 21; - src <= 28; - when "111000" => - dest <= 3; - src <= 27; - when "111001" => - dest <= 46; - src <= 22; - when others => - dest <= 21; - src <= 21; - - end case; - end process; - - end generate NI_NUM21; - - NI_NUM22 : if NI_NUM = 22 generate - process(count) begin - - case count is - - when "000000" => - dest <= 27; - src <= 22; - when "000001" => - dest <= 22; - src <= 22; - when "000010" => - dest <= 5; - src <= 22; - when "000011" => - dest <= 43; - src <= 22; - when "000100" => - dest <= 46; - src <= 24; - when "000101" => - dest <= 22; - src <= 22; - when "000110" => - dest <= 11; - src <= 47; - when "000111" => - dest <= 22; - src <= 4; - when "001000" => - dest <= 3; - src <= 1; - when "001001" => - dest <= 26; - src <= 22; - when "001010" => - dest <= 40; - src <= 46; - when "001011" => - dest <= 1; - src <= 22; - when "001100" => - dest <= 48; - src <= 5; - when "001101" => - dest <= 45; - src <= 40; - when "001110" => - dest <= 16; - src <= 25; - when "001111" => - dest <= 12; - src <= 48; - when "010000" => - dest <= 39; - src <= 43; - when "010001" => - dest <= 6; - src <= 11; - when "010010" => - dest <= 29; - src <= 28; - when "010011" => - dest <= 38; - src <= 3; - when "010100" => - dest <= 18; - src <= 6; - when "010101" => - dest <= 19; - src <= 15; - when "010110" => - dest <= 10; - src <= 39; - when "010111" => - dest <= 22; - src <= 12; - when "011000" => - dest <= 33; - src <= 45; - when "011001" => - dest <= 2; - src <= 13; - when "011010" => - dest <= 41; - src <= 33; - when "011011" => - dest <= 44; - src <= 32; - when "011100" => - dest <= 0; - src <= 41; - when "011101" => - dest <= 32; - src <= 22; - when "011110" => - dest <= 22; - src <= 18; - when "011111" => - dest <= 13; - src <= 42; - when "100000" => - dest <= 24; - src <= 10; - when "100001" => - dest <= 42; - src <= 0; - when "100010" => - dest <= 14; - src <= 44; - when "100011" => - dest <= 17; - src <= 19; - when "100100" => - dest <= 20; - src <= 27; - when "100101" => - dest <= 9; - src <= 38; - when "100110" => - dest <= 34; - src <= 30; - when "100111" => - dest <= 7; - src <= 2; - when "101000" => - dest <= 37; - src <= 34; - when "101001" => - dest <= 31; - src <= 31; - when "101010" => - dest <= 22; - src <= 35; - when "101011" => - dest <= 25; - src <= 17; - when "101100" => - dest <= 35; - src <= 37; - when "101101" => - dest <= 22; - src <= 7; - when "101110" => - dest <= 8; - src <= 20; - when "101111" => - dest <= 30; - src <= 22; - when "110000" => - dest <= 22; - src <= 26; - when "110001" => - dest <= 28; - src <= 9; - when "110010" => - dest <= 36; - src <= 36; - when "110011" => - dest <= 22; - src <= 14; - when "110100" => - dest <= 15; - src <= 22; - when "110101" => - dest <= 23; - src <= 16; - when "110110" => - dest <= 21; - src <= 8; - when "110111" => - dest <= 22; - src <= 29; - when "111000" => - dest <= 4; - src <= 21; - when "111001" => - dest <= 47; - src <= 23; - when others => - dest <= 22; - src <= 22; - - end case; - end process; - - end generate NI_NUM22; - - NI_NUM23 : if NI_NUM = 23 generate - process(count) begin - - case count is - - when "000000" => - dest <= 21; - src <= 23; - when "000001" => - dest <= 23; - src <= 23; - when "000010" => - dest <= 6; - src <= 23; - when "000011" => - dest <= 44; - src <= 23; - when "000100" => - dest <= 47; - src <= 25; - when "000101" => - dest <= 23; - src <= 23; - when "000110" => - dest <= 12; - src <= 48; - when "000111" => - dest <= 23; - src <= 5; - when "001000" => - dest <= 4; - src <= 2; - when "001001" => - dest <= 27; - src <= 23; - when "001010" => - dest <= 41; - src <= 47; - when "001011" => - dest <= 2; - src <= 23; - when "001100" => - dest <= 42; - src <= 6; - when "001101" => - dest <= 46; - src <= 41; - when "001110" => - dest <= 17; - src <= 26; - when "001111" => - dest <= 13; - src <= 42; - when "010000" => - dest <= 40; - src <= 44; - when "010001" => - dest <= 0; - src <= 12; - when "010010" => - dest <= 30; - src <= 29; - when "010011" => - dest <= 39; - src <= 4; - when "010100" => - dest <= 19; - src <= 0; - when "010101" => - dest <= 20; - src <= 16; - when "010110" => - dest <= 11; - src <= 40; - when "010111" => - dest <= 23; - src <= 13; - when "011000" => - dest <= 34; - src <= 46; - when "011001" => - dest <= 3; - src <= 7; - when "011010" => - dest <= 35; - src <= 34; - when "011011" => - dest <= 45; - src <= 33; - when "011100" => - dest <= 1; - src <= 35; - when "011101" => - dest <= 33; - src <= 23; - when "011110" => - dest <= 23; - src <= 19; - when "011111" => - dest <= 7; - src <= 43; - when "100000" => - dest <= 25; - src <= 11; - when "100001" => - dest <= 43; - src <= 1; - when "100010" => - dest <= 15; - src <= 45; - when "100011" => - dest <= 18; - src <= 20; - when "100100" => - dest <= 14; - src <= 21; - when "100101" => - dest <= 10; - src <= 39; - when "100110" => - dest <= 28; - src <= 31; - when "100111" => - dest <= 8; - src <= 3; - when "101000" => - dest <= 38; - src <= 28; - when "101001" => - dest <= 32; - src <= 32; - when "101010" => - dest <= 23; - src <= 36; - when "101011" => - dest <= 26; - src <= 18; - when "101100" => - dest <= 36; - src <= 38; - when "101101" => - dest <= 23; - src <= 8; - when "101110" => - dest <= 9; - src <= 14; - when "101111" => - dest <= 31; - src <= 23; - when "110000" => - dest <= 23; - src <= 27; - when "110001" => - dest <= 29; - src <= 10; - when "110010" => - dest <= 37; - src <= 37; - when "110011" => - dest <= 23; - src <= 15; - when "110100" => - dest <= 16; - src <= 23; - when "110101" => - dest <= 24; - src <= 17; - when "110110" => - dest <= 22; - src <= 9; - when "110111" => - dest <= 23; - src <= 30; - when "111000" => - dest <= 5; - src <= 22; - when "111001" => - dest <= 48; - src <= 24; - when others => - dest <= 23; - src <= 23; - - end case; - end process; - - end generate NI_NUM23; - - NI_NUM24 : if NI_NUM = 24 generate - process(count) begin - - case count is - - when "000000" => - dest <= 22; - src <= 24; - when "000001" => - dest <= 24; - src <= 24; - when "000010" => - dest <= 0; - src <= 24; - when "000011" => - dest <= 45; - src <= 24; - when "000100" => - dest <= 48; - src <= 26; - when "000101" => - dest <= 24; - src <= 24; - when "000110" => - dest <= 13; - src <= 42; - when "000111" => - dest <= 24; - src <= 6; - when "001000" => - dest <= 5; - src <= 3; - when "001001" => - dest <= 21; - src <= 24; - when "001010" => - dest <= 35; - src <= 48; - when "001011" => - dest <= 3; - src <= 24; - when "001100" => - dest <= 43; - src <= 0; - when "001101" => - dest <= 47; - src <= 35; - when "001110" => - dest <= 18; - src <= 27; - when "001111" => - dest <= 7; - src <= 43; - when "010000" => - dest <= 41; - src <= 45; - when "010001" => - dest <= 1; - src <= 13; - when "010010" => - dest <= 31; - src <= 30; - when "010011" => - dest <= 40; - src <= 5; - when "010100" => - dest <= 20; - src <= 1; - when "010101" => - dest <= 14; - src <= 17; - when "010110" => - dest <= 12; - src <= 41; - when "010111" => - dest <= 24; - src <= 7; - when "011000" => - dest <= 28; - src <= 47; - when "011001" => - dest <= 4; - src <= 8; - when "011010" => - dest <= 36; - src <= 28; - when "011011" => - dest <= 46; - src <= 34; - when "011100" => - dest <= 2; - src <= 36; - when "011101" => - dest <= 34; - src <= 24; - when "011110" => - dest <= 24; - src <= 20; - when "011111" => - dest <= 8; - src <= 44; - when "100000" => - dest <= 26; - src <= 12; - when "100001" => - dest <= 44; - src <= 2; - when "100010" => - dest <= 16; - src <= 46; - when "100011" => - dest <= 19; - src <= 14; - when "100100" => - dest <= 15; - src <= 22; - when "100101" => - dest <= 11; - src <= 40; - when "100110" => - dest <= 29; - src <= 32; - when "100111" => - dest <= 9; - src <= 4; - when "101000" => - dest <= 39; - src <= 29; - when "101001" => - dest <= 33; - src <= 33; - when "101010" => - dest <= 24; - src <= 37; - when "101011" => - dest <= 27; - src <= 19; - when "101100" => - dest <= 37; - src <= 39; - when "101101" => - dest <= 24; - src <= 9; - when "101110" => - dest <= 10; - src <= 15; - when "101111" => - dest <= 32; - src <= 24; - when "110000" => - dest <= 24; - src <= 21; - when "110001" => - dest <= 30; - src <= 11; - when "110010" => - dest <= 38; - src <= 38; - when "110011" => - dest <= 24; - src <= 16; - when "110100" => - dest <= 17; - src <= 24; - when "110101" => - dest <= 25; - src <= 18; - when "110110" => - dest <= 23; - src <= 10; - when "110111" => - dest <= 24; - src <= 31; - when "111000" => - dest <= 6; - src <= 23; - when "111001" => - dest <= 42; - src <= 25; - when others => - dest <= 24; - src <= 24; - - end case; - end process; - - end generate NI_NUM24; - - NI_NUM25 : if NI_NUM = 25 generate - process(count) begin - - case count is - - when "000000" => - dest <= 23; - src <= 25; - when "000001" => - dest <= 25; - src <= 25; - when "000010" => - dest <= 1; - src <= 25; - when "000011" => - dest <= 46; - src <= 25; - when "000100" => - dest <= 42; - src <= 27; - when "000101" => - dest <= 25; - src <= 25; - when "000110" => - dest <= 7; - src <= 43; - when "000111" => - dest <= 25; - src <= 0; - when "001000" => - dest <= 6; - src <= 4; - when "001001" => - dest <= 22; - src <= 25; - when "001010" => - dest <= 36; - src <= 42; - when "001011" => - dest <= 4; - src <= 25; - when "001100" => - dest <= 44; - src <= 1; - when "001101" => - dest <= 48; - src <= 36; - when "001110" => - dest <= 19; - src <= 21; - when "001111" => - dest <= 8; - src <= 44; - when "010000" => - dest <= 35; - src <= 46; - when "010001" => - dest <= 2; - src <= 7; - when "010010" => - dest <= 32; - src <= 31; - when "010011" => - dest <= 41; - src <= 6; - when "010100" => - dest <= 14; - src <= 2; - when "010101" => - dest <= 15; - src <= 18; - when "010110" => - dest <= 13; - src <= 35; - when "010111" => - dest <= 25; - src <= 8; - when "011000" => - dest <= 29; - src <= 48; - when "011001" => - dest <= 5; - src <= 9; - when "011010" => - dest <= 37; - src <= 29; - when "011011" => - dest <= 47; - src <= 28; - when "011100" => - dest <= 3; - src <= 37; - when "011101" => - dest <= 28; - src <= 25; - when "011110" => - dest <= 25; - src <= 14; - when "011111" => - dest <= 9; - src <= 45; - when "100000" => - dest <= 27; - src <= 13; - when "100001" => - dest <= 45; - src <= 3; - when "100010" => - dest <= 17; - src <= 47; - when "100011" => - dest <= 20; - src <= 15; - when "100100" => - dest <= 16; - src <= 23; - when "100101" => - dest <= 12; - src <= 41; - when "100110" => - dest <= 30; - src <= 33; - when "100111" => - dest <= 10; - src <= 5; - when "101000" => - dest <= 40; - src <= 30; - when "101001" => - dest <= 34; - src <= 34; - when "101010" => - dest <= 25; - src <= 38; - when "101011" => - dest <= 21; - src <= 20; - when "101100" => - dest <= 38; - src <= 40; - when "101101" => - dest <= 25; - src <= 10; - when "101110" => - dest <= 11; - src <= 16; - when "101111" => - dest <= 33; - src <= 25; - when "110000" => - dest <= 25; - src <= 22; - when "110001" => - dest <= 31; - src <= 12; - when "110010" => - dest <= 39; - src <= 39; - when "110011" => - dest <= 25; - src <= 17; - when "110100" => - dest <= 18; - src <= 25; - when "110101" => - dest <= 26; - src <= 19; - when "110110" => - dest <= 24; - src <= 11; - when "110111" => - dest <= 25; - src <= 32; - when "111000" => - dest <= 0; - src <= 24; - when "111001" => - dest <= 43; - src <= 26; - when others => - dest <= 25; - src <= 25; - - end case; - end process; - - end generate NI_NUM25; - - NI_NUM26 : if NI_NUM = 26 generate - process(count) begin - - case count is - - when "000000" => - dest <= 24; - src <= 26; - when "000001" => - dest <= 26; - src <= 26; - when "000010" => - dest <= 2; - src <= 26; - when "000011" => - dest <= 47; - src <= 26; - when "000100" => - dest <= 43; - src <= 21; - when "000101" => - dest <= 26; - src <= 26; - when "000110" => - dest <= 8; - src <= 44; - when "000111" => - dest <= 26; - src <= 1; - when "001000" => - dest <= 0; - src <= 5; - when "001001" => - dest <= 23; - src <= 26; - when "001010" => - dest <= 37; - src <= 43; - when "001011" => - dest <= 5; - src <= 26; - when "001100" => - dest <= 45; - src <= 2; - when "001101" => - dest <= 42; - src <= 37; - when "001110" => - dest <= 20; - src <= 22; - when "001111" => - dest <= 9; - src <= 45; - when "010000" => - dest <= 36; - src <= 47; - when "010001" => - dest <= 3; - src <= 8; - when "010010" => - dest <= 33; - src <= 32; - when "010011" => - dest <= 35; - src <= 0; - when "010100" => - dest <= 15; - src <= 3; - when "010101" => - dest <= 16; - src <= 19; - when "010110" => - dest <= 7; - src <= 36; - when "010111" => - dest <= 26; - src <= 9; - when "011000" => - dest <= 30; - src <= 42; - when "011001" => - dest <= 6; - src <= 10; - when "011010" => - dest <= 38; - src <= 30; - when "011011" => - dest <= 48; - src <= 29; - when "011100" => - dest <= 4; - src <= 38; - when "011101" => - dest <= 29; - src <= 26; - when "011110" => - dest <= 26; - src <= 15; - when "011111" => - dest <= 10; - src <= 46; - when "100000" => - dest <= 21; - src <= 7; - when "100001" => - dest <= 46; - src <= 4; - when "100010" => - dest <= 18; - src <= 48; - when "100011" => - dest <= 14; - src <= 16; - when "100100" => - dest <= 17; - src <= 24; - when "100101" => - dest <= 13; - src <= 35; - when "100110" => - dest <= 31; - src <= 34; - when "100111" => - dest <= 11; - src <= 6; - when "101000" => - dest <= 41; - src <= 31; - when "101001" => - dest <= 28; - src <= 28; - when "101010" => - dest <= 26; - src <= 39; - when "101011" => - dest <= 22; - src <= 14; - when "101100" => - dest <= 39; - src <= 41; - when "101101" => - dest <= 26; - src <= 11; - when "101110" => - dest <= 12; - src <= 17; - when "101111" => - dest <= 34; - src <= 26; - when "110000" => - dest <= 26; - src <= 23; - when "110001" => - dest <= 32; - src <= 13; - when "110010" => - dest <= 40; - src <= 40; - when "110011" => - dest <= 26; - src <= 18; - when "110100" => - dest <= 19; - src <= 26; - when "110101" => - dest <= 27; - src <= 20; - when "110110" => - dest <= 25; - src <= 12; - when "110111" => - dest <= 26; - src <= 33; - when "111000" => - dest <= 1; - src <= 25; - when "111001" => - dest <= 44; - src <= 27; - when others => - dest <= 26; - src <= 26; - - end case; - end process; - - end generate NI_NUM26; - - NI_NUM27 : if NI_NUM = 27 generate - process(count) begin - - case count is - - when "000000" => - dest <= 25; - src <= 27; - when "000001" => - dest <= 27; - src <= 27; - when "000010" => - dest <= 3; - src <= 27; - when "000011" => - dest <= 48; - src <= 27; - when "000100" => - dest <= 44; - src <= 22; - when "000101" => - dest <= 27; - src <= 27; - when "000110" => - dest <= 9; - src <= 45; - when "000111" => - dest <= 27; - src <= 2; - when "001000" => - dest <= 1; - src <= 6; - when "001001" => - dest <= 24; - src <= 27; - when "001010" => - dest <= 38; - src <= 44; - when "001011" => - dest <= 6; - src <= 27; - when "001100" => - dest <= 46; - src <= 3; - when "001101" => - dest <= 43; - src <= 38; - when "001110" => - dest <= 14; - src <= 23; - when "001111" => - dest <= 10; - src <= 46; - when "010000" => - dest <= 37; - src <= 48; - when "010001" => - dest <= 4; - src <= 9; - when "010010" => - dest <= 34; - src <= 33; - when "010011" => - dest <= 36; - src <= 1; - when "010100" => - dest <= 16; - src <= 4; - when "010101" => - dest <= 17; - src <= 20; - when "010110" => - dest <= 8; - src <= 37; - when "010111" => - dest <= 27; - src <= 10; - when "011000" => - dest <= 31; - src <= 43; - when "011001" => - dest <= 0; - src <= 11; - when "011010" => - dest <= 39; - src <= 31; - when "011011" => - dest <= 42; - src <= 30; - when "011100" => - dest <= 5; - src <= 39; - when "011101" => - dest <= 30; - src <= 27; - when "011110" => - dest <= 27; - src <= 16; - when "011111" => - dest <= 11; - src <= 47; - when "100000" => - dest <= 22; - src <= 8; - when "100001" => - dest <= 47; - src <= 5; - when "100010" => - dest <= 19; - src <= 42; - when "100011" => - dest <= 15; - src <= 17; - when "100100" => - dest <= 18; - src <= 25; - when "100101" => - dest <= 7; - src <= 36; - when "100110" => - dest <= 32; - src <= 28; - when "100111" => - dest <= 12; - src <= 0; - when "101000" => - dest <= 35; - src <= 32; - when "101001" => - dest <= 29; - src <= 29; - when "101010" => - dest <= 27; - src <= 40; - when "101011" => - dest <= 23; - src <= 15; - when "101100" => - dest <= 40; - src <= 35; - when "101101" => - dest <= 27; - src <= 12; - when "101110" => - dest <= 13; - src <= 18; - when "101111" => - dest <= 28; - src <= 27; - when "110000" => - dest <= 27; - src <= 24; - when "110001" => - dest <= 33; - src <= 7; - when "110010" => - dest <= 41; - src <= 41; - when "110011" => - dest <= 27; - src <= 19; - when "110100" => - dest <= 20; - src <= 27; - when "110101" => - dest <= 21; - src <= 14; - when "110110" => - dest <= 26; - src <= 13; - when "110111" => - dest <= 27; - src <= 34; - when "111000" => - dest <= 2; - src <= 26; - when "111001" => - dest <= 45; - src <= 21; - when others => - dest <= 27; - src <= 27; - - end case; - end process; - - end generate NI_NUM27; - - NI_NUM28 : if NI_NUM = 28 generate - process(count) begin - - case count is - - when "000000" => - dest <= 33; - src <= 28; - when "000001" => - dest <= 28; - src <= 28; - when "000010" => - dest <= 11; - src <= 28; - when "000011" => - dest <= 0; - src <= 28; - when "000100" => - dest <= 3; - src <= 30; - when "000101" => - dest <= 28; - src <= 28; - when "000110" => - dest <= 17; - src <= 4; - when "000111" => - dest <= 28; - src <= 10; - when "001000" => - dest <= 9; - src <= 7; - when "001001" => - dest <= 32; - src <= 28; - when "001010" => - dest <= 46; - src <= 3; - when "001011" => - dest <= 7; - src <= 28; - when "001100" => - dest <= 5; - src <= 11; - when "001101" => - dest <= 2; - src <= 46; - when "001110" => - dest <= 22; - src <= 31; - when "001111" => - dest <= 18; - src <= 5; - when "010000" => - dest <= 45; - src <= 0; - when "010001" => - dest <= 12; - src <= 17; - when "010010" => - dest <= 35; - src <= 41; - when "010011" => - dest <= 44; - src <= 9; - when "010100" => - dest <= 24; - src <= 12; - when "010101" => - dest <= 25; - src <= 21; - when "010110" => - dest <= 16; - src <= 45; - when "010111" => - dest <= 28; - src <= 18; - when "011000" => - dest <= 39; - src <= 2; - when "011001" => - dest <= 8; - src <= 19; - when "011010" => - dest <= 47; - src <= 39; - when "011011" => - dest <= 1; - src <= 38; - when "011100" => - dest <= 13; - src <= 47; - when "011101" => - dest <= 38; - src <= 28; - when "011110" => - dest <= 28; - src <= 24; - when "011111" => - dest <= 19; - src <= 6; - when "100000" => - dest <= 30; - src <= 16; - when "100001" => - dest <= 6; - src <= 13; - when "100010" => - dest <= 27; - src <= 1; - when "100011" => - dest <= 23; - src <= 25; - when "100100" => - dest <= 26; - src <= 33; - when "100101" => - dest <= 15; - src <= 44; - when "100110" => - dest <= 40; - src <= 36; - when "100111" => - dest <= 20; - src <= 8; - when "101000" => - dest <= 43; - src <= 40; - when "101001" => - dest <= 37; - src <= 37; - when "101010" => - dest <= 28; - src <= 48; - when "101011" => - dest <= 31; - src <= 23; - when "101100" => - dest <= 48; - src <= 43; - when "101101" => - dest <= 28; - src <= 20; - when "101110" => - dest <= 14; - src <= 26; - when "101111" => - dest <= 36; - src <= 28; - when "110000" => - dest <= 28; - src <= 32; - when "110001" => - dest <= 41; - src <= 15; - when "110010" => - dest <= 42; - src <= 42; - when "110011" => - dest <= 28; - src <= 27; - when "110100" => - dest <= 21; - src <= 28; - when "110101" => - dest <= 29; - src <= 22; - when "110110" => - dest <= 34; - src <= 14; - when "110111" => - dest <= 28; - src <= 35; - when "111000" => - dest <= 10; - src <= 34; - when "111001" => - dest <= 4; - src <= 29; - when others => - dest <= 28; - src <= 28; - - end case; - end process; - - end generate NI_NUM28; - - NI_NUM29 : if NI_NUM = 29 generate - process(count) begin - - case count is - - when "000000" => - dest <= 34; - src <= 29; - when "000001" => - dest <= 29; - src <= 29; - when "000010" => - dest <= 12; - src <= 29; - when "000011" => - dest <= 1; - src <= 29; - when "000100" => - dest <= 4; - src <= 31; - when "000101" => - dest <= 29; - src <= 29; - when "000110" => - dest <= 18; - src <= 5; - when "000111" => - dest <= 29; - src <= 11; - when "001000" => - dest <= 10; - src <= 8; - when "001001" => - dest <= 33; - src <= 29; - when "001010" => - dest <= 47; - src <= 4; - when "001011" => - dest <= 8; - src <= 29; - when "001100" => - dest <= 6; - src <= 12; - when "001101" => - dest <= 3; - src <= 47; - when "001110" => - dest <= 23; - src <= 32; - when "001111" => - dest <= 19; - src <= 6; - when "010000" => - dest <= 46; - src <= 1; - when "010001" => - dest <= 13; - src <= 18; - when "010010" => - dest <= 36; - src <= 35; - when "010011" => - dest <= 45; - src <= 10; - when "010100" => - dest <= 25; - src <= 13; - when "010101" => - dest <= 26; - src <= 22; - when "010110" => - dest <= 17; - src <= 46; - when "010111" => - dest <= 29; - src <= 19; - when "011000" => - dest <= 40; - src <= 3; - when "011001" => - dest <= 9; - src <= 20; - when "011010" => - dest <= 48; - src <= 40; - when "011011" => - dest <= 2; - src <= 39; - when "011100" => - dest <= 7; - src <= 48; - when "011101" => - dest <= 39; - src <= 29; - when "011110" => - dest <= 29; - src <= 25; - when "011111" => - dest <= 20; - src <= 0; - when "100000" => - dest <= 31; - src <= 17; - when "100001" => - dest <= 0; - src <= 7; - when "100010" => - dest <= 21; - src <= 2; - when "100011" => - dest <= 24; - src <= 26; - when "100100" => - dest <= 27; - src <= 34; - when "100101" => - dest <= 16; - src <= 45; - when "100110" => - dest <= 41; - src <= 37; - when "100111" => - dest <= 14; - src <= 9; - when "101000" => - dest <= 44; - src <= 41; - when "101001" => - dest <= 38; - src <= 38; - when "101010" => - dest <= 29; - src <= 42; - when "101011" => - dest <= 32; - src <= 24; - when "101100" => - dest <= 42; - src <= 44; - when "101101" => - dest <= 29; - src <= 14; - when "101110" => - dest <= 15; - src <= 27; - when "101111" => - dest <= 37; - src <= 29; - when "110000" => - dest <= 29; - src <= 33; - when "110001" => - dest <= 35; - src <= 16; - when "110010" => - dest <= 43; - src <= 43; - when "110011" => - dest <= 29; - src <= 21; - when "110100" => - dest <= 22; - src <= 29; - when "110101" => - dest <= 30; - src <= 23; - when "110110" => - dest <= 28; - src <= 15; - when "110111" => - dest <= 29; - src <= 36; - when "111000" => - dest <= 11; - src <= 28; - when "111001" => - dest <= 5; - src <= 30; - when others => - dest <= 29; - src <= 29; - - end case; - end process; - - end generate NI_NUM29; - - NI_NUM30 : if NI_NUM = 30 generate - process(count) begin - - case count is - - when "000000" => - dest <= 28; - src <= 30; - when "000001" => - dest <= 30; - src <= 30; - when "000010" => - dest <= 13; - src <= 30; - when "000011" => - dest <= 2; - src <= 30; - when "000100" => - dest <= 5; - src <= 32; - when "000101" => - dest <= 30; - src <= 30; - when "000110" => - dest <= 19; - src <= 6; - when "000111" => - dest <= 30; - src <= 12; - when "001000" => - dest <= 11; - src <= 9; - when "001001" => - dest <= 34; - src <= 30; - when "001010" => - dest <= 48; - src <= 5; - when "001011" => - dest <= 9; - src <= 30; - when "001100" => - dest <= 0; - src <= 13; - when "001101" => - dest <= 4; - src <= 48; - when "001110" => - dest <= 24; - src <= 33; - when "001111" => - dest <= 20; - src <= 0; - when "010000" => - dest <= 47; - src <= 2; - when "010001" => - dest <= 7; - src <= 19; - when "010010" => - dest <= 37; - src <= 36; - when "010011" => - dest <= 46; - src <= 11; - when "010100" => - dest <= 26; - src <= 7; - when "010101" => - dest <= 27; - src <= 23; - when "010110" => - dest <= 18; - src <= 47; - when "010111" => - dest <= 30; - src <= 20; - when "011000" => - dest <= 41; - src <= 4; - when "011001" => - dest <= 10; - src <= 14; - when "011010" => - dest <= 42; - src <= 41; - when "011011" => - dest <= 3; - src <= 40; - when "011100" => - dest <= 8; - src <= 42; - when "011101" => - dest <= 40; - src <= 30; - when "011110" => - dest <= 30; - src <= 26; - when "011111" => - dest <= 14; - src <= 1; - when "100000" => - dest <= 32; - src <= 18; - when "100001" => - dest <= 1; - src <= 8; - when "100010" => - dest <= 22; - src <= 3; - when "100011" => - dest <= 25; - src <= 27; - when "100100" => - dest <= 21; - src <= 28; - when "100101" => - dest <= 17; - src <= 46; - when "100110" => - dest <= 35; - src <= 38; - when "100111" => - dest <= 15; - src <= 10; - when "101000" => - dest <= 45; - src <= 35; - when "101001" => - dest <= 39; - src <= 39; - when "101010" => - dest <= 30; - src <= 43; - when "101011" => - dest <= 33; - src <= 25; - when "101100" => - dest <= 43; - src <= 45; - when "101101" => - dest <= 30; - src <= 15; - when "101110" => - dest <= 16; - src <= 21; - when "101111" => - dest <= 38; - src <= 30; - when "110000" => - dest <= 30; - src <= 34; - when "110001" => - dest <= 36; - src <= 17; - when "110010" => - dest <= 44; - src <= 44; - when "110011" => - dest <= 30; - src <= 22; - when "110100" => - dest <= 23; - src <= 30; - when "110101" => - dest <= 31; - src <= 24; - when "110110" => - dest <= 29; - src <= 16; - when "110111" => - dest <= 30; - src <= 37; - when "111000" => - dest <= 12; - src <= 29; - when "111001" => - dest <= 6; - src <= 31; - when others => - dest <= 30; - src <= 30; - - end case; - end process; - - end generate NI_NUM30; - - NI_NUM31 : if NI_NUM = 31 generate - process(count) begin - - case count is - - when "000000" => - dest <= 29; - src <= 31; - when "000001" => - dest <= 31; - src <= 31; - when "000010" => - dest <= 7; - src <= 31; - when "000011" => - dest <= 3; - src <= 31; - when "000100" => - dest <= 6; - src <= 33; - when "000101" => - dest <= 31; - src <= 31; - when "000110" => - dest <= 20; - src <= 0; - when "000111" => - dest <= 31; - src <= 13; - when "001000" => - dest <= 12; - src <= 10; - when "001001" => - dest <= 28; - src <= 31; - when "001010" => - dest <= 42; - src <= 6; - when "001011" => - dest <= 10; - src <= 31; - when "001100" => - dest <= 1; - src <= 7; - when "001101" => - dest <= 5; - src <= 42; - when "001110" => - dest <= 25; - src <= 34; - when "001111" => - dest <= 14; - src <= 1; - when "010000" => - dest <= 48; - src <= 3; - when "010001" => - dest <= 8; - src <= 20; - when "010010" => - dest <= 38; - src <= 37; - when "010011" => - dest <= 47; - src <= 12; - when "010100" => - dest <= 27; - src <= 8; - when "010101" => - dest <= 21; - src <= 24; - when "010110" => - dest <= 19; - src <= 48; - when "010111" => - dest <= 31; - src <= 14; - when "011000" => - dest <= 35; - src <= 5; - when "011001" => - dest <= 11; - src <= 15; - when "011010" => - dest <= 43; - src <= 35; - when "011011" => - dest <= 4; - src <= 41; - when "011100" => - dest <= 9; - src <= 43; - when "011101" => - dest <= 41; - src <= 31; - when "011110" => - dest <= 31; - src <= 27; - when "011111" => - dest <= 15; - src <= 2; - when "100000" => - dest <= 33; - src <= 19; - when "100001" => - dest <= 2; - src <= 9; - when "100010" => - dest <= 23; - src <= 4; - when "100011" => - dest <= 26; - src <= 21; - when "100100" => - dest <= 22; - src <= 29; - when "100101" => - dest <= 18; - src <= 47; - when "100110" => - dest <= 36; - src <= 39; - when "100111" => - dest <= 16; - src <= 11; - when "101000" => - dest <= 46; - src <= 36; - when "101001" => - dest <= 40; - src <= 40; - when "101010" => - dest <= 31; - src <= 44; - when "101011" => - dest <= 34; - src <= 26; - when "101100" => - dest <= 44; - src <= 46; - when "101101" => - dest <= 31; - src <= 16; - when "101110" => - dest <= 17; - src <= 22; - when "101111" => - dest <= 39; - src <= 31; - when "110000" => - dest <= 31; - src <= 28; - when "110001" => - dest <= 37; - src <= 18; - when "110010" => - dest <= 45; - src <= 45; - when "110011" => - dest <= 31; - src <= 23; - when "110100" => - dest <= 24; - src <= 31; - when "110101" => - dest <= 32; - src <= 25; - when "110110" => - dest <= 30; - src <= 17; - when "110111" => - dest <= 31; - src <= 38; - when "111000" => - dest <= 13; - src <= 30; - when "111001" => - dest <= 0; - src <= 32; - when others => - dest <= 31; - src <= 31; - - end case; - end process; - - end generate NI_NUM31; - - NI_NUM32 : if NI_NUM = 32 generate - process(count) begin - - case count is - - when "000000" => - dest <= 30; - src <= 32; - when "000001" => - dest <= 32; - src <= 32; - when "000010" => - dest <= 8; - src <= 32; - when "000011" => - dest <= 4; - src <= 32; - when "000100" => - dest <= 0; - src <= 34; - when "000101" => - dest <= 32; - src <= 32; - when "000110" => - dest <= 14; - src <= 1; - when "000111" => - dest <= 32; - src <= 7; - when "001000" => - dest <= 13; - src <= 11; - when "001001" => - dest <= 29; - src <= 32; - when "001010" => - dest <= 43; - src <= 0; - when "001011" => - dest <= 11; - src <= 32; - when "001100" => - dest <= 2; - src <= 8; - when "001101" => - dest <= 6; - src <= 43; - when "001110" => - dest <= 26; - src <= 28; - when "001111" => - dest <= 15; - src <= 2; - when "010000" => - dest <= 42; - src <= 4; - when "010001" => - dest <= 9; - src <= 14; - when "010010" => - dest <= 39; - src <= 38; - when "010011" => - dest <= 48; - src <= 13; - when "010100" => - dest <= 21; - src <= 9; - when "010101" => - dest <= 22; - src <= 25; - when "010110" => - dest <= 20; - src <= 42; - when "010111" => - dest <= 32; - src <= 15; - when "011000" => - dest <= 36; - src <= 6; - when "011001" => - dest <= 12; - src <= 16; - when "011010" => - dest <= 44; - src <= 36; - when "011011" => - dest <= 5; - src <= 35; - when "011100" => - dest <= 10; - src <= 44; - when "011101" => - dest <= 35; - src <= 32; - when "011110" => - dest <= 32; - src <= 21; - when "011111" => - dest <= 16; - src <= 3; - when "100000" => - dest <= 34; - src <= 20; - when "100001" => - dest <= 3; - src <= 10; - when "100010" => - dest <= 24; - src <= 5; - when "100011" => - dest <= 27; - src <= 22; - when "100100" => - dest <= 23; - src <= 30; - when "100101" => - dest <= 19; - src <= 48; - when "100110" => - dest <= 37; - src <= 40; - when "100111" => - dest <= 17; - src <= 12; - when "101000" => - dest <= 47; - src <= 37; - when "101001" => - dest <= 41; - src <= 41; - when "101010" => - dest <= 32; - src <= 45; - when "101011" => - dest <= 28; - src <= 27; - when "101100" => - dest <= 45; - src <= 47; - when "101101" => - dest <= 32; - src <= 17; - when "101110" => - dest <= 18; - src <= 23; - when "101111" => - dest <= 40; - src <= 32; - when "110000" => - dest <= 32; - src <= 29; - when "110001" => - dest <= 38; - src <= 19; - when "110010" => - dest <= 46; - src <= 46; - when "110011" => - dest <= 32; - src <= 24; - when "110100" => - dest <= 25; - src <= 32; - when "110101" => - dest <= 33; - src <= 26; - when "110110" => - dest <= 31; - src <= 18; - when "110111" => - dest <= 32; - src <= 39; - when "111000" => - dest <= 7; - src <= 31; - when "111001" => - dest <= 1; - src <= 33; - when others => - dest <= 32; - src <= 32; - - end case; - end process; - - end generate NI_NUM32; - - NI_NUM33 : if NI_NUM = 33 generate - process(count) begin - - case count is - - when "000000" => - dest <= 31; - src <= 33; - when "000001" => - dest <= 33; - src <= 33; - when "000010" => - dest <= 9; - src <= 33; - when "000011" => - dest <= 5; - src <= 33; - when "000100" => - dest <= 1; - src <= 28; - when "000101" => - dest <= 33; - src <= 33; - when "000110" => - dest <= 15; - src <= 2; - when "000111" => - dest <= 33; - src <= 8; - when "001000" => - dest <= 7; - src <= 12; - when "001001" => - dest <= 30; - src <= 33; - when "001010" => - dest <= 44; - src <= 1; - when "001011" => - dest <= 12; - src <= 33; - when "001100" => - dest <= 3; - src <= 9; - when "001101" => - dest <= 0; - src <= 44; - when "001110" => - dest <= 27; - src <= 29; - when "001111" => - dest <= 16; - src <= 3; - when "010000" => - dest <= 43; - src <= 5; - when "010001" => - dest <= 10; - src <= 15; - when "010010" => - dest <= 40; - src <= 39; - when "010011" => - dest <= 42; - src <= 7; - when "010100" => - dest <= 22; - src <= 10; - when "010101" => - dest <= 23; - src <= 26; - when "010110" => - dest <= 14; - src <= 43; - when "010111" => - dest <= 33; - src <= 16; - when "011000" => - dest <= 37; - src <= 0; - when "011001" => - dest <= 13; - src <= 17; - when "011010" => - dest <= 45; - src <= 37; - when "011011" => - dest <= 6; - src <= 36; - when "011100" => - dest <= 11; - src <= 45; - when "011101" => - dest <= 36; - src <= 33; - when "011110" => - dest <= 33; - src <= 22; - when "011111" => - dest <= 17; - src <= 4; - when "100000" => - dest <= 28; - src <= 14; - when "100001" => - dest <= 4; - src <= 11; - when "100010" => - dest <= 25; - src <= 6; - when "100011" => - dest <= 21; - src <= 23; - when "100100" => - dest <= 24; - src <= 31; - when "100101" => - dest <= 20; - src <= 42; - when "100110" => - dest <= 38; - src <= 41; - when "100111" => - dest <= 18; - src <= 13; - when "101000" => - dest <= 48; - src <= 38; - when "101001" => - dest <= 35; - src <= 35; - when "101010" => - dest <= 33; - src <= 46; - when "101011" => - dest <= 29; - src <= 21; - when "101100" => - dest <= 46; - src <= 48; - when "101101" => - dest <= 33; - src <= 18; - when "101110" => - dest <= 19; - src <= 24; - when "101111" => - dest <= 41; - src <= 33; - when "110000" => - dest <= 33; - src <= 30; - when "110001" => - dest <= 39; - src <= 20; - when "110010" => - dest <= 47; - src <= 47; - when "110011" => - dest <= 33; - src <= 25; - when "110100" => - dest <= 26; - src <= 33; - when "110101" => - dest <= 34; - src <= 27; - when "110110" => - dest <= 32; - src <= 19; - when "110111" => - dest <= 33; - src <= 40; - when "111000" => - dest <= 8; - src <= 32; - when "111001" => - dest <= 2; - src <= 34; - when others => - dest <= 33; - src <= 33; - - end case; - end process; - - end generate NI_NUM33; - - NI_NUM34 : if NI_NUM = 34 generate - process(count) begin - - case count is - - when "000000" => - dest <= 32; - src <= 34; - when "000001" => - dest <= 34; - src <= 34; - when "000010" => - dest <= 10; - src <= 34; - when "000011" => - dest <= 6; - src <= 34; - when "000100" => - dest <= 2; - src <= 29; - when "000101" => - dest <= 34; - src <= 34; - when "000110" => - dest <= 16; - src <= 3; - when "000111" => - dest <= 34; - src <= 9; - when "001000" => - dest <= 8; - src <= 13; - when "001001" => - dest <= 31; - src <= 34; - when "001010" => - dest <= 45; - src <= 2; - when "001011" => - dest <= 13; - src <= 34; - when "001100" => - dest <= 4; - src <= 10; - when "001101" => - dest <= 1; - src <= 45; - when "001110" => - dest <= 21; - src <= 30; - when "001111" => - dest <= 17; - src <= 4; - when "010000" => - dest <= 44; - src <= 6; - when "010001" => - dest <= 11; - src <= 16; - when "010010" => - dest <= 41; - src <= 40; - when "010011" => - dest <= 43; - src <= 8; - when "010100" => - dest <= 23; - src <= 11; - when "010101" => - dest <= 24; - src <= 27; - when "010110" => - dest <= 15; - src <= 44; - when "010111" => - dest <= 34; - src <= 17; - when "011000" => - dest <= 38; - src <= 1; - when "011001" => - dest <= 7; - src <= 18; - when "011010" => - dest <= 46; - src <= 38; - when "011011" => - dest <= 0; - src <= 37; - when "011100" => - dest <= 12; - src <= 46; - when "011101" => - dest <= 37; - src <= 34; - when "011110" => - dest <= 34; - src <= 23; - when "011111" => - dest <= 18; - src <= 5; - when "100000" => - dest <= 29; - src <= 15; - when "100001" => - dest <= 5; - src <= 12; - when "100010" => - dest <= 26; - src <= 0; - when "100011" => - dest <= 22; - src <= 24; - when "100100" => - dest <= 25; - src <= 32; - when "100101" => - dest <= 14; - src <= 43; - when "100110" => - dest <= 39; - src <= 35; - when "100111" => - dest <= 19; - src <= 7; - when "101000" => - dest <= 42; - src <= 39; - when "101001" => - dest <= 36; - src <= 36; - when "101010" => - dest <= 34; - src <= 47; - when "101011" => - dest <= 30; - src <= 22; - when "101100" => - dest <= 47; - src <= 42; - when "101101" => - dest <= 34; - src <= 19; - when "101110" => - dest <= 20; - src <= 25; - when "101111" => - dest <= 35; - src <= 34; - when "110000" => - dest <= 34; - src <= 31; - when "110001" => - dest <= 40; - src <= 14; - when "110010" => - dest <= 48; - src <= 48; - when "110011" => - dest <= 34; - src <= 26; - when "110100" => - dest <= 27; - src <= 34; - when "110101" => - dest <= 28; - src <= 21; - when "110110" => - dest <= 33; - src <= 20; - when "110111" => - dest <= 34; - src <= 41; - when "111000" => - dest <= 9; - src <= 33; - when "111001" => - dest <= 3; - src <= 28; - when others => - dest <= 34; - src <= 34; - - end case; - end process; - - end generate NI_NUM34; - - NI_NUM35 : if NI_NUM = 35 generate - process(count) begin - - case count is - - when "000000" => - dest <= 40; - src <= 35; - when "000001" => - dest <= 35; - src <= 35; - when "000010" => - dest <= 18; - src <= 35; - when "000011" => - dest <= 7; - src <= 35; - when "000100" => - dest <= 10; - src <= 37; - when "000101" => - dest <= 35; - src <= 35; - when "000110" => - dest <= 24; - src <= 11; - when "000111" => - dest <= 35; - src <= 17; - when "001000" => - dest <= 16; - src <= 14; - when "001001" => - dest <= 39; - src <= 35; - when "001010" => - dest <= 4; - src <= 10; - when "001011" => - dest <= 14; - src <= 35; - when "001100" => - dest <= 12; - src <= 18; - when "001101" => - dest <= 9; - src <= 4; - when "001110" => - dest <= 29; - src <= 38; - when "001111" => - dest <= 25; - src <= 12; - when "010000" => - dest <= 3; - src <= 7; - when "010001" => - dest <= 19; - src <= 24; - when "010010" => - dest <= 42; - src <= 48; - when "010011" => - dest <= 2; - src <= 16; - when "010100" => - dest <= 31; - src <= 19; - when "010101" => - dest <= 32; - src <= 28; - when "010110" => - dest <= 23; - src <= 3; - when "010111" => - dest <= 35; - src <= 25; - when "011000" => - dest <= 46; - src <= 9; - when "011001" => - dest <= 15; - src <= 26; - when "011010" => - dest <= 5; - src <= 46; - when "011011" => - dest <= 8; - src <= 45; - when "011100" => - dest <= 20; - src <= 5; - when "011101" => - dest <= 45; - src <= 35; - when "011110" => - dest <= 35; - src <= 31; - when "011111" => - dest <= 26; - src <= 13; - when "100000" => - dest <= 37; - src <= 23; - when "100001" => - dest <= 13; - src <= 20; - when "100010" => - dest <= 34; - src <= 8; - when "100011" => - dest <= 30; - src <= 32; - when "100100" => - dest <= 33; - src <= 40; - when "100101" => - dest <= 22; - src <= 2; - when "100110" => - dest <= 47; - src <= 43; - when "100111" => - dest <= 27; - src <= 15; - when "101000" => - dest <= 1; - src <= 47; - when "101001" => - dest <= 44; - src <= 44; - when "101010" => - dest <= 35; - src <= 6; - when "101011" => - dest <= 38; - src <= 30; - when "101100" => - dest <= 6; - src <= 1; - when "101101" => - dest <= 35; - src <= 27; - when "101110" => - dest <= 21; - src <= 33; - when "101111" => - dest <= 43; - src <= 35; - when "110000" => - dest <= 35; - src <= 39; - when "110001" => - dest <= 48; - src <= 22; - when "110010" => - dest <= 0; - src <= 0; - when "110011" => - dest <= 35; - src <= 34; - when "110100" => - dest <= 28; - src <= 35; - when "110101" => - dest <= 36; - src <= 29; - when "110110" => - dest <= 41; - src <= 21; - when "110111" => - dest <= 35; - src <= 42; - when "111000" => - dest <= 17; - src <= 41; - when "111001" => - dest <= 11; - src <= 36; - when others => - dest <= 35; - src <= 35; - - end case; - end process; - - end generate NI_NUM35; - - NI_NUM36 : if NI_NUM = 36 generate - process(count) begin - - case count is - - when "000000" => - dest <= 41; - src <= 36; - when "000001" => - dest <= 36; - src <= 36; - when "000010" => - dest <= 19; - src <= 36; - when "000011" => - dest <= 8; - src <= 36; - when "000100" => - dest <= 11; - src <= 38; - when "000101" => - dest <= 36; - src <= 36; - when "000110" => - dest <= 25; - src <= 12; - when "000111" => - dest <= 36; - src <= 18; - when "001000" => - dest <= 17; - src <= 15; - when "001001" => - dest <= 40; - src <= 36; - when "001010" => - dest <= 5; - src <= 11; - when "001011" => - dest <= 15; - src <= 36; - when "001100" => - dest <= 13; - src <= 19; - when "001101" => - dest <= 10; - src <= 5; - when "001110" => - dest <= 30; - src <= 39; - when "001111" => - dest <= 26; - src <= 13; - when "010000" => - dest <= 4; - src <= 8; - when "010001" => - dest <= 20; - src <= 25; - when "010010" => - dest <= 43; - src <= 42; - when "010011" => - dest <= 3; - src <= 17; - when "010100" => - dest <= 32; - src <= 20; - when "010101" => - dest <= 33; - src <= 29; - when "010110" => - dest <= 24; - src <= 4; - when "010111" => - dest <= 36; - src <= 26; - when "011000" => - dest <= 47; - src <= 10; - when "011001" => - dest <= 16; - src <= 27; - when "011010" => - dest <= 6; - src <= 47; - when "011011" => - dest <= 9; - src <= 46; - when "011100" => - dest <= 14; - src <= 6; - when "011101" => - dest <= 46; - src <= 36; - when "011110" => - dest <= 36; - src <= 32; - when "011111" => - dest <= 27; - src <= 7; - when "100000" => - dest <= 38; - src <= 24; - when "100001" => - dest <= 7; - src <= 14; - when "100010" => - dest <= 28; - src <= 9; - when "100011" => - dest <= 31; - src <= 33; - when "100100" => - dest <= 34; - src <= 41; - when "100101" => - dest <= 23; - src <= 3; - when "100110" => - dest <= 48; - src <= 44; - when "100111" => - dest <= 21; - src <= 16; - when "101000" => - dest <= 2; - src <= 48; - when "101001" => - dest <= 45; - src <= 45; - when "101010" => - dest <= 36; - src <= 0; - when "101011" => - dest <= 39; - src <= 31; - when "101100" => - dest <= 0; - src <= 2; - when "101101" => - dest <= 36; - src <= 21; - when "101110" => - dest <= 22; - src <= 34; - when "101111" => - dest <= 44; - src <= 36; - when "110000" => - dest <= 36; - src <= 40; - when "110001" => - dest <= 42; - src <= 23; - when "110010" => - dest <= 1; - src <= 1; - when "110011" => - dest <= 36; - src <= 28; - when "110100" => - dest <= 29; - src <= 36; - when "110101" => - dest <= 37; - src <= 30; - when "110110" => - dest <= 35; - src <= 22; - when "110111" => - dest <= 36; - src <= 43; - when "111000" => - dest <= 18; - src <= 35; - when "111001" => - dest <= 12; - src <= 37; - when others => - dest <= 36; - src <= 36; - - end case; - end process; - - end generate NI_NUM36; - - NI_NUM37 : if NI_NUM = 37 generate - process(count) begin - - case count is - - when "000000" => - dest <= 35; - src <= 37; - when "000001" => - dest <= 37; - src <= 37; - when "000010" => - dest <= 20; - src <= 37; - when "000011" => - dest <= 9; - src <= 37; - when "000100" => - dest <= 12; - src <= 39; - when "000101" => - dest <= 37; - src <= 37; - when "000110" => - dest <= 26; - src <= 13; - when "000111" => - dest <= 37; - src <= 19; - when "001000" => - dest <= 18; - src <= 16; - when "001001" => - dest <= 41; - src <= 37; - when "001010" => - dest <= 6; - src <= 12; - when "001011" => - dest <= 16; - src <= 37; - when "001100" => - dest <= 7; - src <= 20; - when "001101" => - dest <= 11; - src <= 6; - when "001110" => - dest <= 31; - src <= 40; - when "001111" => - dest <= 27; - src <= 7; - when "010000" => - dest <= 5; - src <= 9; - when "010001" => - dest <= 14; - src <= 26; - when "010010" => - dest <= 44; - src <= 43; - when "010011" => - dest <= 4; - src <= 18; - when "010100" => - dest <= 33; - src <= 14; - when "010101" => - dest <= 34; - src <= 30; - when "010110" => - dest <= 25; - src <= 5; - when "010111" => - dest <= 37; - src <= 27; - when "011000" => - dest <= 48; - src <= 11; - when "011001" => - dest <= 17; - src <= 21; - when "011010" => - dest <= 0; - src <= 48; - when "011011" => - dest <= 10; - src <= 47; - when "011100" => - dest <= 15; - src <= 0; - when "011101" => - dest <= 47; - src <= 37; - when "011110" => - dest <= 37; - src <= 33; - when "011111" => - dest <= 21; - src <= 8; - when "100000" => - dest <= 39; - src <= 25; - when "100001" => - dest <= 8; - src <= 15; - when "100010" => - dest <= 29; - src <= 10; - when "100011" => - dest <= 32; - src <= 34; - when "100100" => - dest <= 28; - src <= 35; - when "100101" => - dest <= 24; - src <= 4; - when "100110" => - dest <= 42; - src <= 45; - when "100111" => - dest <= 22; - src <= 17; - when "101000" => - dest <= 3; - src <= 42; - when "101001" => - dest <= 46; - src <= 46; - when "101010" => - dest <= 37; - src <= 1; - when "101011" => - dest <= 40; - src <= 32; - when "101100" => - dest <= 1; - src <= 3; - when "101101" => - dest <= 37; - src <= 22; - when "101110" => - dest <= 23; - src <= 28; - when "101111" => - dest <= 45; - src <= 37; - when "110000" => - dest <= 37; - src <= 41; - when "110001" => - dest <= 43; - src <= 24; - when "110010" => - dest <= 2; - src <= 2; - when "110011" => - dest <= 37; - src <= 29; - when "110100" => - dest <= 30; - src <= 37; - when "110101" => - dest <= 38; - src <= 31; - when "110110" => - dest <= 36; - src <= 23; - when "110111" => - dest <= 37; - src <= 44; - when "111000" => - dest <= 19; - src <= 36; - when "111001" => - dest <= 13; - src <= 38; - when others => - dest <= 37; - src <= 37; - - end case; - end process; - - end generate NI_NUM37; - - NI_NUM38 : if NI_NUM = 38 generate - process(count) begin - - case count is - - when "000000" => - dest <= 36; - src <= 38; - when "000001" => - dest <= 38; - src <= 38; - when "000010" => - dest <= 14; - src <= 38; - when "000011" => - dest <= 10; - src <= 38; - when "000100" => - dest <= 13; - src <= 40; - when "000101" => - dest <= 38; - src <= 38; - when "000110" => - dest <= 27; - src <= 7; - when "000111" => - dest <= 38; - src <= 20; - when "001000" => - dest <= 19; - src <= 17; - when "001001" => - dest <= 35; - src <= 38; - when "001010" => - dest <= 0; - src <= 13; - when "001011" => - dest <= 17; - src <= 38; - when "001100" => - dest <= 8; - src <= 14; - when "001101" => - dest <= 12; - src <= 0; - when "001110" => - dest <= 32; - src <= 41; - when "001111" => - dest <= 21; - src <= 8; - when "010000" => - dest <= 6; - src <= 10; - when "010001" => - dest <= 15; - src <= 27; - when "010010" => - dest <= 45; - src <= 44; - when "010011" => - dest <= 5; - src <= 19; - when "010100" => - dest <= 34; - src <= 15; - when "010101" => - dest <= 28; - src <= 31; - when "010110" => - dest <= 26; - src <= 6; - when "010111" => - dest <= 38; - src <= 21; - when "011000" => - dest <= 42; - src <= 12; - when "011001" => - dest <= 18; - src <= 22; - when "011010" => - dest <= 1; - src <= 42; - when "011011" => - dest <= 11; - src <= 48; - when "011100" => - dest <= 16; - src <= 1; - when "011101" => - dest <= 48; - src <= 38; - when "011110" => - dest <= 38; - src <= 34; - when "011111" => - dest <= 22; - src <= 9; - when "100000" => - dest <= 40; - src <= 26; - when "100001" => - dest <= 9; - src <= 16; - when "100010" => - dest <= 30; - src <= 11; - when "100011" => - dest <= 33; - src <= 28; - when "100100" => - dest <= 29; - src <= 36; - when "100101" => - dest <= 25; - src <= 5; - when "100110" => - dest <= 43; - src <= 46; - when "100111" => - dest <= 23; - src <= 18; - when "101000" => - dest <= 4; - src <= 43; - when "101001" => - dest <= 47; - src <= 47; - when "101010" => - dest <= 38; - src <= 2; - when "101011" => - dest <= 41; - src <= 33; - when "101100" => - dest <= 2; - src <= 4; - when "101101" => - dest <= 38; - src <= 23; - when "101110" => - dest <= 24; - src <= 29; - when "101111" => - dest <= 46; - src <= 38; - when "110000" => - dest <= 38; - src <= 35; - when "110001" => - dest <= 44; - src <= 25; - when "110010" => - dest <= 3; - src <= 3; - when "110011" => - dest <= 38; - src <= 30; - when "110100" => - dest <= 31; - src <= 38; - when "110101" => - dest <= 39; - src <= 32; - when "110110" => - dest <= 37; - src <= 24; - when "110111" => - dest <= 38; - src <= 45; - when "111000" => - dest <= 20; - src <= 37; - when "111001" => - dest <= 7; - src <= 39; - when others => - dest <= 38; - src <= 38; - - end case; - end process; - - end generate NI_NUM38; - - NI_NUM39 : if NI_NUM = 39 generate - process(count) begin - - case count is - - when "000000" => - dest <= 37; - src <= 39; - when "000001" => - dest <= 39; - src <= 39; - when "000010" => - dest <= 15; - src <= 39; - when "000011" => - dest <= 11; - src <= 39; - when "000100" => - dest <= 7; - src <= 41; - when "000101" => - dest <= 39; - src <= 39; - when "000110" => - dest <= 21; - src <= 8; - when "000111" => - dest <= 39; - src <= 14; - when "001000" => - dest <= 20; - src <= 18; - when "001001" => - dest <= 36; - src <= 39; - when "001010" => - dest <= 1; - src <= 7; - when "001011" => - dest <= 18; - src <= 39; - when "001100" => - dest <= 9; - src <= 15; - when "001101" => - dest <= 13; - src <= 1; - when "001110" => - dest <= 33; - src <= 35; - when "001111" => - dest <= 22; - src <= 9; - when "010000" => - dest <= 0; - src <= 11; - when "010001" => - dest <= 16; - src <= 21; - when "010010" => - dest <= 46; - src <= 45; - when "010011" => - dest <= 6; - src <= 20; - when "010100" => - dest <= 28; - src <= 16; - when "010101" => - dest <= 29; - src <= 32; - when "010110" => - dest <= 27; - src <= 0; - when "010111" => - dest <= 39; - src <= 22; - when "011000" => - dest <= 43; - src <= 13; - when "011001" => - dest <= 19; - src <= 23; - when "011010" => - dest <= 2; - src <= 43; - when "011011" => - dest <= 12; - src <= 42; - when "011100" => - dest <= 17; - src <= 2; - when "011101" => - dest <= 42; - src <= 39; - when "011110" => - dest <= 39; - src <= 28; - when "011111" => - dest <= 23; - src <= 10; - when "100000" => - dest <= 41; - src <= 27; - when "100001" => - dest <= 10; - src <= 17; - when "100010" => - dest <= 31; - src <= 12; - when "100011" => - dest <= 34; - src <= 29; - when "100100" => - dest <= 30; - src <= 37; - when "100101" => - dest <= 26; - src <= 6; - when "100110" => - dest <= 44; - src <= 47; - when "100111" => - dest <= 24; - src <= 19; - when "101000" => - dest <= 5; - src <= 44; - when "101001" => - dest <= 48; - src <= 48; - when "101010" => - dest <= 39; - src <= 3; - when "101011" => - dest <= 35; - src <= 34; - when "101100" => - dest <= 3; - src <= 5; - when "101101" => - dest <= 39; - src <= 24; - when "101110" => - dest <= 25; - src <= 30; - when "101111" => - dest <= 47; - src <= 39; - when "110000" => - dest <= 39; - src <= 36; - when "110001" => - dest <= 45; - src <= 26; - when "110010" => - dest <= 4; - src <= 4; - when "110011" => - dest <= 39; - src <= 31; - when "110100" => - dest <= 32; - src <= 39; - when "110101" => - dest <= 40; - src <= 33; - when "110110" => - dest <= 38; - src <= 25; - when "110111" => - dest <= 39; - src <= 46; - when "111000" => - dest <= 14; - src <= 38; - when "111001" => - dest <= 8; - src <= 40; - when others => - dest <= 39; - src <= 39; - - end case; - end process; - - end generate NI_NUM39; - - NI_NUM40 : if NI_NUM = 40 generate - process(count) begin - - case count is - - when "000000" => - dest <= 38; - src <= 40; - when "000001" => - dest <= 40; - src <= 40; - when "000010" => - dest <= 16; - src <= 40; - when "000011" => - dest <= 12; - src <= 40; - when "000100" => - dest <= 8; - src <= 35; - when "000101" => - dest <= 40; - src <= 40; - when "000110" => - dest <= 22; - src <= 9; - when "000111" => - dest <= 40; - src <= 15; - when "001000" => - dest <= 14; - src <= 19; - when "001001" => - dest <= 37; - src <= 40; - when "001010" => - dest <= 2; - src <= 8; - when "001011" => - dest <= 19; - src <= 40; - when "001100" => - dest <= 10; - src <= 16; - when "001101" => - dest <= 7; - src <= 2; - when "001110" => - dest <= 34; - src <= 36; - when "001111" => - dest <= 23; - src <= 10; - when "010000" => - dest <= 1; - src <= 12; - when "010001" => - dest <= 17; - src <= 22; - when "010010" => - dest <= 47; - src <= 46; - when "010011" => - dest <= 0; - src <= 14; - when "010100" => - dest <= 29; - src <= 17; - when "010101" => - dest <= 30; - src <= 33; - when "010110" => - dest <= 21; - src <= 1; - when "010111" => - dest <= 40; - src <= 23; - when "011000" => - dest <= 44; - src <= 7; - when "011001" => - dest <= 20; - src <= 24; - when "011010" => - dest <= 3; - src <= 44; - when "011011" => - dest <= 13; - src <= 43; - when "011100" => - dest <= 18; - src <= 3; - when "011101" => - dest <= 43; - src <= 40; - when "011110" => - dest <= 40; - src <= 29; - when "011111" => - dest <= 24; - src <= 11; - when "100000" => - dest <= 35; - src <= 21; - when "100001" => - dest <= 11; - src <= 18; - when "100010" => - dest <= 32; - src <= 13; - when "100011" => - dest <= 28; - src <= 30; - when "100100" => - dest <= 31; - src <= 38; - when "100101" => - dest <= 27; - src <= 0; - when "100110" => - dest <= 45; - src <= 48; - when "100111" => - dest <= 25; - src <= 20; - when "101000" => - dest <= 6; - src <= 45; - when "101001" => - dest <= 42; - src <= 42; - when "101010" => - dest <= 40; - src <= 4; - when "101011" => - dest <= 36; - src <= 28; - when "101100" => - dest <= 4; - src <= 6; - when "101101" => - dest <= 40; - src <= 25; - when "101110" => - dest <= 26; - src <= 31; - when "101111" => - dest <= 48; - src <= 40; - when "110000" => - dest <= 40; - src <= 37; - when "110001" => - dest <= 46; - src <= 27; - when "110010" => - dest <= 5; - src <= 5; - when "110011" => - dest <= 40; - src <= 32; - when "110100" => - dest <= 33; - src <= 40; - when "110101" => - dest <= 41; - src <= 34; - when "110110" => - dest <= 39; - src <= 26; - when "110111" => - dest <= 40; - src <= 47; - when "111000" => - dest <= 15; - src <= 39; - when "111001" => - dest <= 9; - src <= 41; - when others => - dest <= 40; - src <= 40; - - end case; - end process; - - end generate NI_NUM40; - - NI_NUM41 : if NI_NUM = 41 generate - process(count) begin - - case count is - - when "000000" => - dest <= 39; - src <= 41; - when "000001" => - dest <= 41; - src <= 41; - when "000010" => - dest <= 17; - src <= 41; - when "000011" => - dest <= 13; - src <= 41; - when "000100" => - dest <= 9; - src <= 36; - when "000101" => - dest <= 41; - src <= 41; - when "000110" => - dest <= 23; - src <= 10; - when "000111" => - dest <= 41; - src <= 16; - when "001000" => - dest <= 15; - src <= 20; - when "001001" => - dest <= 38; - src <= 41; - when "001010" => - dest <= 3; - src <= 9; - when "001011" => - dest <= 20; - src <= 41; - when "001100" => - dest <= 11; - src <= 17; - when "001101" => - dest <= 8; - src <= 3; - when "001110" => - dest <= 28; - src <= 37; - when "001111" => - dest <= 24; - src <= 11; - when "010000" => - dest <= 2; - src <= 13; - when "010001" => - dest <= 18; - src <= 23; - when "010010" => - dest <= 48; - src <= 47; - when "010011" => - dest <= 1; - src <= 15; - when "010100" => - dest <= 30; - src <= 18; - when "010101" => - dest <= 31; - src <= 34; - when "010110" => - dest <= 22; - src <= 2; - when "010111" => - dest <= 41; - src <= 24; - when "011000" => - dest <= 45; - src <= 8; - when "011001" => - dest <= 14; - src <= 25; - when "011010" => - dest <= 4; - src <= 45; - when "011011" => - dest <= 7; - src <= 44; - when "011100" => - dest <= 19; - src <= 4; - when "011101" => - dest <= 44; - src <= 41; - when "011110" => - dest <= 41; - src <= 30; - when "011111" => - dest <= 25; - src <= 12; - when "100000" => - dest <= 36; - src <= 22; - when "100001" => - dest <= 12; - src <= 19; - when "100010" => - dest <= 33; - src <= 7; - when "100011" => - dest <= 29; - src <= 31; - when "100100" => - dest <= 32; - src <= 39; - when "100101" => - dest <= 21; - src <= 1; - when "100110" => - dest <= 46; - src <= 42; - when "100111" => - dest <= 26; - src <= 14; - when "101000" => - dest <= 0; - src <= 46; - when "101001" => - dest <= 43; - src <= 43; - when "101010" => - dest <= 41; - src <= 5; - when "101011" => - dest <= 37; - src <= 29; - when "101100" => - dest <= 5; - src <= 0; - when "101101" => - dest <= 41; - src <= 26; - when "101110" => - dest <= 27; - src <= 32; - when "101111" => - dest <= 42; - src <= 41; - when "110000" => - dest <= 41; - src <= 38; - when "110001" => - dest <= 47; - src <= 21; - when "110010" => - dest <= 6; - src <= 6; - when "110011" => - dest <= 41; - src <= 33; - when "110100" => - dest <= 34; - src <= 41; - when "110101" => - dest <= 35; - src <= 28; - when "110110" => - dest <= 40; - src <= 27; - when "110111" => - dest <= 41; - src <= 48; - when "111000" => - dest <= 16; - src <= 40; - when "111001" => - dest <= 10; - src <= 35; - when others => - dest <= 41; - src <= 41; - - end case; - end process; - - end generate NI_NUM41; - - NI_NUM42 : if NI_NUM = 42 generate - process(count) begin - - case count is - - when "000000" => - dest <= 47; - src <= 42; - when "000001" => - dest <= 42; - src <= 42; - when "000010" => - dest <= 25; - src <= 42; - when "000011" => - dest <= 14; - src <= 42; - when "000100" => - dest <= 17; - src <= 44; - when "000101" => - dest <= 42; - src <= 42; - when "000110" => - dest <= 31; - src <= 18; - when "000111" => - dest <= 42; - src <= 24; - when "001000" => - dest <= 23; - src <= 21; - when "001001" => - dest <= 46; - src <= 42; - when "001010" => - dest <= 11; - src <= 17; - when "001011" => - dest <= 21; - src <= 42; - when "001100" => - dest <= 19; - src <= 25; - when "001101" => - dest <= 16; - src <= 11; - when "001110" => - dest <= 36; - src <= 45; - when "001111" => - dest <= 32; - src <= 19; - when "010000" => - dest <= 10; - src <= 14; - when "010001" => - dest <= 26; - src <= 31; - when "010010" => - dest <= 0; - src <= 6; - when "010011" => - dest <= 9; - src <= 23; - when "010100" => - dest <= 38; - src <= 26; - when "010101" => - dest <= 39; - src <= 35; - when "010110" => - dest <= 30; - src <= 10; - when "010111" => - dest <= 42; - src <= 32; - when "011000" => - dest <= 4; - src <= 16; - when "011001" => - dest <= 22; - src <= 33; - when "011010" => - dest <= 12; - src <= 4; - when "011011" => - dest <= 15; - src <= 3; - when "011100" => - dest <= 27; - src <= 12; - when "011101" => - dest <= 3; - src <= 42; - when "011110" => - dest <= 42; - src <= 38; - when "011111" => - dest <= 33; - src <= 20; - when "100000" => - dest <= 44; - src <= 30; - when "100001" => - dest <= 20; - src <= 27; - when "100010" => - dest <= 41; - src <= 15; - when "100011" => - dest <= 37; - src <= 39; - when "100100" => - dest <= 40; - src <= 47; - when "100101" => - dest <= 29; - src <= 9; - when "100110" => - dest <= 5; - src <= 1; - when "100111" => - dest <= 34; - src <= 22; - when "101000" => - dest <= 8; - src <= 5; - when "101001" => - dest <= 2; - src <= 2; - when "101010" => - dest <= 42; - src <= 13; - when "101011" => - dest <= 45; - src <= 37; - when "101100" => - dest <= 13; - src <= 8; - when "101101" => - dest <= 42; - src <= 34; - when "101110" => - dest <= 28; - src <= 40; - when "101111" => - dest <= 1; - src <= 42; - when "110000" => - dest <= 42; - src <= 46; - when "110001" => - dest <= 6; - src <= 29; - when "110010" => - dest <= 7; - src <= 7; - when "110011" => - dest <= 42; - src <= 41; - when "110100" => - dest <= 35; - src <= 42; - when "110101" => - dest <= 43; - src <= 36; - when "110110" => - dest <= 48; - src <= 28; - when "110111" => - dest <= 42; - src <= 0; - when "111000" => - dest <= 24; - src <= 48; - when "111001" => - dest <= 18; - src <= 43; - when others => - dest <= 42; - src <= 42; - - end case; - end process; - - end generate NI_NUM42; - - NI_NUM43 : if NI_NUM = 43 generate - process(count) begin - - case count is - - when "000000" => - dest <= 48; - src <= 43; - when "000001" => - dest <= 43; - src <= 43; - when "000010" => - dest <= 26; - src <= 43; - when "000011" => - dest <= 15; - src <= 43; - when "000100" => - dest <= 18; - src <= 45; - when "000101" => - dest <= 43; - src <= 43; - when "000110" => - dest <= 32; - src <= 19; - when "000111" => - dest <= 43; - src <= 25; - when "001000" => - dest <= 24; - src <= 22; - when "001001" => - dest <= 47; - src <= 43; - when "001010" => - dest <= 12; - src <= 18; - when "001011" => - dest <= 22; - src <= 43; - when "001100" => - dest <= 20; - src <= 26; - when "001101" => - dest <= 17; - src <= 12; - when "001110" => - dest <= 37; - src <= 46; - when "001111" => - dest <= 33; - src <= 20; - when "010000" => - dest <= 11; - src <= 15; - when "010001" => - dest <= 27; - src <= 32; - when "010010" => - dest <= 1; - src <= 0; - when "010011" => - dest <= 10; - src <= 24; - when "010100" => - dest <= 39; - src <= 27; - when "010101" => - dest <= 40; - src <= 36; - when "010110" => - dest <= 31; - src <= 11; - when "010111" => - dest <= 43; - src <= 33; - when "011000" => - dest <= 5; - src <= 17; - when "011001" => - dest <= 23; - src <= 34; - when "011010" => - dest <= 13; - src <= 5; - when "011011" => - dest <= 16; - src <= 4; - when "011100" => - dest <= 21; - src <= 13; - when "011101" => - dest <= 4; - src <= 43; - when "011110" => - dest <= 43; - src <= 39; - when "011111" => - dest <= 34; - src <= 14; - when "100000" => - dest <= 45; - src <= 31; - when "100001" => - dest <= 14; - src <= 21; - when "100010" => - dest <= 35; - src <= 16; - when "100011" => - dest <= 38; - src <= 40; - when "100100" => - dest <= 41; - src <= 48; - when "100101" => - dest <= 30; - src <= 10; - when "100110" => - dest <= 6; - src <= 2; - when "100111" => - dest <= 28; - src <= 23; - when "101000" => - dest <= 9; - src <= 6; - when "101001" => - dest <= 3; - src <= 3; - when "101010" => - dest <= 43; - src <= 7; - when "101011" => - dest <= 46; - src <= 38; - when "101100" => - dest <= 7; - src <= 9; - when "101101" => - dest <= 43; - src <= 28; - when "101110" => - dest <= 29; - src <= 41; - when "101111" => - dest <= 2; - src <= 43; - when "110000" => - dest <= 43; - src <= 47; - when "110001" => - dest <= 0; - src <= 30; - when "110010" => - dest <= 8; - src <= 8; - when "110011" => - dest <= 43; - src <= 35; - when "110100" => - dest <= 36; - src <= 43; - when "110101" => - dest <= 44; - src <= 37; - when "110110" => - dest <= 42; - src <= 29; - when "110111" => - dest <= 43; - src <= 1; - when "111000" => - dest <= 25; - src <= 42; - when "111001" => - dest <= 19; - src <= 44; - when others => - dest <= 43; - src <= 43; - - end case; - end process; - - end generate NI_NUM43; - - NI_NUM44 : if NI_NUM = 44 generate - process(count) begin - - case count is - - when "000000" => - dest <= 42; - src <= 44; - when "000001" => - dest <= 44; - src <= 44; - when "000010" => - dest <= 27; - src <= 44; - when "000011" => - dest <= 16; - src <= 44; - when "000100" => - dest <= 19; - src <= 46; - when "000101" => - dest <= 44; - src <= 44; - when "000110" => - dest <= 33; - src <= 20; - when "000111" => - dest <= 44; - src <= 26; - when "001000" => - dest <= 25; - src <= 23; - when "001001" => - dest <= 48; - src <= 44; - when "001010" => - dest <= 13; - src <= 19; - when "001011" => - dest <= 23; - src <= 44; - when "001100" => - dest <= 14; - src <= 27; - when "001101" => - dest <= 18; - src <= 13; - when "001110" => - dest <= 38; - src <= 47; - when "001111" => - dest <= 34; - src <= 14; - when "010000" => - dest <= 12; - src <= 16; - when "010001" => - dest <= 21; - src <= 33; - when "010010" => - dest <= 2; - src <= 1; - when "010011" => - dest <= 11; - src <= 25; - when "010100" => - dest <= 40; - src <= 21; - when "010101" => - dest <= 41; - src <= 37; - when "010110" => - dest <= 32; - src <= 12; - when "010111" => - dest <= 44; - src <= 34; - when "011000" => - dest <= 6; - src <= 18; - when "011001" => - dest <= 24; - src <= 28; - when "011010" => - dest <= 7; - src <= 6; - when "011011" => - dest <= 17; - src <= 5; - when "011100" => - dest <= 22; - src <= 7; - when "011101" => - dest <= 5; - src <= 44; - when "011110" => - dest <= 44; - src <= 40; - when "011111" => - dest <= 28; - src <= 15; - when "100000" => - dest <= 46; - src <= 32; - when "100001" => - dest <= 15; - src <= 22; - when "100010" => - dest <= 36; - src <= 17; - when "100011" => - dest <= 39; - src <= 41; - when "100100" => - dest <= 35; - src <= 42; - when "100101" => - dest <= 31; - src <= 11; - when "100110" => - dest <= 0; - src <= 3; - when "100111" => - dest <= 29; - src <= 24; - when "101000" => - dest <= 10; - src <= 0; - when "101001" => - dest <= 4; - src <= 4; - when "101010" => - dest <= 44; - src <= 8; - when "101011" => - dest <= 47; - src <= 39; - when "101100" => - dest <= 8; - src <= 10; - when "101101" => - dest <= 44; - src <= 29; - when "101110" => - dest <= 30; - src <= 35; - when "101111" => - dest <= 3; - src <= 44; - when "110000" => - dest <= 44; - src <= 48; - when "110001" => - dest <= 1; - src <= 31; - when "110010" => - dest <= 9; - src <= 9; - when "110011" => - dest <= 44; - src <= 36; - when "110100" => - dest <= 37; - src <= 44; - when "110101" => - dest <= 45; - src <= 38; - when "110110" => - dest <= 43; - src <= 30; - when "110111" => - dest <= 44; - src <= 2; - when "111000" => - dest <= 26; - src <= 43; - when "111001" => - dest <= 20; - src <= 45; - when others => - dest <= 44; - src <= 44; - - end case; - end process; - - end generate NI_NUM44; - - NI_NUM45 : if NI_NUM = 45 generate - process(count) begin - - case count is - - when "000000" => - dest <= 43; - src <= 45; - when "000001" => - dest <= 45; - src <= 45; - when "000010" => - dest <= 21; - src <= 45; - when "000011" => - dest <= 17; - src <= 45; - when "000100" => - dest <= 20; - src <= 47; - when "000101" => - dest <= 45; - src <= 45; - when "000110" => - dest <= 34; - src <= 14; - when "000111" => - dest <= 45; - src <= 27; - when "001000" => - dest <= 26; - src <= 24; - when "001001" => - dest <= 42; - src <= 45; - when "001010" => - dest <= 7; - src <= 20; - when "001011" => - dest <= 24; - src <= 45; - when "001100" => - dest <= 15; - src <= 21; - when "001101" => - dest <= 19; - src <= 7; - when "001110" => - dest <= 39; - src <= 48; - when "001111" => - dest <= 28; - src <= 15; - when "010000" => - dest <= 13; - src <= 17; - when "010001" => - dest <= 22; - src <= 34; - when "010010" => - dest <= 3; - src <= 2; - when "010011" => - dest <= 12; - src <= 26; - when "010100" => - dest <= 41; - src <= 22; - when "010101" => - dest <= 35; - src <= 38; - when "010110" => - dest <= 33; - src <= 13; - when "010111" => - dest <= 45; - src <= 28; - when "011000" => - dest <= 0; - src <= 19; - when "011001" => - dest <= 25; - src <= 29; - when "011010" => - dest <= 8; - src <= 0; - when "011011" => - dest <= 18; - src <= 6; - when "011100" => - dest <= 23; - src <= 8; - when "011101" => - dest <= 6; - src <= 45; - when "011110" => - dest <= 45; - src <= 41; - when "011111" => - dest <= 29; - src <= 16; - when "100000" => - dest <= 47; - src <= 33; - when "100001" => - dest <= 16; - src <= 23; - when "100010" => - dest <= 37; - src <= 18; - when "100011" => - dest <= 40; - src <= 35; - when "100100" => - dest <= 36; - src <= 43; - when "100101" => - dest <= 32; - src <= 12; - when "100110" => - dest <= 1; - src <= 4; - when "100111" => - dest <= 30; - src <= 25; - when "101000" => - dest <= 11; - src <= 1; - when "101001" => - dest <= 5; - src <= 5; - when "101010" => - dest <= 45; - src <= 9; - when "101011" => - dest <= 48; - src <= 40; - when "101100" => - dest <= 9; - src <= 11; - when "101101" => - dest <= 45; - src <= 30; - when "101110" => - dest <= 31; - src <= 36; - when "101111" => - dest <= 4; - src <= 45; - when "110000" => - dest <= 45; - src <= 42; - when "110001" => - dest <= 2; - src <= 32; - when "110010" => - dest <= 10; - src <= 10; - when "110011" => - dest <= 45; - src <= 37; - when "110100" => - dest <= 38; - src <= 45; - when "110101" => - dest <= 46; - src <= 39; - when "110110" => - dest <= 44; - src <= 31; - when "110111" => - dest <= 45; - src <= 3; - when "111000" => - dest <= 27; - src <= 44; - when "111001" => - dest <= 14; - src <= 46; - when others => - dest <= 45; - src <= 45; - - end case; - end process; - - end generate NI_NUM45; - - NI_NUM46 : if NI_NUM = 46 generate - process(count) begin - - case count is - - when "000000" => - dest <= 44; - src <= 46; - when "000001" => - dest <= 46; - src <= 46; - when "000010" => - dest <= 22; - src <= 46; - when "000011" => - dest <= 18; - src <= 46; - when "000100" => - dest <= 14; - src <= 48; - when "000101" => - dest <= 46; - src <= 46; - when "000110" => - dest <= 28; - src <= 15; - when "000111" => - dest <= 46; - src <= 21; - when "001000" => - dest <= 27; - src <= 25; - when "001001" => - dest <= 43; - src <= 46; - when "001010" => - dest <= 8; - src <= 14; - when "001011" => - dest <= 25; - src <= 46; - when "001100" => - dest <= 16; - src <= 22; - when "001101" => - dest <= 20; - src <= 8; - when "001110" => - dest <= 40; - src <= 42; - when "001111" => - dest <= 29; - src <= 16; - when "010000" => - dest <= 7; - src <= 18; - when "010001" => - dest <= 23; - src <= 28; - when "010010" => - dest <= 4; - src <= 3; - when "010011" => - dest <= 13; - src <= 27; - when "010100" => - dest <= 35; - src <= 23; - when "010101" => - dest <= 36; - src <= 39; - when "010110" => - dest <= 34; - src <= 7; - when "010111" => - dest <= 46; - src <= 29; - when "011000" => - dest <= 1; - src <= 20; - when "011001" => - dest <= 26; - src <= 30; - when "011010" => - dest <= 9; - src <= 1; - when "011011" => - dest <= 19; - src <= 0; - when "011100" => - dest <= 24; - src <= 9; - when "011101" => - dest <= 0; - src <= 46; - when "011110" => - dest <= 46; - src <= 35; - when "011111" => - dest <= 30; - src <= 17; - when "100000" => - dest <= 48; - src <= 34; - when "100001" => - dest <= 17; - src <= 24; - when "100010" => - dest <= 38; - src <= 19; - when "100011" => - dest <= 41; - src <= 36; - when "100100" => - dest <= 37; - src <= 44; - when "100101" => - dest <= 33; - src <= 13; - when "100110" => - dest <= 2; - src <= 5; - when "100111" => - dest <= 31; - src <= 26; - when "101000" => - dest <= 12; - src <= 2; - when "101001" => - dest <= 6; - src <= 6; - when "101010" => - dest <= 46; - src <= 10; - when "101011" => - dest <= 42; - src <= 41; - when "101100" => - dest <= 10; - src <= 12; - when "101101" => - dest <= 46; - src <= 31; - when "101110" => - dest <= 32; - src <= 37; - when "101111" => - dest <= 5; - src <= 46; - when "110000" => - dest <= 46; - src <= 43; - when "110001" => - dest <= 3; - src <= 33; - when "110010" => - dest <= 11; - src <= 11; - when "110011" => - dest <= 46; - src <= 38; - when "110100" => - dest <= 39; - src <= 46; - when "110101" => - dest <= 47; - src <= 40; - when "110110" => - dest <= 45; - src <= 32; - when "110111" => - dest <= 46; - src <= 4; - when "111000" => - dest <= 21; - src <= 45; - when "111001" => - dest <= 15; - src <= 47; - when others => - dest <= 46; - src <= 46; - - end case; - end process; - - end generate NI_NUM46; - - NI_NUM47 : if NI_NUM = 47 generate - process(count) begin - - case count is - - when "000000" => - dest <= 45; - src <= 47; - when "000001" => - dest <= 47; - src <= 47; - when "000010" => - dest <= 23; - src <= 47; - when "000011" => - dest <= 19; - src <= 47; - when "000100" => - dest <= 15; - src <= 42; - when "000101" => - dest <= 47; - src <= 47; - when "000110" => - dest <= 29; - src <= 16; - when "000111" => - dest <= 47; - src <= 22; - when "001000" => - dest <= 21; - src <= 26; - when "001001" => - dest <= 44; - src <= 47; - when "001010" => - dest <= 9; - src <= 15; - when "001011" => - dest <= 26; - src <= 47; - when "001100" => - dest <= 17; - src <= 23; - when "001101" => - dest <= 14; - src <= 9; - when "001110" => - dest <= 41; - src <= 43; - when "001111" => - dest <= 30; - src <= 17; - when "010000" => - dest <= 8; - src <= 19; - when "010001" => - dest <= 24; - src <= 29; - when "010010" => - dest <= 5; - src <= 4; - when "010011" => - dest <= 7; - src <= 21; - when "010100" => - dest <= 36; - src <= 24; - when "010101" => - dest <= 37; - src <= 40; - when "010110" => - dest <= 28; - src <= 8; - when "010111" => - dest <= 47; - src <= 30; - when "011000" => - dest <= 2; - src <= 14; - when "011001" => - dest <= 27; - src <= 31; - when "011010" => - dest <= 10; - src <= 2; - when "011011" => - dest <= 20; - src <= 1; - when "011100" => - dest <= 25; - src <= 10; - when "011101" => - dest <= 1; - src <= 47; - when "011110" => - dest <= 47; - src <= 36; - when "011111" => - dest <= 31; - src <= 18; - when "100000" => - dest <= 42; - src <= 28; - when "100001" => - dest <= 18; - src <= 25; - when "100010" => - dest <= 39; - src <= 20; - when "100011" => - dest <= 35; - src <= 37; - when "100100" => - dest <= 38; - src <= 45; - when "100101" => - dest <= 34; - src <= 7; - when "100110" => - dest <= 3; - src <= 6; - when "100111" => - dest <= 32; - src <= 27; - when "101000" => - dest <= 13; - src <= 3; - when "101001" => - dest <= 0; - src <= 0; - when "101010" => - dest <= 47; - src <= 11; - when "101011" => - dest <= 43; - src <= 35; - when "101100" => - dest <= 11; - src <= 13; - when "101101" => - dest <= 47; - src <= 32; - when "101110" => - dest <= 33; - src <= 38; - when "101111" => - dest <= 6; - src <= 47; - when "110000" => - dest <= 47; - src <= 44; - when "110001" => - dest <= 4; - src <= 34; - when "110010" => - dest <= 12; - src <= 12; - when "110011" => - dest <= 47; - src <= 39; - when "110100" => - dest <= 40; - src <= 47; - when "110101" => - dest <= 48; - src <= 41; - when "110110" => - dest <= 46; - src <= 33; - when "110111" => - dest <= 47; - src <= 5; - when "111000" => - dest <= 22; - src <= 46; - when "111001" => - dest <= 16; - src <= 48; - when others => - dest <= 47; - src <= 47; - - end case; - end process; - - end generate NI_NUM47; - - NI_NUM48 : if NI_NUM = 48 generate - process(count) begin - - case count is - - when "000000" => - dest <= 46; - src <= 48; - when "000001" => - dest <= 48; - src <= 48; - when "000010" => - dest <= 24; - src <= 48; - when "000011" => - dest <= 20; - src <= 48; - when "000100" => - dest <= 16; - src <= 43; - when "000101" => - dest <= 48; - src <= 48; - when "000110" => - dest <= 30; - src <= 17; - when "000111" => - dest <= 48; - src <= 23; - when "001000" => - dest <= 22; - src <= 27; - when "001001" => - dest <= 45; - src <= 48; - when "001010" => - dest <= 10; - src <= 16; - when "001011" => - dest <= 27; - src <= 48; - when "001100" => - dest <= 18; - src <= 24; - when "001101" => - dest <= 15; - src <= 10; - when "001110" => - dest <= 35; - src <= 44; - when "001111" => - dest <= 31; - src <= 18; - when "010000" => - dest <= 9; - src <= 20; - when "010001" => - dest <= 25; - src <= 30; - when "010010" => - dest <= 6; - src <= 5; - when "010011" => - dest <= 8; - src <= 22; - when "010100" => - dest <= 37; - src <= 25; - when "010101" => - dest <= 38; - src <= 41; - when "010110" => - dest <= 29; - src <= 9; - when "010111" => - dest <= 48; - src <= 31; - when "011000" => - dest <= 3; - src <= 15; - when "011001" => - dest <= 21; - src <= 32; - when "011010" => - dest <= 11; - src <= 3; - when "011011" => - dest <= 14; - src <= 2; - when "011100" => - dest <= 26; - src <= 11; - when "011101" => - dest <= 2; - src <= 48; - when "011110" => - dest <= 48; - src <= 37; - when "011111" => - dest <= 32; - src <= 19; - when "100000" => - dest <= 43; - src <= 29; - when "100001" => - dest <= 19; - src <= 26; - when "100010" => - dest <= 40; - src <= 14; - when "100011" => - dest <= 36; - src <= 38; - when "100100" => - dest <= 39; - src <= 46; - when "100101" => - dest <= 28; - src <= 8; - when "100110" => - dest <= 4; - src <= 0; - when "100111" => - dest <= 33; - src <= 21; - when "101000" => - dest <= 7; - src <= 4; - when "101001" => - dest <= 1; - src <= 1; - when "101010" => - dest <= 48; - src <= 12; - when "101011" => - dest <= 44; - src <= 36; - when "101100" => - dest <= 12; - src <= 7; - when "101101" => - dest <= 48; - src <= 33; - when "101110" => - dest <= 34; - src <= 39; - when "101111" => - dest <= 0; - src <= 48; - when "110000" => - dest <= 48; - src <= 45; - when "110001" => - dest <= 5; - src <= 28; - when "110010" => - dest <= 13; - src <= 13; - when "110011" => - dest <= 48; - src <= 40; - when "110100" => - dest <= 41; - src <= 48; - when "110101" => - dest <= 42; - src <= 35; - when "110110" => - dest <= 47; - src <= 34; - when "110111" => - dest <= 48; - src <= 6; - when "111000" => - dest <= 23; - src <= 47; - when "111001" => - dest <= 17; - src <= 42; - when others => - dest <= 48; - src <= 48; - - end case; - end process; - - end generate NI_NUM48; - -end data; +------------------------------------------------------------- +-- ni_ST_49.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity ni_ST_49 is + generic ( + NI_NUM : natural); + port ( + count : in unsigned(5 downto 0); + dest : out integer range 0 to 48; + src : out integer range 0 to 48 + ); +end ni_ST_49; + +architecture data of ni_ST_49 is +begin -- data + + NI_NUM0 : if NI_NUM = 0 generate + process(count) begin + + case count is + + when "000000" => + dest <= 5; + src <= 0; + when "000001" => + dest <= 0; + src <= 0; + when "000010" => + dest <= 32; + src <= 0; + when "000011" => + dest <= 21; + src <= 0; + when "000100" => + dest <= 24; + src <= 2; + when "000101" => + dest <= 0; + src <= 0; + when "000110" => + dest <= 38; + src <= 25; + when "000111" => + dest <= 0; + src <= 31; + when "001000" => + dest <= 30; + src <= 28; + when "001001" => + dest <= 4; + src <= 0; + when "001010" => + dest <= 18; + src <= 24; + when "001011" => + dest <= 28; + src <= 0; + when "001100" => + dest <= 26; + src <= 32; + when "001101" => + dest <= 23; + src <= 18; + when "001110" => + dest <= 43; + src <= 3; + when "001111" => + dest <= 39; + src <= 26; + when "010000" => + dest <= 17; + src <= 21; + when "010001" => + dest <= 33; + src <= 38; + when "010010" => + dest <= 7; + src <= 13; + when "010011" => + dest <= 16; + src <= 30; + when "010100" => + dest <= 45; + src <= 33; + when "010101" => + dest <= 46; + src <= 42; + when "010110" => + dest <= 37; + src <= 17; + when "010111" => + dest <= 0; + src <= 39; + when "011000" => + dest <= 11; + src <= 23; + when "011001" => + dest <= 29; + src <= 40; + when "011010" => + dest <= 19; + src <= 11; + when "011011" => + dest <= 22; + src <= 10; + when "011100" => + dest <= 34; + src <= 19; + when "011101" => + dest <= 10; + src <= 0; + when "011110" => + dest <= 0; + src <= 45; + when "011111" => + dest <= 40; + src <= 27; + when "100000" => + dest <= 2; + src <= 37; + when "100001" => + dest <= 27; + src <= 34; + when "100010" => + dest <= 48; + src <= 22; + when "100011" => + dest <= 44; + src <= 46; + when "100100" => + dest <= 47; + src <= 5; + when "100101" => + dest <= 36; + src <= 16; + when "100110" => + dest <= 12; + src <= 8; + when "100111" => + dest <= 41; + src <= 29; + when "101000" => + dest <= 15; + src <= 12; + when "101001" => + dest <= 9; + src <= 9; + when "101010" => + dest <= 0; + src <= 20; + when "101011" => + dest <= 3; + src <= 44; + when "101100" => + dest <= 20; + src <= 15; + when "101101" => + dest <= 0; + src <= 41; + when "101110" => + dest <= 35; + src <= 47; + when "101111" => + dest <= 8; + src <= 0; + when "110000" => + dest <= 0; + src <= 4; + when "110001" => + dest <= 13; + src <= 36; + when "110010" => + dest <= 14; + src <= 14; + when "110011" => + dest <= 0; + src <= 48; + when "110100" => + dest <= 42; + src <= 0; + when "110101" => + dest <= 1; + src <= 43; + when "110110" => + dest <= 6; + src <= 35; + when "110111" => + dest <= 0; + src <= 7; + when "111000" => + dest <= 31; + src <= 6; + when "111001" => + dest <= 25; + src <= 1; + when others => + dest <= 0; + src <= 0; + + end case; + end process; + + end generate NI_NUM0; + + NI_NUM1 : if NI_NUM = 1 generate + process(count) begin + + case count is + + when "000000" => + dest <= 6; + src <= 1; + when "000001" => + dest <= 1; + src <= 1; + when "000010" => + dest <= 33; + src <= 1; + when "000011" => + dest <= 22; + src <= 1; + when "000100" => + dest <= 25; + src <= 3; + when "000101" => + dest <= 1; + src <= 1; + when "000110" => + dest <= 39; + src <= 26; + when "000111" => + dest <= 1; + src <= 32; + when "001000" => + dest <= 31; + src <= 29; + when "001001" => + dest <= 5; + src <= 1; + when "001010" => + dest <= 19; + src <= 25; + when "001011" => + dest <= 29; + src <= 1; + when "001100" => + dest <= 27; + src <= 33; + when "001101" => + dest <= 24; + src <= 19; + when "001110" => + dest <= 44; + src <= 4; + when "001111" => + dest <= 40; + src <= 27; + when "010000" => + dest <= 18; + src <= 22; + when "010001" => + dest <= 34; + src <= 39; + when "010010" => + dest <= 8; + src <= 7; + when "010011" => + dest <= 17; + src <= 31; + when "010100" => + dest <= 46; + src <= 34; + when "010101" => + dest <= 47; + src <= 43; + when "010110" => + dest <= 38; + src <= 18; + when "010111" => + dest <= 1; + src <= 40; + when "011000" => + dest <= 12; + src <= 24; + when "011001" => + dest <= 30; + src <= 41; + when "011010" => + dest <= 20; + src <= 12; + when "011011" => + dest <= 23; + src <= 11; + when "011100" => + dest <= 28; + src <= 20; + when "011101" => + dest <= 11; + src <= 1; + when "011110" => + dest <= 1; + src <= 46; + when "011111" => + dest <= 41; + src <= 21; + when "100000" => + dest <= 3; + src <= 38; + when "100001" => + dest <= 21; + src <= 28; + when "100010" => + dest <= 42; + src <= 23; + when "100011" => + dest <= 45; + src <= 47; + when "100100" => + dest <= 48; + src <= 6; + when "100101" => + dest <= 37; + src <= 17; + when "100110" => + dest <= 13; + src <= 9; + when "100111" => + dest <= 35; + src <= 30; + when "101000" => + dest <= 16; + src <= 13; + when "101001" => + dest <= 10; + src <= 10; + when "101010" => + dest <= 1; + src <= 14; + when "101011" => + dest <= 4; + src <= 45; + when "101100" => + dest <= 14; + src <= 16; + when "101101" => + dest <= 1; + src <= 35; + when "101110" => + dest <= 36; + src <= 48; + when "101111" => + dest <= 9; + src <= 1; + when "110000" => + dest <= 1; + src <= 5; + when "110001" => + dest <= 7; + src <= 37; + when "110010" => + dest <= 15; + src <= 15; + when "110011" => + dest <= 1; + src <= 42; + when "110100" => + dest <= 43; + src <= 1; + when "110101" => + dest <= 2; + src <= 44; + when "110110" => + dest <= 0; + src <= 36; + when "110111" => + dest <= 1; + src <= 8; + when "111000" => + dest <= 32; + src <= 0; + when "111001" => + dest <= 26; + src <= 2; + when others => + dest <= 1; + src <= 1; + + end case; + end process; + + end generate NI_NUM1; + + NI_NUM2 : if NI_NUM = 2 generate + process(count) begin + + case count is + + when "000000" => + dest <= 0; + src <= 2; + when "000001" => + dest <= 2; + src <= 2; + when "000010" => + dest <= 34; + src <= 2; + when "000011" => + dest <= 23; + src <= 2; + when "000100" => + dest <= 26; + src <= 4; + when "000101" => + dest <= 2; + src <= 2; + when "000110" => + dest <= 40; + src <= 27; + when "000111" => + dest <= 2; + src <= 33; + when "001000" => + dest <= 32; + src <= 30; + when "001001" => + dest <= 6; + src <= 2; + when "001010" => + dest <= 20; + src <= 26; + when "001011" => + dest <= 30; + src <= 2; + when "001100" => + dest <= 21; + src <= 34; + when "001101" => + dest <= 25; + src <= 20; + when "001110" => + dest <= 45; + src <= 5; + when "001111" => + dest <= 41; + src <= 21; + when "010000" => + dest <= 19; + src <= 23; + when "010001" => + dest <= 28; + src <= 40; + when "010010" => + dest <= 9; + src <= 8; + when "010011" => + dest <= 18; + src <= 32; + when "010100" => + dest <= 47; + src <= 28; + when "010101" => + dest <= 48; + src <= 44; + when "010110" => + dest <= 39; + src <= 19; + when "010111" => + dest <= 2; + src <= 41; + when "011000" => + dest <= 13; + src <= 25; + when "011001" => + dest <= 31; + src <= 35; + when "011010" => + dest <= 14; + src <= 13; + when "011011" => + dest <= 24; + src <= 12; + when "011100" => + dest <= 29; + src <= 14; + when "011101" => + dest <= 12; + src <= 2; + when "011110" => + dest <= 2; + src <= 47; + when "011111" => + dest <= 35; + src <= 22; + when "100000" => + dest <= 4; + src <= 39; + when "100001" => + dest <= 22; + src <= 29; + when "100010" => + dest <= 43; + src <= 24; + when "100011" => + dest <= 46; + src <= 48; + when "100100" => + dest <= 42; + src <= 0; + when "100101" => + dest <= 38; + src <= 18; + when "100110" => + dest <= 7; + src <= 10; + when "100111" => + dest <= 36; + src <= 31; + when "101000" => + dest <= 17; + src <= 7; + when "101001" => + dest <= 11; + src <= 11; + when "101010" => + dest <= 2; + src <= 15; + when "101011" => + dest <= 5; + src <= 46; + when "101100" => + dest <= 15; + src <= 17; + when "101101" => + dest <= 2; + src <= 36; + when "101110" => + dest <= 37; + src <= 42; + when "101111" => + dest <= 10; + src <= 2; + when "110000" => + dest <= 2; + src <= 6; + when "110001" => + dest <= 8; + src <= 38; + when "110010" => + dest <= 16; + src <= 16; + when "110011" => + dest <= 2; + src <= 43; + when "110100" => + dest <= 44; + src <= 2; + when "110101" => + dest <= 3; + src <= 45; + when "110110" => + dest <= 1; + src <= 37; + when "110111" => + dest <= 2; + src <= 9; + when "111000" => + dest <= 33; + src <= 1; + when "111001" => + dest <= 27; + src <= 3; + when others => + dest <= 2; + src <= 2; + + end case; + end process; + + end generate NI_NUM2; + + NI_NUM3 : if NI_NUM = 3 generate + process(count) begin + + case count is + + when "000000" => + dest <= 1; + src <= 3; + when "000001" => + dest <= 3; + src <= 3; + when "000010" => + dest <= 28; + src <= 3; + when "000011" => + dest <= 24; + src <= 3; + when "000100" => + dest <= 27; + src <= 5; + when "000101" => + dest <= 3; + src <= 3; + when "000110" => + dest <= 41; + src <= 21; + when "000111" => + dest <= 3; + src <= 34; + when "001000" => + dest <= 33; + src <= 31; + when "001001" => + dest <= 0; + src <= 3; + when "001010" => + dest <= 14; + src <= 27; + when "001011" => + dest <= 31; + src <= 3; + when "001100" => + dest <= 22; + src <= 28; + when "001101" => + dest <= 26; + src <= 14; + when "001110" => + dest <= 46; + src <= 6; + when "001111" => + dest <= 35; + src <= 22; + when "010000" => + dest <= 20; + src <= 24; + when "010001" => + dest <= 29; + src <= 41; + when "010010" => + dest <= 10; + src <= 9; + when "010011" => + dest <= 19; + src <= 33; + when "010100" => + dest <= 48; + src <= 29; + when "010101" => + dest <= 42; + src <= 45; + when "010110" => + dest <= 40; + src <= 20; + when "010111" => + dest <= 3; + src <= 35; + when "011000" => + dest <= 7; + src <= 26; + when "011001" => + dest <= 32; + src <= 36; + when "011010" => + dest <= 15; + src <= 7; + when "011011" => + dest <= 25; + src <= 13; + when "011100" => + dest <= 30; + src <= 15; + when "011101" => + dest <= 13; + src <= 3; + when "011110" => + dest <= 3; + src <= 48; + when "011111" => + dest <= 36; + src <= 23; + when "100000" => + dest <= 5; + src <= 40; + when "100001" => + dest <= 23; + src <= 30; + when "100010" => + dest <= 44; + src <= 25; + when "100011" => + dest <= 47; + src <= 42; + when "100100" => + dest <= 43; + src <= 1; + when "100101" => + dest <= 39; + src <= 19; + when "100110" => + dest <= 8; + src <= 11; + when "100111" => + dest <= 37; + src <= 32; + when "101000" => + dest <= 18; + src <= 8; + when "101001" => + dest <= 12; + src <= 12; + when "101010" => + dest <= 3; + src <= 16; + when "101011" => + dest <= 6; + src <= 47; + when "101100" => + dest <= 16; + src <= 18; + when "101101" => + dest <= 3; + src <= 37; + when "101110" => + dest <= 38; + src <= 43; + when "101111" => + dest <= 11; + src <= 3; + when "110000" => + dest <= 3; + src <= 0; + when "110001" => + dest <= 9; + src <= 39; + when "110010" => + dest <= 17; + src <= 17; + when "110011" => + dest <= 3; + src <= 44; + when "110100" => + dest <= 45; + src <= 3; + when "110101" => + dest <= 4; + src <= 46; + when "110110" => + dest <= 2; + src <= 38; + when "110111" => + dest <= 3; + src <= 10; + when "111000" => + dest <= 34; + src <= 2; + when "111001" => + dest <= 21; + src <= 4; + when others => + dest <= 3; + src <= 3; + + end case; + end process; + + end generate NI_NUM3; + + NI_NUM4 : if NI_NUM = 4 generate + process(count) begin + + case count is + + when "000000" => + dest <= 2; + src <= 4; + when "000001" => + dest <= 4; + src <= 4; + when "000010" => + dest <= 29; + src <= 4; + when "000011" => + dest <= 25; + src <= 4; + when "000100" => + dest <= 21; + src <= 6; + when "000101" => + dest <= 4; + src <= 4; + when "000110" => + dest <= 35; + src <= 22; + when "000111" => + dest <= 4; + src <= 28; + when "001000" => + dest <= 34; + src <= 32; + when "001001" => + dest <= 1; + src <= 4; + when "001010" => + dest <= 15; + src <= 21; + when "001011" => + dest <= 32; + src <= 4; + when "001100" => + dest <= 23; + src <= 29; + when "001101" => + dest <= 27; + src <= 15; + when "001110" => + dest <= 47; + src <= 0; + when "001111" => + dest <= 36; + src <= 23; + when "010000" => + dest <= 14; + src <= 25; + when "010001" => + dest <= 30; + src <= 35; + when "010010" => + dest <= 11; + src <= 10; + when "010011" => + dest <= 20; + src <= 34; + when "010100" => + dest <= 42; + src <= 30; + when "010101" => + dest <= 43; + src <= 46; + when "010110" => + dest <= 41; + src <= 14; + when "010111" => + dest <= 4; + src <= 36; + when "011000" => + dest <= 8; + src <= 27; + when "011001" => + dest <= 33; + src <= 37; + when "011010" => + dest <= 16; + src <= 8; + when "011011" => + dest <= 26; + src <= 7; + when "011100" => + dest <= 31; + src <= 16; + when "011101" => + dest <= 7; + src <= 4; + when "011110" => + dest <= 4; + src <= 42; + when "011111" => + dest <= 37; + src <= 24; + when "100000" => + dest <= 6; + src <= 41; + when "100001" => + dest <= 24; + src <= 31; + when "100010" => + dest <= 45; + src <= 26; + when "100011" => + dest <= 48; + src <= 43; + when "100100" => + dest <= 44; + src <= 2; + when "100101" => + dest <= 40; + src <= 20; + when "100110" => + dest <= 9; + src <= 12; + when "100111" => + dest <= 38; + src <= 33; + when "101000" => + dest <= 19; + src <= 9; + when "101001" => + dest <= 13; + src <= 13; + when "101010" => + dest <= 4; + src <= 17; + when "101011" => + dest <= 0; + src <= 48; + when "101100" => + dest <= 17; + src <= 19; + when "101101" => + dest <= 4; + src <= 38; + when "101110" => + dest <= 39; + src <= 44; + when "101111" => + dest <= 12; + src <= 4; + when "110000" => + dest <= 4; + src <= 1; + when "110001" => + dest <= 10; + src <= 40; + when "110010" => + dest <= 18; + src <= 18; + when "110011" => + dest <= 4; + src <= 45; + when "110100" => + dest <= 46; + src <= 4; + when "110101" => + dest <= 5; + src <= 47; + when "110110" => + dest <= 3; + src <= 39; + when "110111" => + dest <= 4; + src <= 11; + when "111000" => + dest <= 28; + src <= 3; + when "111001" => + dest <= 22; + src <= 5; + when others => + dest <= 4; + src <= 4; + + end case; + end process; + + end generate NI_NUM4; + + NI_NUM5 : if NI_NUM = 5 generate + process(count) begin + + case count is + + when "000000" => + dest <= 3; + src <= 5; + when "000001" => + dest <= 5; + src <= 5; + when "000010" => + dest <= 30; + src <= 5; + when "000011" => + dest <= 26; + src <= 5; + when "000100" => + dest <= 22; + src <= 0; + when "000101" => + dest <= 5; + src <= 5; + when "000110" => + dest <= 36; + src <= 23; + when "000111" => + dest <= 5; + src <= 29; + when "001000" => + dest <= 28; + src <= 33; + when "001001" => + dest <= 2; + src <= 5; + when "001010" => + dest <= 16; + src <= 22; + when "001011" => + dest <= 33; + src <= 5; + when "001100" => + dest <= 24; + src <= 30; + when "001101" => + dest <= 21; + src <= 16; + when "001110" => + dest <= 48; + src <= 1; + when "001111" => + dest <= 37; + src <= 24; + when "010000" => + dest <= 15; + src <= 26; + when "010001" => + dest <= 31; + src <= 36; + when "010010" => + dest <= 12; + src <= 11; + when "010011" => + dest <= 14; + src <= 28; + when "010100" => + dest <= 43; + src <= 31; + when "010101" => + dest <= 44; + src <= 47; + when "010110" => + dest <= 35; + src <= 15; + when "010111" => + dest <= 5; + src <= 37; + when "011000" => + dest <= 9; + src <= 21; + when "011001" => + dest <= 34; + src <= 38; + when "011010" => + dest <= 17; + src <= 9; + when "011011" => + dest <= 27; + src <= 8; + when "011100" => + dest <= 32; + src <= 17; + when "011101" => + dest <= 8; + src <= 5; + when "011110" => + dest <= 5; + src <= 43; + when "011111" => + dest <= 38; + src <= 25; + when "100000" => + dest <= 0; + src <= 35; + when "100001" => + dest <= 25; + src <= 32; + when "100010" => + dest <= 46; + src <= 27; + when "100011" => + dest <= 42; + src <= 44; + when "100100" => + dest <= 45; + src <= 3; + when "100101" => + dest <= 41; + src <= 14; + when "100110" => + dest <= 10; + src <= 13; + when "100111" => + dest <= 39; + src <= 34; + when "101000" => + dest <= 20; + src <= 10; + when "101001" => + dest <= 7; + src <= 7; + when "101010" => + dest <= 5; + src <= 18; + when "101011" => + dest <= 1; + src <= 42; + when "101100" => + dest <= 18; + src <= 20; + when "101101" => + dest <= 5; + src <= 39; + when "101110" => + dest <= 40; + src <= 45; + when "101111" => + dest <= 13; + src <= 5; + when "110000" => + dest <= 5; + src <= 2; + when "110001" => + dest <= 11; + src <= 41; + when "110010" => + dest <= 19; + src <= 19; + when "110011" => + dest <= 5; + src <= 46; + when "110100" => + dest <= 47; + src <= 5; + when "110101" => + dest <= 6; + src <= 48; + when "110110" => + dest <= 4; + src <= 40; + when "110111" => + dest <= 5; + src <= 12; + when "111000" => + dest <= 29; + src <= 4; + when "111001" => + dest <= 23; + src <= 6; + when others => + dest <= 5; + src <= 5; + + end case; + end process; + + end generate NI_NUM5; + + NI_NUM6 : if NI_NUM = 6 generate + process(count) begin + + case count is + + when "000000" => + dest <= 4; + src <= 6; + when "000001" => + dest <= 6; + src <= 6; + when "000010" => + dest <= 31; + src <= 6; + when "000011" => + dest <= 27; + src <= 6; + when "000100" => + dest <= 23; + src <= 1; + when "000101" => + dest <= 6; + src <= 6; + when "000110" => + dest <= 37; + src <= 24; + when "000111" => + dest <= 6; + src <= 30; + when "001000" => + dest <= 29; + src <= 34; + when "001001" => + dest <= 3; + src <= 6; + when "001010" => + dest <= 17; + src <= 23; + when "001011" => + dest <= 34; + src <= 6; + when "001100" => + dest <= 25; + src <= 31; + when "001101" => + dest <= 22; + src <= 17; + when "001110" => + dest <= 42; + src <= 2; + when "001111" => + dest <= 38; + src <= 25; + when "010000" => + dest <= 16; + src <= 27; + when "010001" => + dest <= 32; + src <= 37; + when "010010" => + dest <= 13; + src <= 12; + when "010011" => + dest <= 15; + src <= 29; + when "010100" => + dest <= 44; + src <= 32; + when "010101" => + dest <= 45; + src <= 48; + when "010110" => + dest <= 36; + src <= 16; + when "010111" => + dest <= 6; + src <= 38; + when "011000" => + dest <= 10; + src <= 22; + when "011001" => + dest <= 28; + src <= 39; + when "011010" => + dest <= 18; + src <= 10; + when "011011" => + dest <= 21; + src <= 9; + when "011100" => + dest <= 33; + src <= 18; + when "011101" => + dest <= 9; + src <= 6; + when "011110" => + dest <= 6; + src <= 44; + when "011111" => + dest <= 39; + src <= 26; + when "100000" => + dest <= 1; + src <= 36; + when "100001" => + dest <= 26; + src <= 33; + when "100010" => + dest <= 47; + src <= 21; + when "100011" => + dest <= 43; + src <= 45; + when "100100" => + dest <= 46; + src <= 4; + when "100101" => + dest <= 35; + src <= 15; + when "100110" => + dest <= 11; + src <= 7; + when "100111" => + dest <= 40; + src <= 28; + when "101000" => + dest <= 14; + src <= 11; + when "101001" => + dest <= 8; + src <= 8; + when "101010" => + dest <= 6; + src <= 19; + when "101011" => + dest <= 2; + src <= 43; + when "101100" => + dest <= 19; + src <= 14; + when "101101" => + dest <= 6; + src <= 40; + when "101110" => + dest <= 41; + src <= 46; + when "101111" => + dest <= 7; + src <= 6; + when "110000" => + dest <= 6; + src <= 3; + when "110001" => + dest <= 12; + src <= 35; + when "110010" => + dest <= 20; + src <= 20; + when "110011" => + dest <= 6; + src <= 47; + when "110100" => + dest <= 48; + src <= 6; + when "110101" => + dest <= 0; + src <= 42; + when "110110" => + dest <= 5; + src <= 41; + when "110111" => + dest <= 6; + src <= 13; + when "111000" => + dest <= 30; + src <= 5; + when "111001" => + dest <= 24; + src <= 0; + when others => + dest <= 6; + src <= 6; + + end case; + end process; + + end generate NI_NUM6; + + NI_NUM7 : if NI_NUM = 7 generate + process(count) begin + + case count is + + when "000000" => + dest <= 12; + src <= 7; + when "000001" => + dest <= 7; + src <= 7; + when "000010" => + dest <= 39; + src <= 7; + when "000011" => + dest <= 28; + src <= 7; + when "000100" => + dest <= 31; + src <= 9; + when "000101" => + dest <= 7; + src <= 7; + when "000110" => + dest <= 45; + src <= 32; + when "000111" => + dest <= 7; + src <= 38; + when "001000" => + dest <= 37; + src <= 35; + when "001001" => + dest <= 11; + src <= 7; + when "001010" => + dest <= 25; + src <= 31; + when "001011" => + dest <= 35; + src <= 7; + when "001100" => + dest <= 33; + src <= 39; + when "001101" => + dest <= 30; + src <= 25; + when "001110" => + dest <= 1; + src <= 10; + when "001111" => + dest <= 46; + src <= 33; + when "010000" => + dest <= 24; + src <= 28; + when "010001" => + dest <= 40; + src <= 45; + when "010010" => + dest <= 14; + src <= 20; + when "010011" => + dest <= 23; + src <= 37; + when "010100" => + dest <= 3; + src <= 40; + when "010101" => + dest <= 4; + src <= 0; + when "010110" => + dest <= 44; + src <= 24; + when "010111" => + dest <= 7; + src <= 46; + when "011000" => + dest <= 18; + src <= 30; + when "011001" => + dest <= 36; + src <= 47; + when "011010" => + dest <= 26; + src <= 18; + when "011011" => + dest <= 29; + src <= 17; + when "011100" => + dest <= 41; + src <= 26; + when "011101" => + dest <= 17; + src <= 7; + when "011110" => + dest <= 7; + src <= 3; + when "011111" => + dest <= 47; + src <= 34; + when "100000" => + dest <= 9; + src <= 44; + when "100001" => + dest <= 34; + src <= 41; + when "100010" => + dest <= 6; + src <= 29; + when "100011" => + dest <= 2; + src <= 4; + when "100100" => + dest <= 5; + src <= 12; + when "100101" => + dest <= 43; + src <= 23; + when "100110" => + dest <= 19; + src <= 15; + when "100111" => + dest <= 48; + src <= 36; + when "101000" => + dest <= 22; + src <= 19; + when "101001" => + dest <= 16; + src <= 16; + when "101010" => + dest <= 7; + src <= 27; + when "101011" => + dest <= 10; + src <= 2; + when "101100" => + dest <= 27; + src <= 22; + when "101101" => + dest <= 7; + src <= 48; + when "101110" => + dest <= 42; + src <= 5; + when "101111" => + dest <= 15; + src <= 7; + when "110000" => + dest <= 7; + src <= 11; + when "110001" => + dest <= 20; + src <= 43; + when "110010" => + dest <= 21; + src <= 21; + when "110011" => + dest <= 7; + src <= 6; + when "110100" => + dest <= 0; + src <= 7; + when "110101" => + dest <= 8; + src <= 1; + when "110110" => + dest <= 13; + src <= 42; + when "110111" => + dest <= 7; + src <= 14; + when "111000" => + dest <= 38; + src <= 13; + when "111001" => + dest <= 32; + src <= 8; + when others => + dest <= 7; + src <= 7; + + end case; + end process; + + end generate NI_NUM7; + + NI_NUM8 : if NI_NUM = 8 generate + process(count) begin + + case count is + + when "000000" => + dest <= 13; + src <= 8; + when "000001" => + dest <= 8; + src <= 8; + when "000010" => + dest <= 40; + src <= 8; + when "000011" => + dest <= 29; + src <= 8; + when "000100" => + dest <= 32; + src <= 10; + when "000101" => + dest <= 8; + src <= 8; + when "000110" => + dest <= 46; + src <= 33; + when "000111" => + dest <= 8; + src <= 39; + when "001000" => + dest <= 38; + src <= 36; + when "001001" => + dest <= 12; + src <= 8; + when "001010" => + dest <= 26; + src <= 32; + when "001011" => + dest <= 36; + src <= 8; + when "001100" => + dest <= 34; + src <= 40; + when "001101" => + dest <= 31; + src <= 26; + when "001110" => + dest <= 2; + src <= 11; + when "001111" => + dest <= 47; + src <= 34; + when "010000" => + dest <= 25; + src <= 29; + when "010001" => + dest <= 41; + src <= 46; + when "010010" => + dest <= 15; + src <= 14; + when "010011" => + dest <= 24; + src <= 38; + when "010100" => + dest <= 4; + src <= 41; + when "010101" => + dest <= 5; + src <= 1; + when "010110" => + dest <= 45; + src <= 25; + when "010111" => + dest <= 8; + src <= 47; + when "011000" => + dest <= 19; + src <= 31; + when "011001" => + dest <= 37; + src <= 48; + when "011010" => + dest <= 27; + src <= 19; + when "011011" => + dest <= 30; + src <= 18; + when "011100" => + dest <= 35; + src <= 27; + when "011101" => + dest <= 18; + src <= 8; + when "011110" => + dest <= 8; + src <= 4; + when "011111" => + dest <= 48; + src <= 28; + when "100000" => + dest <= 10; + src <= 45; + when "100001" => + dest <= 28; + src <= 35; + when "100010" => + dest <= 0; + src <= 30; + when "100011" => + dest <= 3; + src <= 5; + when "100100" => + dest <= 6; + src <= 13; + when "100101" => + dest <= 44; + src <= 24; + when "100110" => + dest <= 20; + src <= 16; + when "100111" => + dest <= 42; + src <= 37; + when "101000" => + dest <= 23; + src <= 20; + when "101001" => + dest <= 17; + src <= 17; + when "101010" => + dest <= 8; + src <= 21; + when "101011" => + dest <= 11; + src <= 3; + when "101100" => + dest <= 21; + src <= 23; + when "101101" => + dest <= 8; + src <= 42; + when "101110" => + dest <= 43; + src <= 6; + when "101111" => + dest <= 16; + src <= 8; + when "110000" => + dest <= 8; + src <= 12; + when "110001" => + dest <= 14; + src <= 44; + when "110010" => + dest <= 22; + src <= 22; + when "110011" => + dest <= 8; + src <= 0; + when "110100" => + dest <= 1; + src <= 8; + when "110101" => + dest <= 9; + src <= 2; + when "110110" => + dest <= 7; + src <= 43; + when "110111" => + dest <= 8; + src <= 15; + when "111000" => + dest <= 39; + src <= 7; + when "111001" => + dest <= 33; + src <= 9; + when others => + dest <= 8; + src <= 8; + + end case; + end process; + + end generate NI_NUM8; + + NI_NUM9 : if NI_NUM = 9 generate + process(count) begin + + case count is + + when "000000" => + dest <= 7; + src <= 9; + when "000001" => + dest <= 9; + src <= 9; + when "000010" => + dest <= 41; + src <= 9; + when "000011" => + dest <= 30; + src <= 9; + when "000100" => + dest <= 33; + src <= 11; + when "000101" => + dest <= 9; + src <= 9; + when "000110" => + dest <= 47; + src <= 34; + when "000111" => + dest <= 9; + src <= 40; + when "001000" => + dest <= 39; + src <= 37; + when "001001" => + dest <= 13; + src <= 9; + when "001010" => + dest <= 27; + src <= 33; + when "001011" => + dest <= 37; + src <= 9; + when "001100" => + dest <= 28; + src <= 41; + when "001101" => + dest <= 32; + src <= 27; + when "001110" => + dest <= 3; + src <= 12; + when "001111" => + dest <= 48; + src <= 28; + when "010000" => + dest <= 26; + src <= 30; + when "010001" => + dest <= 35; + src <= 47; + when "010010" => + dest <= 16; + src <= 15; + when "010011" => + dest <= 25; + src <= 39; + when "010100" => + dest <= 5; + src <= 35; + when "010101" => + dest <= 6; + src <= 2; + when "010110" => + dest <= 46; + src <= 26; + when "010111" => + dest <= 9; + src <= 48; + when "011000" => + dest <= 20; + src <= 32; + when "011001" => + dest <= 38; + src <= 42; + when "011010" => + dest <= 21; + src <= 20; + when "011011" => + dest <= 31; + src <= 19; + when "011100" => + dest <= 36; + src <= 21; + when "011101" => + dest <= 19; + src <= 9; + when "011110" => + dest <= 9; + src <= 5; + when "011111" => + dest <= 42; + src <= 29; + when "100000" => + dest <= 11; + src <= 46; + when "100001" => + dest <= 29; + src <= 36; + when "100010" => + dest <= 1; + src <= 31; + when "100011" => + dest <= 4; + src <= 6; + when "100100" => + dest <= 0; + src <= 7; + when "100101" => + dest <= 45; + src <= 25; + when "100110" => + dest <= 14; + src <= 17; + when "100111" => + dest <= 43; + src <= 38; + when "101000" => + dest <= 24; + src <= 14; + when "101001" => + dest <= 18; + src <= 18; + when "101010" => + dest <= 9; + src <= 22; + when "101011" => + dest <= 12; + src <= 4; + when "101100" => + dest <= 22; + src <= 24; + when "101101" => + dest <= 9; + src <= 43; + when "101110" => + dest <= 44; + src <= 0; + when "101111" => + dest <= 17; + src <= 9; + when "110000" => + dest <= 9; + src <= 13; + when "110001" => + dest <= 15; + src <= 45; + when "110010" => + dest <= 23; + src <= 23; + when "110011" => + dest <= 9; + src <= 1; + when "110100" => + dest <= 2; + src <= 9; + when "110101" => + dest <= 10; + src <= 3; + when "110110" => + dest <= 8; + src <= 44; + when "110111" => + dest <= 9; + src <= 16; + when "111000" => + dest <= 40; + src <= 8; + when "111001" => + dest <= 34; + src <= 10; + when others => + dest <= 9; + src <= 9; + + end case; + end process; + + end generate NI_NUM9; + + NI_NUM10 : if NI_NUM = 10 generate + process(count) begin + + case count is + + when "000000" => + dest <= 8; + src <= 10; + when "000001" => + dest <= 10; + src <= 10; + when "000010" => + dest <= 35; + src <= 10; + when "000011" => + dest <= 31; + src <= 10; + when "000100" => + dest <= 34; + src <= 12; + when "000101" => + dest <= 10; + src <= 10; + when "000110" => + dest <= 48; + src <= 28; + when "000111" => + dest <= 10; + src <= 41; + when "001000" => + dest <= 40; + src <= 38; + when "001001" => + dest <= 7; + src <= 10; + when "001010" => + dest <= 21; + src <= 34; + when "001011" => + dest <= 38; + src <= 10; + when "001100" => + dest <= 29; + src <= 35; + when "001101" => + dest <= 33; + src <= 21; + when "001110" => + dest <= 4; + src <= 13; + when "001111" => + dest <= 42; + src <= 29; + when "010000" => + dest <= 27; + src <= 31; + when "010001" => + dest <= 36; + src <= 48; + when "010010" => + dest <= 17; + src <= 16; + when "010011" => + dest <= 26; + src <= 40; + when "010100" => + dest <= 6; + src <= 36; + when "010101" => + dest <= 0; + src <= 3; + when "010110" => + dest <= 47; + src <= 27; + when "010111" => + dest <= 10; + src <= 42; + when "011000" => + dest <= 14; + src <= 33; + when "011001" => + dest <= 39; + src <= 43; + when "011010" => + dest <= 22; + src <= 14; + when "011011" => + dest <= 32; + src <= 20; + when "011100" => + dest <= 37; + src <= 22; + when "011101" => + dest <= 20; + src <= 10; + when "011110" => + dest <= 10; + src <= 6; + when "011111" => + dest <= 43; + src <= 30; + when "100000" => + dest <= 12; + src <= 47; + when "100001" => + dest <= 30; + src <= 37; + when "100010" => + dest <= 2; + src <= 32; + when "100011" => + dest <= 5; + src <= 0; + when "100100" => + dest <= 1; + src <= 8; + when "100101" => + dest <= 46; + src <= 26; + when "100110" => + dest <= 15; + src <= 18; + when "100111" => + dest <= 44; + src <= 39; + when "101000" => + dest <= 25; + src <= 15; + when "101001" => + dest <= 19; + src <= 19; + when "101010" => + dest <= 10; + src <= 23; + when "101011" => + dest <= 13; + src <= 5; + when "101100" => + dest <= 23; + src <= 25; + when "101101" => + dest <= 10; + src <= 44; + when "101110" => + dest <= 45; + src <= 1; + when "101111" => + dest <= 18; + src <= 10; + when "110000" => + dest <= 10; + src <= 7; + when "110001" => + dest <= 16; + src <= 46; + when "110010" => + dest <= 24; + src <= 24; + when "110011" => + dest <= 10; + src <= 2; + when "110100" => + dest <= 3; + src <= 10; + when "110101" => + dest <= 11; + src <= 4; + when "110110" => + dest <= 9; + src <= 45; + when "110111" => + dest <= 10; + src <= 17; + when "111000" => + dest <= 41; + src <= 9; + when "111001" => + dest <= 28; + src <= 11; + when others => + dest <= 10; + src <= 10; + + end case; + end process; + + end generate NI_NUM10; + + NI_NUM11 : if NI_NUM = 11 generate + process(count) begin + + case count is + + when "000000" => + dest <= 9; + src <= 11; + when "000001" => + dest <= 11; + src <= 11; + when "000010" => + dest <= 36; + src <= 11; + when "000011" => + dest <= 32; + src <= 11; + when "000100" => + dest <= 28; + src <= 13; + when "000101" => + dest <= 11; + src <= 11; + when "000110" => + dest <= 42; + src <= 29; + when "000111" => + dest <= 11; + src <= 35; + when "001000" => + dest <= 41; + src <= 39; + when "001001" => + dest <= 8; + src <= 11; + when "001010" => + dest <= 22; + src <= 28; + when "001011" => + dest <= 39; + src <= 11; + when "001100" => + dest <= 30; + src <= 36; + when "001101" => + dest <= 34; + src <= 22; + when "001110" => + dest <= 5; + src <= 7; + when "001111" => + dest <= 43; + src <= 30; + when "010000" => + dest <= 21; + src <= 32; + when "010001" => + dest <= 37; + src <= 42; + when "010010" => + dest <= 18; + src <= 17; + when "010011" => + dest <= 27; + src <= 41; + when "010100" => + dest <= 0; + src <= 37; + when "010101" => + dest <= 1; + src <= 4; + when "010110" => + dest <= 48; + src <= 21; + when "010111" => + dest <= 11; + src <= 43; + when "011000" => + dest <= 15; + src <= 34; + when "011001" => + dest <= 40; + src <= 44; + when "011010" => + dest <= 23; + src <= 15; + when "011011" => + dest <= 33; + src <= 14; + when "011100" => + dest <= 38; + src <= 23; + when "011101" => + dest <= 14; + src <= 11; + when "011110" => + dest <= 11; + src <= 0; + when "011111" => + dest <= 44; + src <= 31; + when "100000" => + dest <= 13; + src <= 48; + when "100001" => + dest <= 31; + src <= 38; + when "100010" => + dest <= 3; + src <= 33; + when "100011" => + dest <= 6; + src <= 1; + when "100100" => + dest <= 2; + src <= 9; + when "100101" => + dest <= 47; + src <= 27; + when "100110" => + dest <= 16; + src <= 19; + when "100111" => + dest <= 45; + src <= 40; + when "101000" => + dest <= 26; + src <= 16; + when "101001" => + dest <= 20; + src <= 20; + when "101010" => + dest <= 11; + src <= 24; + when "101011" => + dest <= 7; + src <= 6; + when "101100" => + dest <= 24; + src <= 26; + when "101101" => + dest <= 11; + src <= 45; + when "101110" => + dest <= 46; + src <= 2; + when "101111" => + dest <= 19; + src <= 11; + when "110000" => + dest <= 11; + src <= 8; + when "110001" => + dest <= 17; + src <= 47; + when "110010" => + dest <= 25; + src <= 25; + when "110011" => + dest <= 11; + src <= 3; + when "110100" => + dest <= 4; + src <= 11; + when "110101" => + dest <= 12; + src <= 5; + when "110110" => + dest <= 10; + src <= 46; + when "110111" => + dest <= 11; + src <= 18; + when "111000" => + dest <= 35; + src <= 10; + when "111001" => + dest <= 29; + src <= 12; + when others => + dest <= 11; + src <= 11; + + end case; + end process; + + end generate NI_NUM11; + + NI_NUM12 : if NI_NUM = 12 generate + process(count) begin + + case count is + + when "000000" => + dest <= 10; + src <= 12; + when "000001" => + dest <= 12; + src <= 12; + when "000010" => + dest <= 37; + src <= 12; + when "000011" => + dest <= 33; + src <= 12; + when "000100" => + dest <= 29; + src <= 7; + when "000101" => + dest <= 12; + src <= 12; + when "000110" => + dest <= 43; + src <= 30; + when "000111" => + dest <= 12; + src <= 36; + when "001000" => + dest <= 35; + src <= 40; + when "001001" => + dest <= 9; + src <= 12; + when "001010" => + dest <= 23; + src <= 29; + when "001011" => + dest <= 40; + src <= 12; + when "001100" => + dest <= 31; + src <= 37; + when "001101" => + dest <= 28; + src <= 23; + when "001110" => + dest <= 6; + src <= 8; + when "001111" => + dest <= 44; + src <= 31; + when "010000" => + dest <= 22; + src <= 33; + when "010001" => + dest <= 38; + src <= 43; + when "010010" => + dest <= 19; + src <= 18; + when "010011" => + dest <= 21; + src <= 35; + when "010100" => + dest <= 1; + src <= 38; + when "010101" => + dest <= 2; + src <= 5; + when "010110" => + dest <= 42; + src <= 22; + when "010111" => + dest <= 12; + src <= 44; + when "011000" => + dest <= 16; + src <= 28; + when "011001" => + dest <= 41; + src <= 45; + when "011010" => + dest <= 24; + src <= 16; + when "011011" => + dest <= 34; + src <= 15; + when "011100" => + dest <= 39; + src <= 24; + when "011101" => + dest <= 15; + src <= 12; + when "011110" => + dest <= 12; + src <= 1; + when "011111" => + dest <= 45; + src <= 32; + when "100000" => + dest <= 7; + src <= 42; + when "100001" => + dest <= 32; + src <= 39; + when "100010" => + dest <= 4; + src <= 34; + when "100011" => + dest <= 0; + src <= 2; + when "100100" => + dest <= 3; + src <= 10; + when "100101" => + dest <= 48; + src <= 21; + when "100110" => + dest <= 17; + src <= 20; + when "100111" => + dest <= 46; + src <= 41; + when "101000" => + dest <= 27; + src <= 17; + when "101001" => + dest <= 14; + src <= 14; + when "101010" => + dest <= 12; + src <= 25; + when "101011" => + dest <= 8; + src <= 0; + when "101100" => + dest <= 25; + src <= 27; + when "101101" => + dest <= 12; + src <= 46; + when "101110" => + dest <= 47; + src <= 3; + when "101111" => + dest <= 20; + src <= 12; + when "110000" => + dest <= 12; + src <= 9; + when "110001" => + dest <= 18; + src <= 48; + when "110010" => + dest <= 26; + src <= 26; + when "110011" => + dest <= 12; + src <= 4; + when "110100" => + dest <= 5; + src <= 12; + when "110101" => + dest <= 13; + src <= 6; + when "110110" => + dest <= 11; + src <= 47; + when "110111" => + dest <= 12; + src <= 19; + when "111000" => + dest <= 36; + src <= 11; + when "111001" => + dest <= 30; + src <= 13; + when others => + dest <= 12; + src <= 12; + + end case; + end process; + + end generate NI_NUM12; + + NI_NUM13 : if NI_NUM = 13 generate + process(count) begin + + case count is + + when "000000" => + dest <= 11; + src <= 13; + when "000001" => + dest <= 13; + src <= 13; + when "000010" => + dest <= 38; + src <= 13; + when "000011" => + dest <= 34; + src <= 13; + when "000100" => + dest <= 30; + src <= 8; + when "000101" => + dest <= 13; + src <= 13; + when "000110" => + dest <= 44; + src <= 31; + when "000111" => + dest <= 13; + src <= 37; + when "001000" => + dest <= 36; + src <= 41; + when "001001" => + dest <= 10; + src <= 13; + when "001010" => + dest <= 24; + src <= 30; + when "001011" => + dest <= 41; + src <= 13; + when "001100" => + dest <= 32; + src <= 38; + when "001101" => + dest <= 29; + src <= 24; + when "001110" => + dest <= 0; + src <= 9; + when "001111" => + dest <= 45; + src <= 32; + when "010000" => + dest <= 23; + src <= 34; + when "010001" => + dest <= 39; + src <= 44; + when "010010" => + dest <= 20; + src <= 19; + when "010011" => + dest <= 22; + src <= 36; + when "010100" => + dest <= 2; + src <= 39; + when "010101" => + dest <= 3; + src <= 6; + when "010110" => + dest <= 43; + src <= 23; + when "010111" => + dest <= 13; + src <= 45; + when "011000" => + dest <= 17; + src <= 29; + when "011001" => + dest <= 35; + src <= 46; + when "011010" => + dest <= 25; + src <= 17; + when "011011" => + dest <= 28; + src <= 16; + when "011100" => + dest <= 40; + src <= 25; + when "011101" => + dest <= 16; + src <= 13; + when "011110" => + dest <= 13; + src <= 2; + when "011111" => + dest <= 46; + src <= 33; + when "100000" => + dest <= 8; + src <= 43; + when "100001" => + dest <= 33; + src <= 40; + when "100010" => + dest <= 5; + src <= 28; + when "100011" => + dest <= 1; + src <= 3; + when "100100" => + dest <= 4; + src <= 11; + when "100101" => + dest <= 42; + src <= 22; + when "100110" => + dest <= 18; + src <= 14; + when "100111" => + dest <= 47; + src <= 35; + when "101000" => + dest <= 21; + src <= 18; + when "101001" => + dest <= 15; + src <= 15; + when "101010" => + dest <= 13; + src <= 26; + when "101011" => + dest <= 9; + src <= 1; + when "101100" => + dest <= 26; + src <= 21; + when "101101" => + dest <= 13; + src <= 47; + when "101110" => + dest <= 48; + src <= 4; + when "101111" => + dest <= 14; + src <= 13; + when "110000" => + dest <= 13; + src <= 10; + when "110001" => + dest <= 19; + src <= 42; + when "110010" => + dest <= 27; + src <= 27; + when "110011" => + dest <= 13; + src <= 5; + when "110100" => + dest <= 6; + src <= 13; + when "110101" => + dest <= 7; + src <= 0; + when "110110" => + dest <= 12; + src <= 48; + when "110111" => + dest <= 13; + src <= 20; + when "111000" => + dest <= 37; + src <= 12; + when "111001" => + dest <= 31; + src <= 7; + when others => + dest <= 13; + src <= 13; + + end case; + end process; + + end generate NI_NUM13; + + NI_NUM14 : if NI_NUM = 14 generate + process(count) begin + + case count is + + when "000000" => + dest <= 19; + src <= 14; + when "000001" => + dest <= 14; + src <= 14; + when "000010" => + dest <= 46; + src <= 14; + when "000011" => + dest <= 35; + src <= 14; + when "000100" => + dest <= 38; + src <= 16; + when "000101" => + dest <= 14; + src <= 14; + when "000110" => + dest <= 3; + src <= 39; + when "000111" => + dest <= 14; + src <= 45; + when "001000" => + dest <= 44; + src <= 42; + when "001001" => + dest <= 18; + src <= 14; + when "001010" => + dest <= 32; + src <= 38; + when "001011" => + dest <= 42; + src <= 14; + when "001100" => + dest <= 40; + src <= 46; + when "001101" => + dest <= 37; + src <= 32; + when "001110" => + dest <= 8; + src <= 17; + when "001111" => + dest <= 4; + src <= 40; + when "010000" => + dest <= 31; + src <= 35; + when "010001" => + dest <= 47; + src <= 3; + when "010010" => + dest <= 21; + src <= 27; + when "010011" => + dest <= 30; + src <= 44; + when "010100" => + dest <= 10; + src <= 47; + when "010101" => + dest <= 11; + src <= 7; + when "010110" => + dest <= 2; + src <= 31; + when "010111" => + dest <= 14; + src <= 4; + when "011000" => + dest <= 25; + src <= 37; + when "011001" => + dest <= 43; + src <= 5; + when "011010" => + dest <= 33; + src <= 25; + when "011011" => + dest <= 36; + src <= 24; + when "011100" => + dest <= 48; + src <= 33; + when "011101" => + dest <= 24; + src <= 14; + when "011110" => + dest <= 14; + src <= 10; + when "011111" => + dest <= 5; + src <= 41; + when "100000" => + dest <= 16; + src <= 2; + when "100001" => + dest <= 41; + src <= 48; + when "100010" => + dest <= 13; + src <= 36; + when "100011" => + dest <= 9; + src <= 11; + when "100100" => + dest <= 12; + src <= 19; + when "100101" => + dest <= 1; + src <= 30; + when "100110" => + dest <= 26; + src <= 22; + when "100111" => + dest <= 6; + src <= 43; + when "101000" => + dest <= 29; + src <= 26; + when "101001" => + dest <= 23; + src <= 23; + when "101010" => + dest <= 14; + src <= 34; + when "101011" => + dest <= 17; + src <= 9; + when "101100" => + dest <= 34; + src <= 29; + when "101101" => + dest <= 14; + src <= 6; + when "101110" => + dest <= 0; + src <= 12; + when "101111" => + dest <= 22; + src <= 14; + when "110000" => + dest <= 14; + src <= 18; + when "110001" => + dest <= 27; + src <= 1; + when "110010" => + dest <= 28; + src <= 28; + when "110011" => + dest <= 14; + src <= 13; + when "110100" => + dest <= 7; + src <= 14; + when "110101" => + dest <= 15; + src <= 8; + when "110110" => + dest <= 20; + src <= 0; + when "110111" => + dest <= 14; + src <= 21; + when "111000" => + dest <= 45; + src <= 20; + when "111001" => + dest <= 39; + src <= 15; + when others => + dest <= 14; + src <= 14; + + end case; + end process; + + end generate NI_NUM14; + + NI_NUM15 : if NI_NUM = 15 generate + process(count) begin + + case count is + + when "000000" => + dest <= 20; + src <= 15; + when "000001" => + dest <= 15; + src <= 15; + when "000010" => + dest <= 47; + src <= 15; + when "000011" => + dest <= 36; + src <= 15; + when "000100" => + dest <= 39; + src <= 17; + when "000101" => + dest <= 15; + src <= 15; + when "000110" => + dest <= 4; + src <= 40; + when "000111" => + dest <= 15; + src <= 46; + when "001000" => + dest <= 45; + src <= 43; + when "001001" => + dest <= 19; + src <= 15; + when "001010" => + dest <= 33; + src <= 39; + when "001011" => + dest <= 43; + src <= 15; + when "001100" => + dest <= 41; + src <= 47; + when "001101" => + dest <= 38; + src <= 33; + when "001110" => + dest <= 9; + src <= 18; + when "001111" => + dest <= 5; + src <= 41; + when "010000" => + dest <= 32; + src <= 36; + when "010001" => + dest <= 48; + src <= 4; + when "010010" => + dest <= 22; + src <= 21; + when "010011" => + dest <= 31; + src <= 45; + when "010100" => + dest <= 11; + src <= 48; + when "010101" => + dest <= 12; + src <= 8; + when "010110" => + dest <= 3; + src <= 32; + when "010111" => + dest <= 15; + src <= 5; + when "011000" => + dest <= 26; + src <= 38; + when "011001" => + dest <= 44; + src <= 6; + when "011010" => + dest <= 34; + src <= 26; + when "011011" => + dest <= 37; + src <= 25; + when "011100" => + dest <= 42; + src <= 34; + when "011101" => + dest <= 25; + src <= 15; + when "011110" => + dest <= 15; + src <= 11; + when "011111" => + dest <= 6; + src <= 35; + when "100000" => + dest <= 17; + src <= 3; + when "100001" => + dest <= 35; + src <= 42; + when "100010" => + dest <= 7; + src <= 37; + when "100011" => + dest <= 10; + src <= 12; + when "100100" => + dest <= 13; + src <= 20; + when "100101" => + dest <= 2; + src <= 31; + when "100110" => + dest <= 27; + src <= 23; + when "100111" => + dest <= 0; + src <= 44; + when "101000" => + dest <= 30; + src <= 27; + when "101001" => + dest <= 24; + src <= 24; + when "101010" => + dest <= 15; + src <= 28; + when "101011" => + dest <= 18; + src <= 10; + when "101100" => + dest <= 28; + src <= 30; + when "101101" => + dest <= 15; + src <= 0; + when "101110" => + dest <= 1; + src <= 13; + when "101111" => + dest <= 23; + src <= 15; + when "110000" => + dest <= 15; + src <= 19; + when "110001" => + dest <= 21; + src <= 2; + when "110010" => + dest <= 29; + src <= 29; + when "110011" => + dest <= 15; + src <= 7; + when "110100" => + dest <= 8; + src <= 15; + when "110101" => + dest <= 16; + src <= 9; + when "110110" => + dest <= 14; + src <= 1; + when "110111" => + dest <= 15; + src <= 22; + when "111000" => + dest <= 46; + src <= 14; + when "111001" => + dest <= 40; + src <= 16; + when others => + dest <= 15; + src <= 15; + + end case; + end process; + + end generate NI_NUM15; + + NI_NUM16 : if NI_NUM = 16 generate + process(count) begin + + case count is + + when "000000" => + dest <= 14; + src <= 16; + when "000001" => + dest <= 16; + src <= 16; + when "000010" => + dest <= 48; + src <= 16; + when "000011" => + dest <= 37; + src <= 16; + when "000100" => + dest <= 40; + src <= 18; + when "000101" => + dest <= 16; + src <= 16; + when "000110" => + dest <= 5; + src <= 41; + when "000111" => + dest <= 16; + src <= 47; + when "001000" => + dest <= 46; + src <= 44; + when "001001" => + dest <= 20; + src <= 16; + when "001010" => + dest <= 34; + src <= 40; + when "001011" => + dest <= 44; + src <= 16; + when "001100" => + dest <= 35; + src <= 48; + when "001101" => + dest <= 39; + src <= 34; + when "001110" => + dest <= 10; + src <= 19; + when "001111" => + dest <= 6; + src <= 35; + when "010000" => + dest <= 33; + src <= 37; + when "010001" => + dest <= 42; + src <= 5; + when "010010" => + dest <= 23; + src <= 22; + when "010011" => + dest <= 32; + src <= 46; + when "010100" => + dest <= 12; + src <= 42; + when "010101" => + dest <= 13; + src <= 9; + when "010110" => + dest <= 4; + src <= 33; + when "010111" => + dest <= 16; + src <= 6; + when "011000" => + dest <= 27; + src <= 39; + when "011001" => + dest <= 45; + src <= 0; + when "011010" => + dest <= 28; + src <= 27; + when "011011" => + dest <= 38; + src <= 26; + when "011100" => + dest <= 43; + src <= 28; + when "011101" => + dest <= 26; + src <= 16; + when "011110" => + dest <= 16; + src <= 12; + when "011111" => + dest <= 0; + src <= 36; + when "100000" => + dest <= 18; + src <= 4; + when "100001" => + dest <= 36; + src <= 43; + when "100010" => + dest <= 8; + src <= 38; + when "100011" => + dest <= 11; + src <= 13; + when "100100" => + dest <= 7; + src <= 14; + when "100101" => + dest <= 3; + src <= 32; + when "100110" => + dest <= 21; + src <= 24; + when "100111" => + dest <= 1; + src <= 45; + when "101000" => + dest <= 31; + src <= 21; + when "101001" => + dest <= 25; + src <= 25; + when "101010" => + dest <= 16; + src <= 29; + when "101011" => + dest <= 19; + src <= 11; + when "101100" => + dest <= 29; + src <= 31; + when "101101" => + dest <= 16; + src <= 1; + when "101110" => + dest <= 2; + src <= 7; + when "101111" => + dest <= 24; + src <= 16; + when "110000" => + dest <= 16; + src <= 20; + when "110001" => + dest <= 22; + src <= 3; + when "110010" => + dest <= 30; + src <= 30; + when "110011" => + dest <= 16; + src <= 8; + when "110100" => + dest <= 9; + src <= 16; + when "110101" => + dest <= 17; + src <= 10; + when "110110" => + dest <= 15; + src <= 2; + when "110111" => + dest <= 16; + src <= 23; + when "111000" => + dest <= 47; + src <= 15; + when "111001" => + dest <= 41; + src <= 17; + when others => + dest <= 16; + src <= 16; + + end case; + end process; + + end generate NI_NUM16; + + NI_NUM17 : if NI_NUM = 17 generate + process(count) begin + + case count is + + when "000000" => + dest <= 15; + src <= 17; + when "000001" => + dest <= 17; + src <= 17; + when "000010" => + dest <= 42; + src <= 17; + when "000011" => + dest <= 38; + src <= 17; + when "000100" => + dest <= 41; + src <= 19; + when "000101" => + dest <= 17; + src <= 17; + when "000110" => + dest <= 6; + src <= 35; + when "000111" => + dest <= 17; + src <= 48; + when "001000" => + dest <= 47; + src <= 45; + when "001001" => + dest <= 14; + src <= 17; + when "001010" => + dest <= 28; + src <= 41; + when "001011" => + dest <= 45; + src <= 17; + when "001100" => + dest <= 36; + src <= 42; + when "001101" => + dest <= 40; + src <= 28; + when "001110" => + dest <= 11; + src <= 20; + when "001111" => + dest <= 0; + src <= 36; + when "010000" => + dest <= 34; + src <= 38; + when "010001" => + dest <= 43; + src <= 6; + when "010010" => + dest <= 24; + src <= 23; + when "010011" => + dest <= 33; + src <= 47; + when "010100" => + dest <= 13; + src <= 43; + when "010101" => + dest <= 7; + src <= 10; + when "010110" => + dest <= 5; + src <= 34; + when "010111" => + dest <= 17; + src <= 0; + when "011000" => + dest <= 21; + src <= 40; + when "011001" => + dest <= 46; + src <= 1; + when "011010" => + dest <= 29; + src <= 21; + when "011011" => + dest <= 39; + src <= 27; + when "011100" => + dest <= 44; + src <= 29; + when "011101" => + dest <= 27; + src <= 17; + when "011110" => + dest <= 17; + src <= 13; + when "011111" => + dest <= 1; + src <= 37; + when "100000" => + dest <= 19; + src <= 5; + when "100001" => + dest <= 37; + src <= 44; + when "100010" => + dest <= 9; + src <= 39; + when "100011" => + dest <= 12; + src <= 7; + when "100100" => + dest <= 8; + src <= 15; + when "100101" => + dest <= 4; + src <= 33; + when "100110" => + dest <= 22; + src <= 25; + when "100111" => + dest <= 2; + src <= 46; + when "101000" => + dest <= 32; + src <= 22; + when "101001" => + dest <= 26; + src <= 26; + when "101010" => + dest <= 17; + src <= 30; + when "101011" => + dest <= 20; + src <= 12; + when "101100" => + dest <= 30; + src <= 32; + when "101101" => + dest <= 17; + src <= 2; + when "101110" => + dest <= 3; + src <= 8; + when "101111" => + dest <= 25; + src <= 17; + when "110000" => + dest <= 17; + src <= 14; + when "110001" => + dest <= 23; + src <= 4; + when "110010" => + dest <= 31; + src <= 31; + when "110011" => + dest <= 17; + src <= 9; + when "110100" => + dest <= 10; + src <= 17; + when "110101" => + dest <= 18; + src <= 11; + when "110110" => + dest <= 16; + src <= 3; + when "110111" => + dest <= 17; + src <= 24; + when "111000" => + dest <= 48; + src <= 16; + when "111001" => + dest <= 35; + src <= 18; + when others => + dest <= 17; + src <= 17; + + end case; + end process; + + end generate NI_NUM17; + + NI_NUM18 : if NI_NUM = 18 generate + process(count) begin + + case count is + + when "000000" => + dest <= 16; + src <= 18; + when "000001" => + dest <= 18; + src <= 18; + when "000010" => + dest <= 43; + src <= 18; + when "000011" => + dest <= 39; + src <= 18; + when "000100" => + dest <= 35; + src <= 20; + when "000101" => + dest <= 18; + src <= 18; + when "000110" => + dest <= 0; + src <= 36; + when "000111" => + dest <= 18; + src <= 42; + when "001000" => + dest <= 48; + src <= 46; + when "001001" => + dest <= 15; + src <= 18; + when "001010" => + dest <= 29; + src <= 35; + when "001011" => + dest <= 46; + src <= 18; + when "001100" => + dest <= 37; + src <= 43; + when "001101" => + dest <= 41; + src <= 29; + when "001110" => + dest <= 12; + src <= 14; + when "001111" => + dest <= 1; + src <= 37; + when "010000" => + dest <= 28; + src <= 39; + when "010001" => + dest <= 44; + src <= 0; + when "010010" => + dest <= 25; + src <= 24; + when "010011" => + dest <= 34; + src <= 48; + when "010100" => + dest <= 7; + src <= 44; + when "010101" => + dest <= 8; + src <= 11; + when "010110" => + dest <= 6; + src <= 28; + when "010111" => + dest <= 18; + src <= 1; + when "011000" => + dest <= 22; + src <= 41; + when "011001" => + dest <= 47; + src <= 2; + when "011010" => + dest <= 30; + src <= 22; + when "011011" => + dest <= 40; + src <= 21; + when "011100" => + dest <= 45; + src <= 30; + when "011101" => + dest <= 21; + src <= 18; + when "011110" => + dest <= 18; + src <= 7; + when "011111" => + dest <= 2; + src <= 38; + when "100000" => + dest <= 20; + src <= 6; + when "100001" => + dest <= 38; + src <= 45; + when "100010" => + dest <= 10; + src <= 40; + when "100011" => + dest <= 13; + src <= 8; + when "100100" => + dest <= 9; + src <= 16; + when "100101" => + dest <= 5; + src <= 34; + when "100110" => + dest <= 23; + src <= 26; + when "100111" => + dest <= 3; + src <= 47; + when "101000" => + dest <= 33; + src <= 23; + when "101001" => + dest <= 27; + src <= 27; + when "101010" => + dest <= 18; + src <= 31; + when "101011" => + dest <= 14; + src <= 13; + when "101100" => + dest <= 31; + src <= 33; + when "101101" => + dest <= 18; + src <= 3; + when "101110" => + dest <= 4; + src <= 9; + when "101111" => + dest <= 26; + src <= 18; + when "110000" => + dest <= 18; + src <= 15; + when "110001" => + dest <= 24; + src <= 5; + when "110010" => + dest <= 32; + src <= 32; + when "110011" => + dest <= 18; + src <= 10; + when "110100" => + dest <= 11; + src <= 18; + when "110101" => + dest <= 19; + src <= 12; + when "110110" => + dest <= 17; + src <= 4; + when "110111" => + dest <= 18; + src <= 25; + when "111000" => + dest <= 42; + src <= 17; + when "111001" => + dest <= 36; + src <= 19; + when others => + dest <= 18; + src <= 18; + + end case; + end process; + + end generate NI_NUM18; + + NI_NUM19 : if NI_NUM = 19 generate + process(count) begin + + case count is + + when "000000" => + dest <= 17; + src <= 19; + when "000001" => + dest <= 19; + src <= 19; + when "000010" => + dest <= 44; + src <= 19; + when "000011" => + dest <= 40; + src <= 19; + when "000100" => + dest <= 36; + src <= 14; + when "000101" => + dest <= 19; + src <= 19; + when "000110" => + dest <= 1; + src <= 37; + when "000111" => + dest <= 19; + src <= 43; + when "001000" => + dest <= 42; + src <= 47; + when "001001" => + dest <= 16; + src <= 19; + when "001010" => + dest <= 30; + src <= 36; + when "001011" => + dest <= 47; + src <= 19; + when "001100" => + dest <= 38; + src <= 44; + when "001101" => + dest <= 35; + src <= 30; + when "001110" => + dest <= 13; + src <= 15; + when "001111" => + dest <= 2; + src <= 38; + when "010000" => + dest <= 29; + src <= 40; + when "010001" => + dest <= 45; + src <= 1; + when "010010" => + dest <= 26; + src <= 25; + when "010011" => + dest <= 28; + src <= 42; + when "010100" => + dest <= 8; + src <= 45; + when "010101" => + dest <= 9; + src <= 12; + when "010110" => + dest <= 0; + src <= 29; + when "010111" => + dest <= 19; + src <= 2; + when "011000" => + dest <= 23; + src <= 35; + when "011001" => + dest <= 48; + src <= 3; + when "011010" => + dest <= 31; + src <= 23; + when "011011" => + dest <= 41; + src <= 22; + when "011100" => + dest <= 46; + src <= 31; + when "011101" => + dest <= 22; + src <= 19; + when "011110" => + dest <= 19; + src <= 8; + when "011111" => + dest <= 3; + src <= 39; + when "100000" => + dest <= 14; + src <= 0; + when "100001" => + dest <= 39; + src <= 46; + when "100010" => + dest <= 11; + src <= 41; + when "100011" => + dest <= 7; + src <= 9; + when "100100" => + dest <= 10; + src <= 17; + when "100101" => + dest <= 6; + src <= 28; + when "100110" => + dest <= 24; + src <= 27; + when "100111" => + dest <= 4; + src <= 48; + when "101000" => + dest <= 34; + src <= 24; + when "101001" => + dest <= 21; + src <= 21; + when "101010" => + dest <= 19; + src <= 32; + when "101011" => + dest <= 15; + src <= 7; + when "101100" => + dest <= 32; + src <= 34; + when "101101" => + dest <= 19; + src <= 4; + when "101110" => + dest <= 5; + src <= 10; + when "101111" => + dest <= 27; + src <= 19; + when "110000" => + dest <= 19; + src <= 16; + when "110001" => + dest <= 25; + src <= 6; + when "110010" => + dest <= 33; + src <= 33; + when "110011" => + dest <= 19; + src <= 11; + when "110100" => + dest <= 12; + src <= 19; + when "110101" => + dest <= 20; + src <= 13; + when "110110" => + dest <= 18; + src <= 5; + when "110111" => + dest <= 19; + src <= 26; + when "111000" => + dest <= 43; + src <= 18; + when "111001" => + dest <= 37; + src <= 20; + when others => + dest <= 19; + src <= 19; + + end case; + end process; + + end generate NI_NUM19; + + NI_NUM20 : if NI_NUM = 20 generate + process(count) begin + + case count is + + when "000000" => + dest <= 18; + src <= 20; + when "000001" => + dest <= 20; + src <= 20; + when "000010" => + dest <= 45; + src <= 20; + when "000011" => + dest <= 41; + src <= 20; + when "000100" => + dest <= 37; + src <= 15; + when "000101" => + dest <= 20; + src <= 20; + when "000110" => + dest <= 2; + src <= 38; + when "000111" => + dest <= 20; + src <= 44; + when "001000" => + dest <= 43; + src <= 48; + when "001001" => + dest <= 17; + src <= 20; + when "001010" => + dest <= 31; + src <= 37; + when "001011" => + dest <= 48; + src <= 20; + when "001100" => + dest <= 39; + src <= 45; + when "001101" => + dest <= 36; + src <= 31; + when "001110" => + dest <= 7; + src <= 16; + when "001111" => + dest <= 3; + src <= 39; + when "010000" => + dest <= 30; + src <= 41; + when "010001" => + dest <= 46; + src <= 2; + when "010010" => + dest <= 27; + src <= 26; + when "010011" => + dest <= 29; + src <= 43; + when "010100" => + dest <= 9; + src <= 46; + when "010101" => + dest <= 10; + src <= 13; + when "010110" => + dest <= 1; + src <= 30; + when "010111" => + dest <= 20; + src <= 3; + when "011000" => + dest <= 24; + src <= 36; + when "011001" => + dest <= 42; + src <= 4; + when "011010" => + dest <= 32; + src <= 24; + when "011011" => + dest <= 35; + src <= 23; + when "011100" => + dest <= 47; + src <= 32; + when "011101" => + dest <= 23; + src <= 20; + when "011110" => + dest <= 20; + src <= 9; + when "011111" => + dest <= 4; + src <= 40; + when "100000" => + dest <= 15; + src <= 1; + when "100001" => + dest <= 40; + src <= 47; + when "100010" => + dest <= 12; + src <= 35; + when "100011" => + dest <= 8; + src <= 10; + when "100100" => + dest <= 11; + src <= 18; + when "100101" => + dest <= 0; + src <= 29; + when "100110" => + dest <= 25; + src <= 21; + when "100111" => + dest <= 5; + src <= 42; + when "101000" => + dest <= 28; + src <= 25; + when "101001" => + dest <= 22; + src <= 22; + when "101010" => + dest <= 20; + src <= 33; + when "101011" => + dest <= 16; + src <= 8; + when "101100" => + dest <= 33; + src <= 28; + when "101101" => + dest <= 20; + src <= 5; + when "101110" => + dest <= 6; + src <= 11; + when "101111" => + dest <= 21; + src <= 20; + when "110000" => + dest <= 20; + src <= 17; + when "110001" => + dest <= 26; + src <= 0; + when "110010" => + dest <= 34; + src <= 34; + when "110011" => + dest <= 20; + src <= 12; + when "110100" => + dest <= 13; + src <= 20; + when "110101" => + dest <= 14; + src <= 7; + when "110110" => + dest <= 19; + src <= 6; + when "110111" => + dest <= 20; + src <= 27; + when "111000" => + dest <= 44; + src <= 19; + when "111001" => + dest <= 38; + src <= 14; + when others => + dest <= 20; + src <= 20; + + end case; + end process; + + end generate NI_NUM20; + + NI_NUM21 : if NI_NUM = 21 generate + process(count) begin + + case count is + + when "000000" => + dest <= 26; + src <= 21; + when "000001" => + dest <= 21; + src <= 21; + when "000010" => + dest <= 4; + src <= 21; + when "000011" => + dest <= 42; + src <= 21; + when "000100" => + dest <= 45; + src <= 23; + when "000101" => + dest <= 21; + src <= 21; + when "000110" => + dest <= 10; + src <= 46; + when "000111" => + dest <= 21; + src <= 3; + when "001000" => + dest <= 2; + src <= 0; + when "001001" => + dest <= 25; + src <= 21; + when "001010" => + dest <= 39; + src <= 45; + when "001011" => + dest <= 0; + src <= 21; + when "001100" => + dest <= 47; + src <= 4; + when "001101" => + dest <= 44; + src <= 39; + when "001110" => + dest <= 15; + src <= 24; + when "001111" => + dest <= 11; + src <= 47; + when "010000" => + dest <= 38; + src <= 42; + when "010001" => + dest <= 5; + src <= 10; + when "010010" => + dest <= 28; + src <= 34; + when "010011" => + dest <= 37; + src <= 2; + when "010100" => + dest <= 17; + src <= 5; + when "010101" => + dest <= 18; + src <= 14; + when "010110" => + dest <= 9; + src <= 38; + when "010111" => + dest <= 21; + src <= 11; + when "011000" => + dest <= 32; + src <= 44; + when "011001" => + dest <= 1; + src <= 12; + when "011010" => + dest <= 40; + src <= 32; + when "011011" => + dest <= 43; + src <= 31; + when "011100" => + dest <= 6; + src <= 40; + when "011101" => + dest <= 31; + src <= 21; + when "011110" => + dest <= 21; + src <= 17; + when "011111" => + dest <= 12; + src <= 48; + when "100000" => + dest <= 23; + src <= 9; + when "100001" => + dest <= 48; + src <= 6; + when "100010" => + dest <= 20; + src <= 43; + when "100011" => + dest <= 16; + src <= 18; + when "100100" => + dest <= 19; + src <= 26; + when "100101" => + dest <= 8; + src <= 37; + when "100110" => + dest <= 33; + src <= 29; + when "100111" => + dest <= 13; + src <= 1; + when "101000" => + dest <= 36; + src <= 33; + when "101001" => + dest <= 30; + src <= 30; + when "101010" => + dest <= 21; + src <= 41; + when "101011" => + dest <= 24; + src <= 16; + when "101100" => + dest <= 41; + src <= 36; + when "101101" => + dest <= 21; + src <= 13; + when "101110" => + dest <= 7; + src <= 19; + when "101111" => + dest <= 29; + src <= 21; + when "110000" => + dest <= 21; + src <= 25; + when "110001" => + dest <= 34; + src <= 8; + when "110010" => + dest <= 35; + src <= 35; + when "110011" => + dest <= 21; + src <= 20; + when "110100" => + dest <= 14; + src <= 21; + when "110101" => + dest <= 22; + src <= 15; + when "110110" => + dest <= 27; + src <= 7; + when "110111" => + dest <= 21; + src <= 28; + when "111000" => + dest <= 3; + src <= 27; + when "111001" => + dest <= 46; + src <= 22; + when others => + dest <= 21; + src <= 21; + + end case; + end process; + + end generate NI_NUM21; + + NI_NUM22 : if NI_NUM = 22 generate + process(count) begin + + case count is + + when "000000" => + dest <= 27; + src <= 22; + when "000001" => + dest <= 22; + src <= 22; + when "000010" => + dest <= 5; + src <= 22; + when "000011" => + dest <= 43; + src <= 22; + when "000100" => + dest <= 46; + src <= 24; + when "000101" => + dest <= 22; + src <= 22; + when "000110" => + dest <= 11; + src <= 47; + when "000111" => + dest <= 22; + src <= 4; + when "001000" => + dest <= 3; + src <= 1; + when "001001" => + dest <= 26; + src <= 22; + when "001010" => + dest <= 40; + src <= 46; + when "001011" => + dest <= 1; + src <= 22; + when "001100" => + dest <= 48; + src <= 5; + when "001101" => + dest <= 45; + src <= 40; + when "001110" => + dest <= 16; + src <= 25; + when "001111" => + dest <= 12; + src <= 48; + when "010000" => + dest <= 39; + src <= 43; + when "010001" => + dest <= 6; + src <= 11; + when "010010" => + dest <= 29; + src <= 28; + when "010011" => + dest <= 38; + src <= 3; + when "010100" => + dest <= 18; + src <= 6; + when "010101" => + dest <= 19; + src <= 15; + when "010110" => + dest <= 10; + src <= 39; + when "010111" => + dest <= 22; + src <= 12; + when "011000" => + dest <= 33; + src <= 45; + when "011001" => + dest <= 2; + src <= 13; + when "011010" => + dest <= 41; + src <= 33; + when "011011" => + dest <= 44; + src <= 32; + when "011100" => + dest <= 0; + src <= 41; + when "011101" => + dest <= 32; + src <= 22; + when "011110" => + dest <= 22; + src <= 18; + when "011111" => + dest <= 13; + src <= 42; + when "100000" => + dest <= 24; + src <= 10; + when "100001" => + dest <= 42; + src <= 0; + when "100010" => + dest <= 14; + src <= 44; + when "100011" => + dest <= 17; + src <= 19; + when "100100" => + dest <= 20; + src <= 27; + when "100101" => + dest <= 9; + src <= 38; + when "100110" => + dest <= 34; + src <= 30; + when "100111" => + dest <= 7; + src <= 2; + when "101000" => + dest <= 37; + src <= 34; + when "101001" => + dest <= 31; + src <= 31; + when "101010" => + dest <= 22; + src <= 35; + when "101011" => + dest <= 25; + src <= 17; + when "101100" => + dest <= 35; + src <= 37; + when "101101" => + dest <= 22; + src <= 7; + when "101110" => + dest <= 8; + src <= 20; + when "101111" => + dest <= 30; + src <= 22; + when "110000" => + dest <= 22; + src <= 26; + when "110001" => + dest <= 28; + src <= 9; + when "110010" => + dest <= 36; + src <= 36; + when "110011" => + dest <= 22; + src <= 14; + when "110100" => + dest <= 15; + src <= 22; + when "110101" => + dest <= 23; + src <= 16; + when "110110" => + dest <= 21; + src <= 8; + when "110111" => + dest <= 22; + src <= 29; + when "111000" => + dest <= 4; + src <= 21; + when "111001" => + dest <= 47; + src <= 23; + when others => + dest <= 22; + src <= 22; + + end case; + end process; + + end generate NI_NUM22; + + NI_NUM23 : if NI_NUM = 23 generate + process(count) begin + + case count is + + when "000000" => + dest <= 21; + src <= 23; + when "000001" => + dest <= 23; + src <= 23; + when "000010" => + dest <= 6; + src <= 23; + when "000011" => + dest <= 44; + src <= 23; + when "000100" => + dest <= 47; + src <= 25; + when "000101" => + dest <= 23; + src <= 23; + when "000110" => + dest <= 12; + src <= 48; + when "000111" => + dest <= 23; + src <= 5; + when "001000" => + dest <= 4; + src <= 2; + when "001001" => + dest <= 27; + src <= 23; + when "001010" => + dest <= 41; + src <= 47; + when "001011" => + dest <= 2; + src <= 23; + when "001100" => + dest <= 42; + src <= 6; + when "001101" => + dest <= 46; + src <= 41; + when "001110" => + dest <= 17; + src <= 26; + when "001111" => + dest <= 13; + src <= 42; + when "010000" => + dest <= 40; + src <= 44; + when "010001" => + dest <= 0; + src <= 12; + when "010010" => + dest <= 30; + src <= 29; + when "010011" => + dest <= 39; + src <= 4; + when "010100" => + dest <= 19; + src <= 0; + when "010101" => + dest <= 20; + src <= 16; + when "010110" => + dest <= 11; + src <= 40; + when "010111" => + dest <= 23; + src <= 13; + when "011000" => + dest <= 34; + src <= 46; + when "011001" => + dest <= 3; + src <= 7; + when "011010" => + dest <= 35; + src <= 34; + when "011011" => + dest <= 45; + src <= 33; + when "011100" => + dest <= 1; + src <= 35; + when "011101" => + dest <= 33; + src <= 23; + when "011110" => + dest <= 23; + src <= 19; + when "011111" => + dest <= 7; + src <= 43; + when "100000" => + dest <= 25; + src <= 11; + when "100001" => + dest <= 43; + src <= 1; + when "100010" => + dest <= 15; + src <= 45; + when "100011" => + dest <= 18; + src <= 20; + when "100100" => + dest <= 14; + src <= 21; + when "100101" => + dest <= 10; + src <= 39; + when "100110" => + dest <= 28; + src <= 31; + when "100111" => + dest <= 8; + src <= 3; + when "101000" => + dest <= 38; + src <= 28; + when "101001" => + dest <= 32; + src <= 32; + when "101010" => + dest <= 23; + src <= 36; + when "101011" => + dest <= 26; + src <= 18; + when "101100" => + dest <= 36; + src <= 38; + when "101101" => + dest <= 23; + src <= 8; + when "101110" => + dest <= 9; + src <= 14; + when "101111" => + dest <= 31; + src <= 23; + when "110000" => + dest <= 23; + src <= 27; + when "110001" => + dest <= 29; + src <= 10; + when "110010" => + dest <= 37; + src <= 37; + when "110011" => + dest <= 23; + src <= 15; + when "110100" => + dest <= 16; + src <= 23; + when "110101" => + dest <= 24; + src <= 17; + when "110110" => + dest <= 22; + src <= 9; + when "110111" => + dest <= 23; + src <= 30; + when "111000" => + dest <= 5; + src <= 22; + when "111001" => + dest <= 48; + src <= 24; + when others => + dest <= 23; + src <= 23; + + end case; + end process; + + end generate NI_NUM23; + + NI_NUM24 : if NI_NUM = 24 generate + process(count) begin + + case count is + + when "000000" => + dest <= 22; + src <= 24; + when "000001" => + dest <= 24; + src <= 24; + when "000010" => + dest <= 0; + src <= 24; + when "000011" => + dest <= 45; + src <= 24; + when "000100" => + dest <= 48; + src <= 26; + when "000101" => + dest <= 24; + src <= 24; + when "000110" => + dest <= 13; + src <= 42; + when "000111" => + dest <= 24; + src <= 6; + when "001000" => + dest <= 5; + src <= 3; + when "001001" => + dest <= 21; + src <= 24; + when "001010" => + dest <= 35; + src <= 48; + when "001011" => + dest <= 3; + src <= 24; + when "001100" => + dest <= 43; + src <= 0; + when "001101" => + dest <= 47; + src <= 35; + when "001110" => + dest <= 18; + src <= 27; + when "001111" => + dest <= 7; + src <= 43; + when "010000" => + dest <= 41; + src <= 45; + when "010001" => + dest <= 1; + src <= 13; + when "010010" => + dest <= 31; + src <= 30; + when "010011" => + dest <= 40; + src <= 5; + when "010100" => + dest <= 20; + src <= 1; + when "010101" => + dest <= 14; + src <= 17; + when "010110" => + dest <= 12; + src <= 41; + when "010111" => + dest <= 24; + src <= 7; + when "011000" => + dest <= 28; + src <= 47; + when "011001" => + dest <= 4; + src <= 8; + when "011010" => + dest <= 36; + src <= 28; + when "011011" => + dest <= 46; + src <= 34; + when "011100" => + dest <= 2; + src <= 36; + when "011101" => + dest <= 34; + src <= 24; + when "011110" => + dest <= 24; + src <= 20; + when "011111" => + dest <= 8; + src <= 44; + when "100000" => + dest <= 26; + src <= 12; + when "100001" => + dest <= 44; + src <= 2; + when "100010" => + dest <= 16; + src <= 46; + when "100011" => + dest <= 19; + src <= 14; + when "100100" => + dest <= 15; + src <= 22; + when "100101" => + dest <= 11; + src <= 40; + when "100110" => + dest <= 29; + src <= 32; + when "100111" => + dest <= 9; + src <= 4; + when "101000" => + dest <= 39; + src <= 29; + when "101001" => + dest <= 33; + src <= 33; + when "101010" => + dest <= 24; + src <= 37; + when "101011" => + dest <= 27; + src <= 19; + when "101100" => + dest <= 37; + src <= 39; + when "101101" => + dest <= 24; + src <= 9; + when "101110" => + dest <= 10; + src <= 15; + when "101111" => + dest <= 32; + src <= 24; + when "110000" => + dest <= 24; + src <= 21; + when "110001" => + dest <= 30; + src <= 11; + when "110010" => + dest <= 38; + src <= 38; + when "110011" => + dest <= 24; + src <= 16; + when "110100" => + dest <= 17; + src <= 24; + when "110101" => + dest <= 25; + src <= 18; + when "110110" => + dest <= 23; + src <= 10; + when "110111" => + dest <= 24; + src <= 31; + when "111000" => + dest <= 6; + src <= 23; + when "111001" => + dest <= 42; + src <= 25; + when others => + dest <= 24; + src <= 24; + + end case; + end process; + + end generate NI_NUM24; + + NI_NUM25 : if NI_NUM = 25 generate + process(count) begin + + case count is + + when "000000" => + dest <= 23; + src <= 25; + when "000001" => + dest <= 25; + src <= 25; + when "000010" => + dest <= 1; + src <= 25; + when "000011" => + dest <= 46; + src <= 25; + when "000100" => + dest <= 42; + src <= 27; + when "000101" => + dest <= 25; + src <= 25; + when "000110" => + dest <= 7; + src <= 43; + when "000111" => + dest <= 25; + src <= 0; + when "001000" => + dest <= 6; + src <= 4; + when "001001" => + dest <= 22; + src <= 25; + when "001010" => + dest <= 36; + src <= 42; + when "001011" => + dest <= 4; + src <= 25; + when "001100" => + dest <= 44; + src <= 1; + when "001101" => + dest <= 48; + src <= 36; + when "001110" => + dest <= 19; + src <= 21; + when "001111" => + dest <= 8; + src <= 44; + when "010000" => + dest <= 35; + src <= 46; + when "010001" => + dest <= 2; + src <= 7; + when "010010" => + dest <= 32; + src <= 31; + when "010011" => + dest <= 41; + src <= 6; + when "010100" => + dest <= 14; + src <= 2; + when "010101" => + dest <= 15; + src <= 18; + when "010110" => + dest <= 13; + src <= 35; + when "010111" => + dest <= 25; + src <= 8; + when "011000" => + dest <= 29; + src <= 48; + when "011001" => + dest <= 5; + src <= 9; + when "011010" => + dest <= 37; + src <= 29; + when "011011" => + dest <= 47; + src <= 28; + when "011100" => + dest <= 3; + src <= 37; + when "011101" => + dest <= 28; + src <= 25; + when "011110" => + dest <= 25; + src <= 14; + when "011111" => + dest <= 9; + src <= 45; + when "100000" => + dest <= 27; + src <= 13; + when "100001" => + dest <= 45; + src <= 3; + when "100010" => + dest <= 17; + src <= 47; + when "100011" => + dest <= 20; + src <= 15; + when "100100" => + dest <= 16; + src <= 23; + when "100101" => + dest <= 12; + src <= 41; + when "100110" => + dest <= 30; + src <= 33; + when "100111" => + dest <= 10; + src <= 5; + when "101000" => + dest <= 40; + src <= 30; + when "101001" => + dest <= 34; + src <= 34; + when "101010" => + dest <= 25; + src <= 38; + when "101011" => + dest <= 21; + src <= 20; + when "101100" => + dest <= 38; + src <= 40; + when "101101" => + dest <= 25; + src <= 10; + when "101110" => + dest <= 11; + src <= 16; + when "101111" => + dest <= 33; + src <= 25; + when "110000" => + dest <= 25; + src <= 22; + when "110001" => + dest <= 31; + src <= 12; + when "110010" => + dest <= 39; + src <= 39; + when "110011" => + dest <= 25; + src <= 17; + when "110100" => + dest <= 18; + src <= 25; + when "110101" => + dest <= 26; + src <= 19; + when "110110" => + dest <= 24; + src <= 11; + when "110111" => + dest <= 25; + src <= 32; + when "111000" => + dest <= 0; + src <= 24; + when "111001" => + dest <= 43; + src <= 26; + when others => + dest <= 25; + src <= 25; + + end case; + end process; + + end generate NI_NUM25; + + NI_NUM26 : if NI_NUM = 26 generate + process(count) begin + + case count is + + when "000000" => + dest <= 24; + src <= 26; + when "000001" => + dest <= 26; + src <= 26; + when "000010" => + dest <= 2; + src <= 26; + when "000011" => + dest <= 47; + src <= 26; + when "000100" => + dest <= 43; + src <= 21; + when "000101" => + dest <= 26; + src <= 26; + when "000110" => + dest <= 8; + src <= 44; + when "000111" => + dest <= 26; + src <= 1; + when "001000" => + dest <= 0; + src <= 5; + when "001001" => + dest <= 23; + src <= 26; + when "001010" => + dest <= 37; + src <= 43; + when "001011" => + dest <= 5; + src <= 26; + when "001100" => + dest <= 45; + src <= 2; + when "001101" => + dest <= 42; + src <= 37; + when "001110" => + dest <= 20; + src <= 22; + when "001111" => + dest <= 9; + src <= 45; + when "010000" => + dest <= 36; + src <= 47; + when "010001" => + dest <= 3; + src <= 8; + when "010010" => + dest <= 33; + src <= 32; + when "010011" => + dest <= 35; + src <= 0; + when "010100" => + dest <= 15; + src <= 3; + when "010101" => + dest <= 16; + src <= 19; + when "010110" => + dest <= 7; + src <= 36; + when "010111" => + dest <= 26; + src <= 9; + when "011000" => + dest <= 30; + src <= 42; + when "011001" => + dest <= 6; + src <= 10; + when "011010" => + dest <= 38; + src <= 30; + when "011011" => + dest <= 48; + src <= 29; + when "011100" => + dest <= 4; + src <= 38; + when "011101" => + dest <= 29; + src <= 26; + when "011110" => + dest <= 26; + src <= 15; + when "011111" => + dest <= 10; + src <= 46; + when "100000" => + dest <= 21; + src <= 7; + when "100001" => + dest <= 46; + src <= 4; + when "100010" => + dest <= 18; + src <= 48; + when "100011" => + dest <= 14; + src <= 16; + when "100100" => + dest <= 17; + src <= 24; + when "100101" => + dest <= 13; + src <= 35; + when "100110" => + dest <= 31; + src <= 34; + when "100111" => + dest <= 11; + src <= 6; + when "101000" => + dest <= 41; + src <= 31; + when "101001" => + dest <= 28; + src <= 28; + when "101010" => + dest <= 26; + src <= 39; + when "101011" => + dest <= 22; + src <= 14; + when "101100" => + dest <= 39; + src <= 41; + when "101101" => + dest <= 26; + src <= 11; + when "101110" => + dest <= 12; + src <= 17; + when "101111" => + dest <= 34; + src <= 26; + when "110000" => + dest <= 26; + src <= 23; + when "110001" => + dest <= 32; + src <= 13; + when "110010" => + dest <= 40; + src <= 40; + when "110011" => + dest <= 26; + src <= 18; + when "110100" => + dest <= 19; + src <= 26; + when "110101" => + dest <= 27; + src <= 20; + when "110110" => + dest <= 25; + src <= 12; + when "110111" => + dest <= 26; + src <= 33; + when "111000" => + dest <= 1; + src <= 25; + when "111001" => + dest <= 44; + src <= 27; + when others => + dest <= 26; + src <= 26; + + end case; + end process; + + end generate NI_NUM26; + + NI_NUM27 : if NI_NUM = 27 generate + process(count) begin + + case count is + + when "000000" => + dest <= 25; + src <= 27; + when "000001" => + dest <= 27; + src <= 27; + when "000010" => + dest <= 3; + src <= 27; + when "000011" => + dest <= 48; + src <= 27; + when "000100" => + dest <= 44; + src <= 22; + when "000101" => + dest <= 27; + src <= 27; + when "000110" => + dest <= 9; + src <= 45; + when "000111" => + dest <= 27; + src <= 2; + when "001000" => + dest <= 1; + src <= 6; + when "001001" => + dest <= 24; + src <= 27; + when "001010" => + dest <= 38; + src <= 44; + when "001011" => + dest <= 6; + src <= 27; + when "001100" => + dest <= 46; + src <= 3; + when "001101" => + dest <= 43; + src <= 38; + when "001110" => + dest <= 14; + src <= 23; + when "001111" => + dest <= 10; + src <= 46; + when "010000" => + dest <= 37; + src <= 48; + when "010001" => + dest <= 4; + src <= 9; + when "010010" => + dest <= 34; + src <= 33; + when "010011" => + dest <= 36; + src <= 1; + when "010100" => + dest <= 16; + src <= 4; + when "010101" => + dest <= 17; + src <= 20; + when "010110" => + dest <= 8; + src <= 37; + when "010111" => + dest <= 27; + src <= 10; + when "011000" => + dest <= 31; + src <= 43; + when "011001" => + dest <= 0; + src <= 11; + when "011010" => + dest <= 39; + src <= 31; + when "011011" => + dest <= 42; + src <= 30; + when "011100" => + dest <= 5; + src <= 39; + when "011101" => + dest <= 30; + src <= 27; + when "011110" => + dest <= 27; + src <= 16; + when "011111" => + dest <= 11; + src <= 47; + when "100000" => + dest <= 22; + src <= 8; + when "100001" => + dest <= 47; + src <= 5; + when "100010" => + dest <= 19; + src <= 42; + when "100011" => + dest <= 15; + src <= 17; + when "100100" => + dest <= 18; + src <= 25; + when "100101" => + dest <= 7; + src <= 36; + when "100110" => + dest <= 32; + src <= 28; + when "100111" => + dest <= 12; + src <= 0; + when "101000" => + dest <= 35; + src <= 32; + when "101001" => + dest <= 29; + src <= 29; + when "101010" => + dest <= 27; + src <= 40; + when "101011" => + dest <= 23; + src <= 15; + when "101100" => + dest <= 40; + src <= 35; + when "101101" => + dest <= 27; + src <= 12; + when "101110" => + dest <= 13; + src <= 18; + when "101111" => + dest <= 28; + src <= 27; + when "110000" => + dest <= 27; + src <= 24; + when "110001" => + dest <= 33; + src <= 7; + when "110010" => + dest <= 41; + src <= 41; + when "110011" => + dest <= 27; + src <= 19; + when "110100" => + dest <= 20; + src <= 27; + when "110101" => + dest <= 21; + src <= 14; + when "110110" => + dest <= 26; + src <= 13; + when "110111" => + dest <= 27; + src <= 34; + when "111000" => + dest <= 2; + src <= 26; + when "111001" => + dest <= 45; + src <= 21; + when others => + dest <= 27; + src <= 27; + + end case; + end process; + + end generate NI_NUM27; + + NI_NUM28 : if NI_NUM = 28 generate + process(count) begin + + case count is + + when "000000" => + dest <= 33; + src <= 28; + when "000001" => + dest <= 28; + src <= 28; + when "000010" => + dest <= 11; + src <= 28; + when "000011" => + dest <= 0; + src <= 28; + when "000100" => + dest <= 3; + src <= 30; + when "000101" => + dest <= 28; + src <= 28; + when "000110" => + dest <= 17; + src <= 4; + when "000111" => + dest <= 28; + src <= 10; + when "001000" => + dest <= 9; + src <= 7; + when "001001" => + dest <= 32; + src <= 28; + when "001010" => + dest <= 46; + src <= 3; + when "001011" => + dest <= 7; + src <= 28; + when "001100" => + dest <= 5; + src <= 11; + when "001101" => + dest <= 2; + src <= 46; + when "001110" => + dest <= 22; + src <= 31; + when "001111" => + dest <= 18; + src <= 5; + when "010000" => + dest <= 45; + src <= 0; + when "010001" => + dest <= 12; + src <= 17; + when "010010" => + dest <= 35; + src <= 41; + when "010011" => + dest <= 44; + src <= 9; + when "010100" => + dest <= 24; + src <= 12; + when "010101" => + dest <= 25; + src <= 21; + when "010110" => + dest <= 16; + src <= 45; + when "010111" => + dest <= 28; + src <= 18; + when "011000" => + dest <= 39; + src <= 2; + when "011001" => + dest <= 8; + src <= 19; + when "011010" => + dest <= 47; + src <= 39; + when "011011" => + dest <= 1; + src <= 38; + when "011100" => + dest <= 13; + src <= 47; + when "011101" => + dest <= 38; + src <= 28; + when "011110" => + dest <= 28; + src <= 24; + when "011111" => + dest <= 19; + src <= 6; + when "100000" => + dest <= 30; + src <= 16; + when "100001" => + dest <= 6; + src <= 13; + when "100010" => + dest <= 27; + src <= 1; + when "100011" => + dest <= 23; + src <= 25; + when "100100" => + dest <= 26; + src <= 33; + when "100101" => + dest <= 15; + src <= 44; + when "100110" => + dest <= 40; + src <= 36; + when "100111" => + dest <= 20; + src <= 8; + when "101000" => + dest <= 43; + src <= 40; + when "101001" => + dest <= 37; + src <= 37; + when "101010" => + dest <= 28; + src <= 48; + when "101011" => + dest <= 31; + src <= 23; + when "101100" => + dest <= 48; + src <= 43; + when "101101" => + dest <= 28; + src <= 20; + when "101110" => + dest <= 14; + src <= 26; + when "101111" => + dest <= 36; + src <= 28; + when "110000" => + dest <= 28; + src <= 32; + when "110001" => + dest <= 41; + src <= 15; + when "110010" => + dest <= 42; + src <= 42; + when "110011" => + dest <= 28; + src <= 27; + when "110100" => + dest <= 21; + src <= 28; + when "110101" => + dest <= 29; + src <= 22; + when "110110" => + dest <= 34; + src <= 14; + when "110111" => + dest <= 28; + src <= 35; + when "111000" => + dest <= 10; + src <= 34; + when "111001" => + dest <= 4; + src <= 29; + when others => + dest <= 28; + src <= 28; + + end case; + end process; + + end generate NI_NUM28; + + NI_NUM29 : if NI_NUM = 29 generate + process(count) begin + + case count is + + when "000000" => + dest <= 34; + src <= 29; + when "000001" => + dest <= 29; + src <= 29; + when "000010" => + dest <= 12; + src <= 29; + when "000011" => + dest <= 1; + src <= 29; + when "000100" => + dest <= 4; + src <= 31; + when "000101" => + dest <= 29; + src <= 29; + when "000110" => + dest <= 18; + src <= 5; + when "000111" => + dest <= 29; + src <= 11; + when "001000" => + dest <= 10; + src <= 8; + when "001001" => + dest <= 33; + src <= 29; + when "001010" => + dest <= 47; + src <= 4; + when "001011" => + dest <= 8; + src <= 29; + when "001100" => + dest <= 6; + src <= 12; + when "001101" => + dest <= 3; + src <= 47; + when "001110" => + dest <= 23; + src <= 32; + when "001111" => + dest <= 19; + src <= 6; + when "010000" => + dest <= 46; + src <= 1; + when "010001" => + dest <= 13; + src <= 18; + when "010010" => + dest <= 36; + src <= 35; + when "010011" => + dest <= 45; + src <= 10; + when "010100" => + dest <= 25; + src <= 13; + when "010101" => + dest <= 26; + src <= 22; + when "010110" => + dest <= 17; + src <= 46; + when "010111" => + dest <= 29; + src <= 19; + when "011000" => + dest <= 40; + src <= 3; + when "011001" => + dest <= 9; + src <= 20; + when "011010" => + dest <= 48; + src <= 40; + when "011011" => + dest <= 2; + src <= 39; + when "011100" => + dest <= 7; + src <= 48; + when "011101" => + dest <= 39; + src <= 29; + when "011110" => + dest <= 29; + src <= 25; + when "011111" => + dest <= 20; + src <= 0; + when "100000" => + dest <= 31; + src <= 17; + when "100001" => + dest <= 0; + src <= 7; + when "100010" => + dest <= 21; + src <= 2; + when "100011" => + dest <= 24; + src <= 26; + when "100100" => + dest <= 27; + src <= 34; + when "100101" => + dest <= 16; + src <= 45; + when "100110" => + dest <= 41; + src <= 37; + when "100111" => + dest <= 14; + src <= 9; + when "101000" => + dest <= 44; + src <= 41; + when "101001" => + dest <= 38; + src <= 38; + when "101010" => + dest <= 29; + src <= 42; + when "101011" => + dest <= 32; + src <= 24; + when "101100" => + dest <= 42; + src <= 44; + when "101101" => + dest <= 29; + src <= 14; + when "101110" => + dest <= 15; + src <= 27; + when "101111" => + dest <= 37; + src <= 29; + when "110000" => + dest <= 29; + src <= 33; + when "110001" => + dest <= 35; + src <= 16; + when "110010" => + dest <= 43; + src <= 43; + when "110011" => + dest <= 29; + src <= 21; + when "110100" => + dest <= 22; + src <= 29; + when "110101" => + dest <= 30; + src <= 23; + when "110110" => + dest <= 28; + src <= 15; + when "110111" => + dest <= 29; + src <= 36; + when "111000" => + dest <= 11; + src <= 28; + when "111001" => + dest <= 5; + src <= 30; + when others => + dest <= 29; + src <= 29; + + end case; + end process; + + end generate NI_NUM29; + + NI_NUM30 : if NI_NUM = 30 generate + process(count) begin + + case count is + + when "000000" => + dest <= 28; + src <= 30; + when "000001" => + dest <= 30; + src <= 30; + when "000010" => + dest <= 13; + src <= 30; + when "000011" => + dest <= 2; + src <= 30; + when "000100" => + dest <= 5; + src <= 32; + when "000101" => + dest <= 30; + src <= 30; + when "000110" => + dest <= 19; + src <= 6; + when "000111" => + dest <= 30; + src <= 12; + when "001000" => + dest <= 11; + src <= 9; + when "001001" => + dest <= 34; + src <= 30; + when "001010" => + dest <= 48; + src <= 5; + when "001011" => + dest <= 9; + src <= 30; + when "001100" => + dest <= 0; + src <= 13; + when "001101" => + dest <= 4; + src <= 48; + when "001110" => + dest <= 24; + src <= 33; + when "001111" => + dest <= 20; + src <= 0; + when "010000" => + dest <= 47; + src <= 2; + when "010001" => + dest <= 7; + src <= 19; + when "010010" => + dest <= 37; + src <= 36; + when "010011" => + dest <= 46; + src <= 11; + when "010100" => + dest <= 26; + src <= 7; + when "010101" => + dest <= 27; + src <= 23; + when "010110" => + dest <= 18; + src <= 47; + when "010111" => + dest <= 30; + src <= 20; + when "011000" => + dest <= 41; + src <= 4; + when "011001" => + dest <= 10; + src <= 14; + when "011010" => + dest <= 42; + src <= 41; + when "011011" => + dest <= 3; + src <= 40; + when "011100" => + dest <= 8; + src <= 42; + when "011101" => + dest <= 40; + src <= 30; + when "011110" => + dest <= 30; + src <= 26; + when "011111" => + dest <= 14; + src <= 1; + when "100000" => + dest <= 32; + src <= 18; + when "100001" => + dest <= 1; + src <= 8; + when "100010" => + dest <= 22; + src <= 3; + when "100011" => + dest <= 25; + src <= 27; + when "100100" => + dest <= 21; + src <= 28; + when "100101" => + dest <= 17; + src <= 46; + when "100110" => + dest <= 35; + src <= 38; + when "100111" => + dest <= 15; + src <= 10; + when "101000" => + dest <= 45; + src <= 35; + when "101001" => + dest <= 39; + src <= 39; + when "101010" => + dest <= 30; + src <= 43; + when "101011" => + dest <= 33; + src <= 25; + when "101100" => + dest <= 43; + src <= 45; + when "101101" => + dest <= 30; + src <= 15; + when "101110" => + dest <= 16; + src <= 21; + when "101111" => + dest <= 38; + src <= 30; + when "110000" => + dest <= 30; + src <= 34; + when "110001" => + dest <= 36; + src <= 17; + when "110010" => + dest <= 44; + src <= 44; + when "110011" => + dest <= 30; + src <= 22; + when "110100" => + dest <= 23; + src <= 30; + when "110101" => + dest <= 31; + src <= 24; + when "110110" => + dest <= 29; + src <= 16; + when "110111" => + dest <= 30; + src <= 37; + when "111000" => + dest <= 12; + src <= 29; + when "111001" => + dest <= 6; + src <= 31; + when others => + dest <= 30; + src <= 30; + + end case; + end process; + + end generate NI_NUM30; + + NI_NUM31 : if NI_NUM = 31 generate + process(count) begin + + case count is + + when "000000" => + dest <= 29; + src <= 31; + when "000001" => + dest <= 31; + src <= 31; + when "000010" => + dest <= 7; + src <= 31; + when "000011" => + dest <= 3; + src <= 31; + when "000100" => + dest <= 6; + src <= 33; + when "000101" => + dest <= 31; + src <= 31; + when "000110" => + dest <= 20; + src <= 0; + when "000111" => + dest <= 31; + src <= 13; + when "001000" => + dest <= 12; + src <= 10; + when "001001" => + dest <= 28; + src <= 31; + when "001010" => + dest <= 42; + src <= 6; + when "001011" => + dest <= 10; + src <= 31; + when "001100" => + dest <= 1; + src <= 7; + when "001101" => + dest <= 5; + src <= 42; + when "001110" => + dest <= 25; + src <= 34; + when "001111" => + dest <= 14; + src <= 1; + when "010000" => + dest <= 48; + src <= 3; + when "010001" => + dest <= 8; + src <= 20; + when "010010" => + dest <= 38; + src <= 37; + when "010011" => + dest <= 47; + src <= 12; + when "010100" => + dest <= 27; + src <= 8; + when "010101" => + dest <= 21; + src <= 24; + when "010110" => + dest <= 19; + src <= 48; + when "010111" => + dest <= 31; + src <= 14; + when "011000" => + dest <= 35; + src <= 5; + when "011001" => + dest <= 11; + src <= 15; + when "011010" => + dest <= 43; + src <= 35; + when "011011" => + dest <= 4; + src <= 41; + when "011100" => + dest <= 9; + src <= 43; + when "011101" => + dest <= 41; + src <= 31; + when "011110" => + dest <= 31; + src <= 27; + when "011111" => + dest <= 15; + src <= 2; + when "100000" => + dest <= 33; + src <= 19; + when "100001" => + dest <= 2; + src <= 9; + when "100010" => + dest <= 23; + src <= 4; + when "100011" => + dest <= 26; + src <= 21; + when "100100" => + dest <= 22; + src <= 29; + when "100101" => + dest <= 18; + src <= 47; + when "100110" => + dest <= 36; + src <= 39; + when "100111" => + dest <= 16; + src <= 11; + when "101000" => + dest <= 46; + src <= 36; + when "101001" => + dest <= 40; + src <= 40; + when "101010" => + dest <= 31; + src <= 44; + when "101011" => + dest <= 34; + src <= 26; + when "101100" => + dest <= 44; + src <= 46; + when "101101" => + dest <= 31; + src <= 16; + when "101110" => + dest <= 17; + src <= 22; + when "101111" => + dest <= 39; + src <= 31; + when "110000" => + dest <= 31; + src <= 28; + when "110001" => + dest <= 37; + src <= 18; + when "110010" => + dest <= 45; + src <= 45; + when "110011" => + dest <= 31; + src <= 23; + when "110100" => + dest <= 24; + src <= 31; + when "110101" => + dest <= 32; + src <= 25; + when "110110" => + dest <= 30; + src <= 17; + when "110111" => + dest <= 31; + src <= 38; + when "111000" => + dest <= 13; + src <= 30; + when "111001" => + dest <= 0; + src <= 32; + when others => + dest <= 31; + src <= 31; + + end case; + end process; + + end generate NI_NUM31; + + NI_NUM32 : if NI_NUM = 32 generate + process(count) begin + + case count is + + when "000000" => + dest <= 30; + src <= 32; + when "000001" => + dest <= 32; + src <= 32; + when "000010" => + dest <= 8; + src <= 32; + when "000011" => + dest <= 4; + src <= 32; + when "000100" => + dest <= 0; + src <= 34; + when "000101" => + dest <= 32; + src <= 32; + when "000110" => + dest <= 14; + src <= 1; + when "000111" => + dest <= 32; + src <= 7; + when "001000" => + dest <= 13; + src <= 11; + when "001001" => + dest <= 29; + src <= 32; + when "001010" => + dest <= 43; + src <= 0; + when "001011" => + dest <= 11; + src <= 32; + when "001100" => + dest <= 2; + src <= 8; + when "001101" => + dest <= 6; + src <= 43; + when "001110" => + dest <= 26; + src <= 28; + when "001111" => + dest <= 15; + src <= 2; + when "010000" => + dest <= 42; + src <= 4; + when "010001" => + dest <= 9; + src <= 14; + when "010010" => + dest <= 39; + src <= 38; + when "010011" => + dest <= 48; + src <= 13; + when "010100" => + dest <= 21; + src <= 9; + when "010101" => + dest <= 22; + src <= 25; + when "010110" => + dest <= 20; + src <= 42; + when "010111" => + dest <= 32; + src <= 15; + when "011000" => + dest <= 36; + src <= 6; + when "011001" => + dest <= 12; + src <= 16; + when "011010" => + dest <= 44; + src <= 36; + when "011011" => + dest <= 5; + src <= 35; + when "011100" => + dest <= 10; + src <= 44; + when "011101" => + dest <= 35; + src <= 32; + when "011110" => + dest <= 32; + src <= 21; + when "011111" => + dest <= 16; + src <= 3; + when "100000" => + dest <= 34; + src <= 20; + when "100001" => + dest <= 3; + src <= 10; + when "100010" => + dest <= 24; + src <= 5; + when "100011" => + dest <= 27; + src <= 22; + when "100100" => + dest <= 23; + src <= 30; + when "100101" => + dest <= 19; + src <= 48; + when "100110" => + dest <= 37; + src <= 40; + when "100111" => + dest <= 17; + src <= 12; + when "101000" => + dest <= 47; + src <= 37; + when "101001" => + dest <= 41; + src <= 41; + when "101010" => + dest <= 32; + src <= 45; + when "101011" => + dest <= 28; + src <= 27; + when "101100" => + dest <= 45; + src <= 47; + when "101101" => + dest <= 32; + src <= 17; + when "101110" => + dest <= 18; + src <= 23; + when "101111" => + dest <= 40; + src <= 32; + when "110000" => + dest <= 32; + src <= 29; + when "110001" => + dest <= 38; + src <= 19; + when "110010" => + dest <= 46; + src <= 46; + when "110011" => + dest <= 32; + src <= 24; + when "110100" => + dest <= 25; + src <= 32; + when "110101" => + dest <= 33; + src <= 26; + when "110110" => + dest <= 31; + src <= 18; + when "110111" => + dest <= 32; + src <= 39; + when "111000" => + dest <= 7; + src <= 31; + when "111001" => + dest <= 1; + src <= 33; + when others => + dest <= 32; + src <= 32; + + end case; + end process; + + end generate NI_NUM32; + + NI_NUM33 : if NI_NUM = 33 generate + process(count) begin + + case count is + + when "000000" => + dest <= 31; + src <= 33; + when "000001" => + dest <= 33; + src <= 33; + when "000010" => + dest <= 9; + src <= 33; + when "000011" => + dest <= 5; + src <= 33; + when "000100" => + dest <= 1; + src <= 28; + when "000101" => + dest <= 33; + src <= 33; + when "000110" => + dest <= 15; + src <= 2; + when "000111" => + dest <= 33; + src <= 8; + when "001000" => + dest <= 7; + src <= 12; + when "001001" => + dest <= 30; + src <= 33; + when "001010" => + dest <= 44; + src <= 1; + when "001011" => + dest <= 12; + src <= 33; + when "001100" => + dest <= 3; + src <= 9; + when "001101" => + dest <= 0; + src <= 44; + when "001110" => + dest <= 27; + src <= 29; + when "001111" => + dest <= 16; + src <= 3; + when "010000" => + dest <= 43; + src <= 5; + when "010001" => + dest <= 10; + src <= 15; + when "010010" => + dest <= 40; + src <= 39; + when "010011" => + dest <= 42; + src <= 7; + when "010100" => + dest <= 22; + src <= 10; + when "010101" => + dest <= 23; + src <= 26; + when "010110" => + dest <= 14; + src <= 43; + when "010111" => + dest <= 33; + src <= 16; + when "011000" => + dest <= 37; + src <= 0; + when "011001" => + dest <= 13; + src <= 17; + when "011010" => + dest <= 45; + src <= 37; + when "011011" => + dest <= 6; + src <= 36; + when "011100" => + dest <= 11; + src <= 45; + when "011101" => + dest <= 36; + src <= 33; + when "011110" => + dest <= 33; + src <= 22; + when "011111" => + dest <= 17; + src <= 4; + when "100000" => + dest <= 28; + src <= 14; + when "100001" => + dest <= 4; + src <= 11; + when "100010" => + dest <= 25; + src <= 6; + when "100011" => + dest <= 21; + src <= 23; + when "100100" => + dest <= 24; + src <= 31; + when "100101" => + dest <= 20; + src <= 42; + when "100110" => + dest <= 38; + src <= 41; + when "100111" => + dest <= 18; + src <= 13; + when "101000" => + dest <= 48; + src <= 38; + when "101001" => + dest <= 35; + src <= 35; + when "101010" => + dest <= 33; + src <= 46; + when "101011" => + dest <= 29; + src <= 21; + when "101100" => + dest <= 46; + src <= 48; + when "101101" => + dest <= 33; + src <= 18; + when "101110" => + dest <= 19; + src <= 24; + when "101111" => + dest <= 41; + src <= 33; + when "110000" => + dest <= 33; + src <= 30; + when "110001" => + dest <= 39; + src <= 20; + when "110010" => + dest <= 47; + src <= 47; + when "110011" => + dest <= 33; + src <= 25; + when "110100" => + dest <= 26; + src <= 33; + when "110101" => + dest <= 34; + src <= 27; + when "110110" => + dest <= 32; + src <= 19; + when "110111" => + dest <= 33; + src <= 40; + when "111000" => + dest <= 8; + src <= 32; + when "111001" => + dest <= 2; + src <= 34; + when others => + dest <= 33; + src <= 33; + + end case; + end process; + + end generate NI_NUM33; + + NI_NUM34 : if NI_NUM = 34 generate + process(count) begin + + case count is + + when "000000" => + dest <= 32; + src <= 34; + when "000001" => + dest <= 34; + src <= 34; + when "000010" => + dest <= 10; + src <= 34; + when "000011" => + dest <= 6; + src <= 34; + when "000100" => + dest <= 2; + src <= 29; + when "000101" => + dest <= 34; + src <= 34; + when "000110" => + dest <= 16; + src <= 3; + when "000111" => + dest <= 34; + src <= 9; + when "001000" => + dest <= 8; + src <= 13; + when "001001" => + dest <= 31; + src <= 34; + when "001010" => + dest <= 45; + src <= 2; + when "001011" => + dest <= 13; + src <= 34; + when "001100" => + dest <= 4; + src <= 10; + when "001101" => + dest <= 1; + src <= 45; + when "001110" => + dest <= 21; + src <= 30; + when "001111" => + dest <= 17; + src <= 4; + when "010000" => + dest <= 44; + src <= 6; + when "010001" => + dest <= 11; + src <= 16; + when "010010" => + dest <= 41; + src <= 40; + when "010011" => + dest <= 43; + src <= 8; + when "010100" => + dest <= 23; + src <= 11; + when "010101" => + dest <= 24; + src <= 27; + when "010110" => + dest <= 15; + src <= 44; + when "010111" => + dest <= 34; + src <= 17; + when "011000" => + dest <= 38; + src <= 1; + when "011001" => + dest <= 7; + src <= 18; + when "011010" => + dest <= 46; + src <= 38; + when "011011" => + dest <= 0; + src <= 37; + when "011100" => + dest <= 12; + src <= 46; + when "011101" => + dest <= 37; + src <= 34; + when "011110" => + dest <= 34; + src <= 23; + when "011111" => + dest <= 18; + src <= 5; + when "100000" => + dest <= 29; + src <= 15; + when "100001" => + dest <= 5; + src <= 12; + when "100010" => + dest <= 26; + src <= 0; + when "100011" => + dest <= 22; + src <= 24; + when "100100" => + dest <= 25; + src <= 32; + when "100101" => + dest <= 14; + src <= 43; + when "100110" => + dest <= 39; + src <= 35; + when "100111" => + dest <= 19; + src <= 7; + when "101000" => + dest <= 42; + src <= 39; + when "101001" => + dest <= 36; + src <= 36; + when "101010" => + dest <= 34; + src <= 47; + when "101011" => + dest <= 30; + src <= 22; + when "101100" => + dest <= 47; + src <= 42; + when "101101" => + dest <= 34; + src <= 19; + when "101110" => + dest <= 20; + src <= 25; + when "101111" => + dest <= 35; + src <= 34; + when "110000" => + dest <= 34; + src <= 31; + when "110001" => + dest <= 40; + src <= 14; + when "110010" => + dest <= 48; + src <= 48; + when "110011" => + dest <= 34; + src <= 26; + when "110100" => + dest <= 27; + src <= 34; + when "110101" => + dest <= 28; + src <= 21; + when "110110" => + dest <= 33; + src <= 20; + when "110111" => + dest <= 34; + src <= 41; + when "111000" => + dest <= 9; + src <= 33; + when "111001" => + dest <= 3; + src <= 28; + when others => + dest <= 34; + src <= 34; + + end case; + end process; + + end generate NI_NUM34; + + NI_NUM35 : if NI_NUM = 35 generate + process(count) begin + + case count is + + when "000000" => + dest <= 40; + src <= 35; + when "000001" => + dest <= 35; + src <= 35; + when "000010" => + dest <= 18; + src <= 35; + when "000011" => + dest <= 7; + src <= 35; + when "000100" => + dest <= 10; + src <= 37; + when "000101" => + dest <= 35; + src <= 35; + when "000110" => + dest <= 24; + src <= 11; + when "000111" => + dest <= 35; + src <= 17; + when "001000" => + dest <= 16; + src <= 14; + when "001001" => + dest <= 39; + src <= 35; + when "001010" => + dest <= 4; + src <= 10; + when "001011" => + dest <= 14; + src <= 35; + when "001100" => + dest <= 12; + src <= 18; + when "001101" => + dest <= 9; + src <= 4; + when "001110" => + dest <= 29; + src <= 38; + when "001111" => + dest <= 25; + src <= 12; + when "010000" => + dest <= 3; + src <= 7; + when "010001" => + dest <= 19; + src <= 24; + when "010010" => + dest <= 42; + src <= 48; + when "010011" => + dest <= 2; + src <= 16; + when "010100" => + dest <= 31; + src <= 19; + when "010101" => + dest <= 32; + src <= 28; + when "010110" => + dest <= 23; + src <= 3; + when "010111" => + dest <= 35; + src <= 25; + when "011000" => + dest <= 46; + src <= 9; + when "011001" => + dest <= 15; + src <= 26; + when "011010" => + dest <= 5; + src <= 46; + when "011011" => + dest <= 8; + src <= 45; + when "011100" => + dest <= 20; + src <= 5; + when "011101" => + dest <= 45; + src <= 35; + when "011110" => + dest <= 35; + src <= 31; + when "011111" => + dest <= 26; + src <= 13; + when "100000" => + dest <= 37; + src <= 23; + when "100001" => + dest <= 13; + src <= 20; + when "100010" => + dest <= 34; + src <= 8; + when "100011" => + dest <= 30; + src <= 32; + when "100100" => + dest <= 33; + src <= 40; + when "100101" => + dest <= 22; + src <= 2; + when "100110" => + dest <= 47; + src <= 43; + when "100111" => + dest <= 27; + src <= 15; + when "101000" => + dest <= 1; + src <= 47; + when "101001" => + dest <= 44; + src <= 44; + when "101010" => + dest <= 35; + src <= 6; + when "101011" => + dest <= 38; + src <= 30; + when "101100" => + dest <= 6; + src <= 1; + when "101101" => + dest <= 35; + src <= 27; + when "101110" => + dest <= 21; + src <= 33; + when "101111" => + dest <= 43; + src <= 35; + when "110000" => + dest <= 35; + src <= 39; + when "110001" => + dest <= 48; + src <= 22; + when "110010" => + dest <= 0; + src <= 0; + when "110011" => + dest <= 35; + src <= 34; + when "110100" => + dest <= 28; + src <= 35; + when "110101" => + dest <= 36; + src <= 29; + when "110110" => + dest <= 41; + src <= 21; + when "110111" => + dest <= 35; + src <= 42; + when "111000" => + dest <= 17; + src <= 41; + when "111001" => + dest <= 11; + src <= 36; + when others => + dest <= 35; + src <= 35; + + end case; + end process; + + end generate NI_NUM35; + + NI_NUM36 : if NI_NUM = 36 generate + process(count) begin + + case count is + + when "000000" => + dest <= 41; + src <= 36; + when "000001" => + dest <= 36; + src <= 36; + when "000010" => + dest <= 19; + src <= 36; + when "000011" => + dest <= 8; + src <= 36; + when "000100" => + dest <= 11; + src <= 38; + when "000101" => + dest <= 36; + src <= 36; + when "000110" => + dest <= 25; + src <= 12; + when "000111" => + dest <= 36; + src <= 18; + when "001000" => + dest <= 17; + src <= 15; + when "001001" => + dest <= 40; + src <= 36; + when "001010" => + dest <= 5; + src <= 11; + when "001011" => + dest <= 15; + src <= 36; + when "001100" => + dest <= 13; + src <= 19; + when "001101" => + dest <= 10; + src <= 5; + when "001110" => + dest <= 30; + src <= 39; + when "001111" => + dest <= 26; + src <= 13; + when "010000" => + dest <= 4; + src <= 8; + when "010001" => + dest <= 20; + src <= 25; + when "010010" => + dest <= 43; + src <= 42; + when "010011" => + dest <= 3; + src <= 17; + when "010100" => + dest <= 32; + src <= 20; + when "010101" => + dest <= 33; + src <= 29; + when "010110" => + dest <= 24; + src <= 4; + when "010111" => + dest <= 36; + src <= 26; + when "011000" => + dest <= 47; + src <= 10; + when "011001" => + dest <= 16; + src <= 27; + when "011010" => + dest <= 6; + src <= 47; + when "011011" => + dest <= 9; + src <= 46; + when "011100" => + dest <= 14; + src <= 6; + when "011101" => + dest <= 46; + src <= 36; + when "011110" => + dest <= 36; + src <= 32; + when "011111" => + dest <= 27; + src <= 7; + when "100000" => + dest <= 38; + src <= 24; + when "100001" => + dest <= 7; + src <= 14; + when "100010" => + dest <= 28; + src <= 9; + when "100011" => + dest <= 31; + src <= 33; + when "100100" => + dest <= 34; + src <= 41; + when "100101" => + dest <= 23; + src <= 3; + when "100110" => + dest <= 48; + src <= 44; + when "100111" => + dest <= 21; + src <= 16; + when "101000" => + dest <= 2; + src <= 48; + when "101001" => + dest <= 45; + src <= 45; + when "101010" => + dest <= 36; + src <= 0; + when "101011" => + dest <= 39; + src <= 31; + when "101100" => + dest <= 0; + src <= 2; + when "101101" => + dest <= 36; + src <= 21; + when "101110" => + dest <= 22; + src <= 34; + when "101111" => + dest <= 44; + src <= 36; + when "110000" => + dest <= 36; + src <= 40; + when "110001" => + dest <= 42; + src <= 23; + when "110010" => + dest <= 1; + src <= 1; + when "110011" => + dest <= 36; + src <= 28; + when "110100" => + dest <= 29; + src <= 36; + when "110101" => + dest <= 37; + src <= 30; + when "110110" => + dest <= 35; + src <= 22; + when "110111" => + dest <= 36; + src <= 43; + when "111000" => + dest <= 18; + src <= 35; + when "111001" => + dest <= 12; + src <= 37; + when others => + dest <= 36; + src <= 36; + + end case; + end process; + + end generate NI_NUM36; + + NI_NUM37 : if NI_NUM = 37 generate + process(count) begin + + case count is + + when "000000" => + dest <= 35; + src <= 37; + when "000001" => + dest <= 37; + src <= 37; + when "000010" => + dest <= 20; + src <= 37; + when "000011" => + dest <= 9; + src <= 37; + when "000100" => + dest <= 12; + src <= 39; + when "000101" => + dest <= 37; + src <= 37; + when "000110" => + dest <= 26; + src <= 13; + when "000111" => + dest <= 37; + src <= 19; + when "001000" => + dest <= 18; + src <= 16; + when "001001" => + dest <= 41; + src <= 37; + when "001010" => + dest <= 6; + src <= 12; + when "001011" => + dest <= 16; + src <= 37; + when "001100" => + dest <= 7; + src <= 20; + when "001101" => + dest <= 11; + src <= 6; + when "001110" => + dest <= 31; + src <= 40; + when "001111" => + dest <= 27; + src <= 7; + when "010000" => + dest <= 5; + src <= 9; + when "010001" => + dest <= 14; + src <= 26; + when "010010" => + dest <= 44; + src <= 43; + when "010011" => + dest <= 4; + src <= 18; + when "010100" => + dest <= 33; + src <= 14; + when "010101" => + dest <= 34; + src <= 30; + when "010110" => + dest <= 25; + src <= 5; + when "010111" => + dest <= 37; + src <= 27; + when "011000" => + dest <= 48; + src <= 11; + when "011001" => + dest <= 17; + src <= 21; + when "011010" => + dest <= 0; + src <= 48; + when "011011" => + dest <= 10; + src <= 47; + when "011100" => + dest <= 15; + src <= 0; + when "011101" => + dest <= 47; + src <= 37; + when "011110" => + dest <= 37; + src <= 33; + when "011111" => + dest <= 21; + src <= 8; + when "100000" => + dest <= 39; + src <= 25; + when "100001" => + dest <= 8; + src <= 15; + when "100010" => + dest <= 29; + src <= 10; + when "100011" => + dest <= 32; + src <= 34; + when "100100" => + dest <= 28; + src <= 35; + when "100101" => + dest <= 24; + src <= 4; + when "100110" => + dest <= 42; + src <= 45; + when "100111" => + dest <= 22; + src <= 17; + when "101000" => + dest <= 3; + src <= 42; + when "101001" => + dest <= 46; + src <= 46; + when "101010" => + dest <= 37; + src <= 1; + when "101011" => + dest <= 40; + src <= 32; + when "101100" => + dest <= 1; + src <= 3; + when "101101" => + dest <= 37; + src <= 22; + when "101110" => + dest <= 23; + src <= 28; + when "101111" => + dest <= 45; + src <= 37; + when "110000" => + dest <= 37; + src <= 41; + when "110001" => + dest <= 43; + src <= 24; + when "110010" => + dest <= 2; + src <= 2; + when "110011" => + dest <= 37; + src <= 29; + when "110100" => + dest <= 30; + src <= 37; + when "110101" => + dest <= 38; + src <= 31; + when "110110" => + dest <= 36; + src <= 23; + when "110111" => + dest <= 37; + src <= 44; + when "111000" => + dest <= 19; + src <= 36; + when "111001" => + dest <= 13; + src <= 38; + when others => + dest <= 37; + src <= 37; + + end case; + end process; + + end generate NI_NUM37; + + NI_NUM38 : if NI_NUM = 38 generate + process(count) begin + + case count is + + when "000000" => + dest <= 36; + src <= 38; + when "000001" => + dest <= 38; + src <= 38; + when "000010" => + dest <= 14; + src <= 38; + when "000011" => + dest <= 10; + src <= 38; + when "000100" => + dest <= 13; + src <= 40; + when "000101" => + dest <= 38; + src <= 38; + when "000110" => + dest <= 27; + src <= 7; + when "000111" => + dest <= 38; + src <= 20; + when "001000" => + dest <= 19; + src <= 17; + when "001001" => + dest <= 35; + src <= 38; + when "001010" => + dest <= 0; + src <= 13; + when "001011" => + dest <= 17; + src <= 38; + when "001100" => + dest <= 8; + src <= 14; + when "001101" => + dest <= 12; + src <= 0; + when "001110" => + dest <= 32; + src <= 41; + when "001111" => + dest <= 21; + src <= 8; + when "010000" => + dest <= 6; + src <= 10; + when "010001" => + dest <= 15; + src <= 27; + when "010010" => + dest <= 45; + src <= 44; + when "010011" => + dest <= 5; + src <= 19; + when "010100" => + dest <= 34; + src <= 15; + when "010101" => + dest <= 28; + src <= 31; + when "010110" => + dest <= 26; + src <= 6; + when "010111" => + dest <= 38; + src <= 21; + when "011000" => + dest <= 42; + src <= 12; + when "011001" => + dest <= 18; + src <= 22; + when "011010" => + dest <= 1; + src <= 42; + when "011011" => + dest <= 11; + src <= 48; + when "011100" => + dest <= 16; + src <= 1; + when "011101" => + dest <= 48; + src <= 38; + when "011110" => + dest <= 38; + src <= 34; + when "011111" => + dest <= 22; + src <= 9; + when "100000" => + dest <= 40; + src <= 26; + when "100001" => + dest <= 9; + src <= 16; + when "100010" => + dest <= 30; + src <= 11; + when "100011" => + dest <= 33; + src <= 28; + when "100100" => + dest <= 29; + src <= 36; + when "100101" => + dest <= 25; + src <= 5; + when "100110" => + dest <= 43; + src <= 46; + when "100111" => + dest <= 23; + src <= 18; + when "101000" => + dest <= 4; + src <= 43; + when "101001" => + dest <= 47; + src <= 47; + when "101010" => + dest <= 38; + src <= 2; + when "101011" => + dest <= 41; + src <= 33; + when "101100" => + dest <= 2; + src <= 4; + when "101101" => + dest <= 38; + src <= 23; + when "101110" => + dest <= 24; + src <= 29; + when "101111" => + dest <= 46; + src <= 38; + when "110000" => + dest <= 38; + src <= 35; + when "110001" => + dest <= 44; + src <= 25; + when "110010" => + dest <= 3; + src <= 3; + when "110011" => + dest <= 38; + src <= 30; + when "110100" => + dest <= 31; + src <= 38; + when "110101" => + dest <= 39; + src <= 32; + when "110110" => + dest <= 37; + src <= 24; + when "110111" => + dest <= 38; + src <= 45; + when "111000" => + dest <= 20; + src <= 37; + when "111001" => + dest <= 7; + src <= 39; + when others => + dest <= 38; + src <= 38; + + end case; + end process; + + end generate NI_NUM38; + + NI_NUM39 : if NI_NUM = 39 generate + process(count) begin + + case count is + + when "000000" => + dest <= 37; + src <= 39; + when "000001" => + dest <= 39; + src <= 39; + when "000010" => + dest <= 15; + src <= 39; + when "000011" => + dest <= 11; + src <= 39; + when "000100" => + dest <= 7; + src <= 41; + when "000101" => + dest <= 39; + src <= 39; + when "000110" => + dest <= 21; + src <= 8; + when "000111" => + dest <= 39; + src <= 14; + when "001000" => + dest <= 20; + src <= 18; + when "001001" => + dest <= 36; + src <= 39; + when "001010" => + dest <= 1; + src <= 7; + when "001011" => + dest <= 18; + src <= 39; + when "001100" => + dest <= 9; + src <= 15; + when "001101" => + dest <= 13; + src <= 1; + when "001110" => + dest <= 33; + src <= 35; + when "001111" => + dest <= 22; + src <= 9; + when "010000" => + dest <= 0; + src <= 11; + when "010001" => + dest <= 16; + src <= 21; + when "010010" => + dest <= 46; + src <= 45; + when "010011" => + dest <= 6; + src <= 20; + when "010100" => + dest <= 28; + src <= 16; + when "010101" => + dest <= 29; + src <= 32; + when "010110" => + dest <= 27; + src <= 0; + when "010111" => + dest <= 39; + src <= 22; + when "011000" => + dest <= 43; + src <= 13; + when "011001" => + dest <= 19; + src <= 23; + when "011010" => + dest <= 2; + src <= 43; + when "011011" => + dest <= 12; + src <= 42; + when "011100" => + dest <= 17; + src <= 2; + when "011101" => + dest <= 42; + src <= 39; + when "011110" => + dest <= 39; + src <= 28; + when "011111" => + dest <= 23; + src <= 10; + when "100000" => + dest <= 41; + src <= 27; + when "100001" => + dest <= 10; + src <= 17; + when "100010" => + dest <= 31; + src <= 12; + when "100011" => + dest <= 34; + src <= 29; + when "100100" => + dest <= 30; + src <= 37; + when "100101" => + dest <= 26; + src <= 6; + when "100110" => + dest <= 44; + src <= 47; + when "100111" => + dest <= 24; + src <= 19; + when "101000" => + dest <= 5; + src <= 44; + when "101001" => + dest <= 48; + src <= 48; + when "101010" => + dest <= 39; + src <= 3; + when "101011" => + dest <= 35; + src <= 34; + when "101100" => + dest <= 3; + src <= 5; + when "101101" => + dest <= 39; + src <= 24; + when "101110" => + dest <= 25; + src <= 30; + when "101111" => + dest <= 47; + src <= 39; + when "110000" => + dest <= 39; + src <= 36; + when "110001" => + dest <= 45; + src <= 26; + when "110010" => + dest <= 4; + src <= 4; + when "110011" => + dest <= 39; + src <= 31; + when "110100" => + dest <= 32; + src <= 39; + when "110101" => + dest <= 40; + src <= 33; + when "110110" => + dest <= 38; + src <= 25; + when "110111" => + dest <= 39; + src <= 46; + when "111000" => + dest <= 14; + src <= 38; + when "111001" => + dest <= 8; + src <= 40; + when others => + dest <= 39; + src <= 39; + + end case; + end process; + + end generate NI_NUM39; + + NI_NUM40 : if NI_NUM = 40 generate + process(count) begin + + case count is + + when "000000" => + dest <= 38; + src <= 40; + when "000001" => + dest <= 40; + src <= 40; + when "000010" => + dest <= 16; + src <= 40; + when "000011" => + dest <= 12; + src <= 40; + when "000100" => + dest <= 8; + src <= 35; + when "000101" => + dest <= 40; + src <= 40; + when "000110" => + dest <= 22; + src <= 9; + when "000111" => + dest <= 40; + src <= 15; + when "001000" => + dest <= 14; + src <= 19; + when "001001" => + dest <= 37; + src <= 40; + when "001010" => + dest <= 2; + src <= 8; + when "001011" => + dest <= 19; + src <= 40; + when "001100" => + dest <= 10; + src <= 16; + when "001101" => + dest <= 7; + src <= 2; + when "001110" => + dest <= 34; + src <= 36; + when "001111" => + dest <= 23; + src <= 10; + when "010000" => + dest <= 1; + src <= 12; + when "010001" => + dest <= 17; + src <= 22; + when "010010" => + dest <= 47; + src <= 46; + when "010011" => + dest <= 0; + src <= 14; + when "010100" => + dest <= 29; + src <= 17; + when "010101" => + dest <= 30; + src <= 33; + when "010110" => + dest <= 21; + src <= 1; + when "010111" => + dest <= 40; + src <= 23; + when "011000" => + dest <= 44; + src <= 7; + when "011001" => + dest <= 20; + src <= 24; + when "011010" => + dest <= 3; + src <= 44; + when "011011" => + dest <= 13; + src <= 43; + when "011100" => + dest <= 18; + src <= 3; + when "011101" => + dest <= 43; + src <= 40; + when "011110" => + dest <= 40; + src <= 29; + when "011111" => + dest <= 24; + src <= 11; + when "100000" => + dest <= 35; + src <= 21; + when "100001" => + dest <= 11; + src <= 18; + when "100010" => + dest <= 32; + src <= 13; + when "100011" => + dest <= 28; + src <= 30; + when "100100" => + dest <= 31; + src <= 38; + when "100101" => + dest <= 27; + src <= 0; + when "100110" => + dest <= 45; + src <= 48; + when "100111" => + dest <= 25; + src <= 20; + when "101000" => + dest <= 6; + src <= 45; + when "101001" => + dest <= 42; + src <= 42; + when "101010" => + dest <= 40; + src <= 4; + when "101011" => + dest <= 36; + src <= 28; + when "101100" => + dest <= 4; + src <= 6; + when "101101" => + dest <= 40; + src <= 25; + when "101110" => + dest <= 26; + src <= 31; + when "101111" => + dest <= 48; + src <= 40; + when "110000" => + dest <= 40; + src <= 37; + when "110001" => + dest <= 46; + src <= 27; + when "110010" => + dest <= 5; + src <= 5; + when "110011" => + dest <= 40; + src <= 32; + when "110100" => + dest <= 33; + src <= 40; + when "110101" => + dest <= 41; + src <= 34; + when "110110" => + dest <= 39; + src <= 26; + when "110111" => + dest <= 40; + src <= 47; + when "111000" => + dest <= 15; + src <= 39; + when "111001" => + dest <= 9; + src <= 41; + when others => + dest <= 40; + src <= 40; + + end case; + end process; + + end generate NI_NUM40; + + NI_NUM41 : if NI_NUM = 41 generate + process(count) begin + + case count is + + when "000000" => + dest <= 39; + src <= 41; + when "000001" => + dest <= 41; + src <= 41; + when "000010" => + dest <= 17; + src <= 41; + when "000011" => + dest <= 13; + src <= 41; + when "000100" => + dest <= 9; + src <= 36; + when "000101" => + dest <= 41; + src <= 41; + when "000110" => + dest <= 23; + src <= 10; + when "000111" => + dest <= 41; + src <= 16; + when "001000" => + dest <= 15; + src <= 20; + when "001001" => + dest <= 38; + src <= 41; + when "001010" => + dest <= 3; + src <= 9; + when "001011" => + dest <= 20; + src <= 41; + when "001100" => + dest <= 11; + src <= 17; + when "001101" => + dest <= 8; + src <= 3; + when "001110" => + dest <= 28; + src <= 37; + when "001111" => + dest <= 24; + src <= 11; + when "010000" => + dest <= 2; + src <= 13; + when "010001" => + dest <= 18; + src <= 23; + when "010010" => + dest <= 48; + src <= 47; + when "010011" => + dest <= 1; + src <= 15; + when "010100" => + dest <= 30; + src <= 18; + when "010101" => + dest <= 31; + src <= 34; + when "010110" => + dest <= 22; + src <= 2; + when "010111" => + dest <= 41; + src <= 24; + when "011000" => + dest <= 45; + src <= 8; + when "011001" => + dest <= 14; + src <= 25; + when "011010" => + dest <= 4; + src <= 45; + when "011011" => + dest <= 7; + src <= 44; + when "011100" => + dest <= 19; + src <= 4; + when "011101" => + dest <= 44; + src <= 41; + when "011110" => + dest <= 41; + src <= 30; + when "011111" => + dest <= 25; + src <= 12; + when "100000" => + dest <= 36; + src <= 22; + when "100001" => + dest <= 12; + src <= 19; + when "100010" => + dest <= 33; + src <= 7; + when "100011" => + dest <= 29; + src <= 31; + when "100100" => + dest <= 32; + src <= 39; + when "100101" => + dest <= 21; + src <= 1; + when "100110" => + dest <= 46; + src <= 42; + when "100111" => + dest <= 26; + src <= 14; + when "101000" => + dest <= 0; + src <= 46; + when "101001" => + dest <= 43; + src <= 43; + when "101010" => + dest <= 41; + src <= 5; + when "101011" => + dest <= 37; + src <= 29; + when "101100" => + dest <= 5; + src <= 0; + when "101101" => + dest <= 41; + src <= 26; + when "101110" => + dest <= 27; + src <= 32; + when "101111" => + dest <= 42; + src <= 41; + when "110000" => + dest <= 41; + src <= 38; + when "110001" => + dest <= 47; + src <= 21; + when "110010" => + dest <= 6; + src <= 6; + when "110011" => + dest <= 41; + src <= 33; + when "110100" => + dest <= 34; + src <= 41; + when "110101" => + dest <= 35; + src <= 28; + when "110110" => + dest <= 40; + src <= 27; + when "110111" => + dest <= 41; + src <= 48; + when "111000" => + dest <= 16; + src <= 40; + when "111001" => + dest <= 10; + src <= 35; + when others => + dest <= 41; + src <= 41; + + end case; + end process; + + end generate NI_NUM41; + + NI_NUM42 : if NI_NUM = 42 generate + process(count) begin + + case count is + + when "000000" => + dest <= 47; + src <= 42; + when "000001" => + dest <= 42; + src <= 42; + when "000010" => + dest <= 25; + src <= 42; + when "000011" => + dest <= 14; + src <= 42; + when "000100" => + dest <= 17; + src <= 44; + when "000101" => + dest <= 42; + src <= 42; + when "000110" => + dest <= 31; + src <= 18; + when "000111" => + dest <= 42; + src <= 24; + when "001000" => + dest <= 23; + src <= 21; + when "001001" => + dest <= 46; + src <= 42; + when "001010" => + dest <= 11; + src <= 17; + when "001011" => + dest <= 21; + src <= 42; + when "001100" => + dest <= 19; + src <= 25; + when "001101" => + dest <= 16; + src <= 11; + when "001110" => + dest <= 36; + src <= 45; + when "001111" => + dest <= 32; + src <= 19; + when "010000" => + dest <= 10; + src <= 14; + when "010001" => + dest <= 26; + src <= 31; + when "010010" => + dest <= 0; + src <= 6; + when "010011" => + dest <= 9; + src <= 23; + when "010100" => + dest <= 38; + src <= 26; + when "010101" => + dest <= 39; + src <= 35; + when "010110" => + dest <= 30; + src <= 10; + when "010111" => + dest <= 42; + src <= 32; + when "011000" => + dest <= 4; + src <= 16; + when "011001" => + dest <= 22; + src <= 33; + when "011010" => + dest <= 12; + src <= 4; + when "011011" => + dest <= 15; + src <= 3; + when "011100" => + dest <= 27; + src <= 12; + when "011101" => + dest <= 3; + src <= 42; + when "011110" => + dest <= 42; + src <= 38; + when "011111" => + dest <= 33; + src <= 20; + when "100000" => + dest <= 44; + src <= 30; + when "100001" => + dest <= 20; + src <= 27; + when "100010" => + dest <= 41; + src <= 15; + when "100011" => + dest <= 37; + src <= 39; + when "100100" => + dest <= 40; + src <= 47; + when "100101" => + dest <= 29; + src <= 9; + when "100110" => + dest <= 5; + src <= 1; + when "100111" => + dest <= 34; + src <= 22; + when "101000" => + dest <= 8; + src <= 5; + when "101001" => + dest <= 2; + src <= 2; + when "101010" => + dest <= 42; + src <= 13; + when "101011" => + dest <= 45; + src <= 37; + when "101100" => + dest <= 13; + src <= 8; + when "101101" => + dest <= 42; + src <= 34; + when "101110" => + dest <= 28; + src <= 40; + when "101111" => + dest <= 1; + src <= 42; + when "110000" => + dest <= 42; + src <= 46; + when "110001" => + dest <= 6; + src <= 29; + when "110010" => + dest <= 7; + src <= 7; + when "110011" => + dest <= 42; + src <= 41; + when "110100" => + dest <= 35; + src <= 42; + when "110101" => + dest <= 43; + src <= 36; + when "110110" => + dest <= 48; + src <= 28; + when "110111" => + dest <= 42; + src <= 0; + when "111000" => + dest <= 24; + src <= 48; + when "111001" => + dest <= 18; + src <= 43; + when others => + dest <= 42; + src <= 42; + + end case; + end process; + + end generate NI_NUM42; + + NI_NUM43 : if NI_NUM = 43 generate + process(count) begin + + case count is + + when "000000" => + dest <= 48; + src <= 43; + when "000001" => + dest <= 43; + src <= 43; + when "000010" => + dest <= 26; + src <= 43; + when "000011" => + dest <= 15; + src <= 43; + when "000100" => + dest <= 18; + src <= 45; + when "000101" => + dest <= 43; + src <= 43; + when "000110" => + dest <= 32; + src <= 19; + when "000111" => + dest <= 43; + src <= 25; + when "001000" => + dest <= 24; + src <= 22; + when "001001" => + dest <= 47; + src <= 43; + when "001010" => + dest <= 12; + src <= 18; + when "001011" => + dest <= 22; + src <= 43; + when "001100" => + dest <= 20; + src <= 26; + when "001101" => + dest <= 17; + src <= 12; + when "001110" => + dest <= 37; + src <= 46; + when "001111" => + dest <= 33; + src <= 20; + when "010000" => + dest <= 11; + src <= 15; + when "010001" => + dest <= 27; + src <= 32; + when "010010" => + dest <= 1; + src <= 0; + when "010011" => + dest <= 10; + src <= 24; + when "010100" => + dest <= 39; + src <= 27; + when "010101" => + dest <= 40; + src <= 36; + when "010110" => + dest <= 31; + src <= 11; + when "010111" => + dest <= 43; + src <= 33; + when "011000" => + dest <= 5; + src <= 17; + when "011001" => + dest <= 23; + src <= 34; + when "011010" => + dest <= 13; + src <= 5; + when "011011" => + dest <= 16; + src <= 4; + when "011100" => + dest <= 21; + src <= 13; + when "011101" => + dest <= 4; + src <= 43; + when "011110" => + dest <= 43; + src <= 39; + when "011111" => + dest <= 34; + src <= 14; + when "100000" => + dest <= 45; + src <= 31; + when "100001" => + dest <= 14; + src <= 21; + when "100010" => + dest <= 35; + src <= 16; + when "100011" => + dest <= 38; + src <= 40; + when "100100" => + dest <= 41; + src <= 48; + when "100101" => + dest <= 30; + src <= 10; + when "100110" => + dest <= 6; + src <= 2; + when "100111" => + dest <= 28; + src <= 23; + when "101000" => + dest <= 9; + src <= 6; + when "101001" => + dest <= 3; + src <= 3; + when "101010" => + dest <= 43; + src <= 7; + when "101011" => + dest <= 46; + src <= 38; + when "101100" => + dest <= 7; + src <= 9; + when "101101" => + dest <= 43; + src <= 28; + when "101110" => + dest <= 29; + src <= 41; + when "101111" => + dest <= 2; + src <= 43; + when "110000" => + dest <= 43; + src <= 47; + when "110001" => + dest <= 0; + src <= 30; + when "110010" => + dest <= 8; + src <= 8; + when "110011" => + dest <= 43; + src <= 35; + when "110100" => + dest <= 36; + src <= 43; + when "110101" => + dest <= 44; + src <= 37; + when "110110" => + dest <= 42; + src <= 29; + when "110111" => + dest <= 43; + src <= 1; + when "111000" => + dest <= 25; + src <= 42; + when "111001" => + dest <= 19; + src <= 44; + when others => + dest <= 43; + src <= 43; + + end case; + end process; + + end generate NI_NUM43; + + NI_NUM44 : if NI_NUM = 44 generate + process(count) begin + + case count is + + when "000000" => + dest <= 42; + src <= 44; + when "000001" => + dest <= 44; + src <= 44; + when "000010" => + dest <= 27; + src <= 44; + when "000011" => + dest <= 16; + src <= 44; + when "000100" => + dest <= 19; + src <= 46; + when "000101" => + dest <= 44; + src <= 44; + when "000110" => + dest <= 33; + src <= 20; + when "000111" => + dest <= 44; + src <= 26; + when "001000" => + dest <= 25; + src <= 23; + when "001001" => + dest <= 48; + src <= 44; + when "001010" => + dest <= 13; + src <= 19; + when "001011" => + dest <= 23; + src <= 44; + when "001100" => + dest <= 14; + src <= 27; + when "001101" => + dest <= 18; + src <= 13; + when "001110" => + dest <= 38; + src <= 47; + when "001111" => + dest <= 34; + src <= 14; + when "010000" => + dest <= 12; + src <= 16; + when "010001" => + dest <= 21; + src <= 33; + when "010010" => + dest <= 2; + src <= 1; + when "010011" => + dest <= 11; + src <= 25; + when "010100" => + dest <= 40; + src <= 21; + when "010101" => + dest <= 41; + src <= 37; + when "010110" => + dest <= 32; + src <= 12; + when "010111" => + dest <= 44; + src <= 34; + when "011000" => + dest <= 6; + src <= 18; + when "011001" => + dest <= 24; + src <= 28; + when "011010" => + dest <= 7; + src <= 6; + when "011011" => + dest <= 17; + src <= 5; + when "011100" => + dest <= 22; + src <= 7; + when "011101" => + dest <= 5; + src <= 44; + when "011110" => + dest <= 44; + src <= 40; + when "011111" => + dest <= 28; + src <= 15; + when "100000" => + dest <= 46; + src <= 32; + when "100001" => + dest <= 15; + src <= 22; + when "100010" => + dest <= 36; + src <= 17; + when "100011" => + dest <= 39; + src <= 41; + when "100100" => + dest <= 35; + src <= 42; + when "100101" => + dest <= 31; + src <= 11; + when "100110" => + dest <= 0; + src <= 3; + when "100111" => + dest <= 29; + src <= 24; + when "101000" => + dest <= 10; + src <= 0; + when "101001" => + dest <= 4; + src <= 4; + when "101010" => + dest <= 44; + src <= 8; + when "101011" => + dest <= 47; + src <= 39; + when "101100" => + dest <= 8; + src <= 10; + when "101101" => + dest <= 44; + src <= 29; + when "101110" => + dest <= 30; + src <= 35; + when "101111" => + dest <= 3; + src <= 44; + when "110000" => + dest <= 44; + src <= 48; + when "110001" => + dest <= 1; + src <= 31; + when "110010" => + dest <= 9; + src <= 9; + when "110011" => + dest <= 44; + src <= 36; + when "110100" => + dest <= 37; + src <= 44; + when "110101" => + dest <= 45; + src <= 38; + when "110110" => + dest <= 43; + src <= 30; + when "110111" => + dest <= 44; + src <= 2; + when "111000" => + dest <= 26; + src <= 43; + when "111001" => + dest <= 20; + src <= 45; + when others => + dest <= 44; + src <= 44; + + end case; + end process; + + end generate NI_NUM44; + + NI_NUM45 : if NI_NUM = 45 generate + process(count) begin + + case count is + + when "000000" => + dest <= 43; + src <= 45; + when "000001" => + dest <= 45; + src <= 45; + when "000010" => + dest <= 21; + src <= 45; + when "000011" => + dest <= 17; + src <= 45; + when "000100" => + dest <= 20; + src <= 47; + when "000101" => + dest <= 45; + src <= 45; + when "000110" => + dest <= 34; + src <= 14; + when "000111" => + dest <= 45; + src <= 27; + when "001000" => + dest <= 26; + src <= 24; + when "001001" => + dest <= 42; + src <= 45; + when "001010" => + dest <= 7; + src <= 20; + when "001011" => + dest <= 24; + src <= 45; + when "001100" => + dest <= 15; + src <= 21; + when "001101" => + dest <= 19; + src <= 7; + when "001110" => + dest <= 39; + src <= 48; + when "001111" => + dest <= 28; + src <= 15; + when "010000" => + dest <= 13; + src <= 17; + when "010001" => + dest <= 22; + src <= 34; + when "010010" => + dest <= 3; + src <= 2; + when "010011" => + dest <= 12; + src <= 26; + when "010100" => + dest <= 41; + src <= 22; + when "010101" => + dest <= 35; + src <= 38; + when "010110" => + dest <= 33; + src <= 13; + when "010111" => + dest <= 45; + src <= 28; + when "011000" => + dest <= 0; + src <= 19; + when "011001" => + dest <= 25; + src <= 29; + when "011010" => + dest <= 8; + src <= 0; + when "011011" => + dest <= 18; + src <= 6; + when "011100" => + dest <= 23; + src <= 8; + when "011101" => + dest <= 6; + src <= 45; + when "011110" => + dest <= 45; + src <= 41; + when "011111" => + dest <= 29; + src <= 16; + when "100000" => + dest <= 47; + src <= 33; + when "100001" => + dest <= 16; + src <= 23; + when "100010" => + dest <= 37; + src <= 18; + when "100011" => + dest <= 40; + src <= 35; + when "100100" => + dest <= 36; + src <= 43; + when "100101" => + dest <= 32; + src <= 12; + when "100110" => + dest <= 1; + src <= 4; + when "100111" => + dest <= 30; + src <= 25; + when "101000" => + dest <= 11; + src <= 1; + when "101001" => + dest <= 5; + src <= 5; + when "101010" => + dest <= 45; + src <= 9; + when "101011" => + dest <= 48; + src <= 40; + when "101100" => + dest <= 9; + src <= 11; + when "101101" => + dest <= 45; + src <= 30; + when "101110" => + dest <= 31; + src <= 36; + when "101111" => + dest <= 4; + src <= 45; + when "110000" => + dest <= 45; + src <= 42; + when "110001" => + dest <= 2; + src <= 32; + when "110010" => + dest <= 10; + src <= 10; + when "110011" => + dest <= 45; + src <= 37; + when "110100" => + dest <= 38; + src <= 45; + when "110101" => + dest <= 46; + src <= 39; + when "110110" => + dest <= 44; + src <= 31; + when "110111" => + dest <= 45; + src <= 3; + when "111000" => + dest <= 27; + src <= 44; + when "111001" => + dest <= 14; + src <= 46; + when others => + dest <= 45; + src <= 45; + + end case; + end process; + + end generate NI_NUM45; + + NI_NUM46 : if NI_NUM = 46 generate + process(count) begin + + case count is + + when "000000" => + dest <= 44; + src <= 46; + when "000001" => + dest <= 46; + src <= 46; + when "000010" => + dest <= 22; + src <= 46; + when "000011" => + dest <= 18; + src <= 46; + when "000100" => + dest <= 14; + src <= 48; + when "000101" => + dest <= 46; + src <= 46; + when "000110" => + dest <= 28; + src <= 15; + when "000111" => + dest <= 46; + src <= 21; + when "001000" => + dest <= 27; + src <= 25; + when "001001" => + dest <= 43; + src <= 46; + when "001010" => + dest <= 8; + src <= 14; + when "001011" => + dest <= 25; + src <= 46; + when "001100" => + dest <= 16; + src <= 22; + when "001101" => + dest <= 20; + src <= 8; + when "001110" => + dest <= 40; + src <= 42; + when "001111" => + dest <= 29; + src <= 16; + when "010000" => + dest <= 7; + src <= 18; + when "010001" => + dest <= 23; + src <= 28; + when "010010" => + dest <= 4; + src <= 3; + when "010011" => + dest <= 13; + src <= 27; + when "010100" => + dest <= 35; + src <= 23; + when "010101" => + dest <= 36; + src <= 39; + when "010110" => + dest <= 34; + src <= 7; + when "010111" => + dest <= 46; + src <= 29; + when "011000" => + dest <= 1; + src <= 20; + when "011001" => + dest <= 26; + src <= 30; + when "011010" => + dest <= 9; + src <= 1; + when "011011" => + dest <= 19; + src <= 0; + when "011100" => + dest <= 24; + src <= 9; + when "011101" => + dest <= 0; + src <= 46; + when "011110" => + dest <= 46; + src <= 35; + when "011111" => + dest <= 30; + src <= 17; + when "100000" => + dest <= 48; + src <= 34; + when "100001" => + dest <= 17; + src <= 24; + when "100010" => + dest <= 38; + src <= 19; + when "100011" => + dest <= 41; + src <= 36; + when "100100" => + dest <= 37; + src <= 44; + when "100101" => + dest <= 33; + src <= 13; + when "100110" => + dest <= 2; + src <= 5; + when "100111" => + dest <= 31; + src <= 26; + when "101000" => + dest <= 12; + src <= 2; + when "101001" => + dest <= 6; + src <= 6; + when "101010" => + dest <= 46; + src <= 10; + when "101011" => + dest <= 42; + src <= 41; + when "101100" => + dest <= 10; + src <= 12; + when "101101" => + dest <= 46; + src <= 31; + when "101110" => + dest <= 32; + src <= 37; + when "101111" => + dest <= 5; + src <= 46; + when "110000" => + dest <= 46; + src <= 43; + when "110001" => + dest <= 3; + src <= 33; + when "110010" => + dest <= 11; + src <= 11; + when "110011" => + dest <= 46; + src <= 38; + when "110100" => + dest <= 39; + src <= 46; + when "110101" => + dest <= 47; + src <= 40; + when "110110" => + dest <= 45; + src <= 32; + when "110111" => + dest <= 46; + src <= 4; + when "111000" => + dest <= 21; + src <= 45; + when "111001" => + dest <= 15; + src <= 47; + when others => + dest <= 46; + src <= 46; + + end case; + end process; + + end generate NI_NUM46; + + NI_NUM47 : if NI_NUM = 47 generate + process(count) begin + + case count is + + when "000000" => + dest <= 45; + src <= 47; + when "000001" => + dest <= 47; + src <= 47; + when "000010" => + dest <= 23; + src <= 47; + when "000011" => + dest <= 19; + src <= 47; + when "000100" => + dest <= 15; + src <= 42; + when "000101" => + dest <= 47; + src <= 47; + when "000110" => + dest <= 29; + src <= 16; + when "000111" => + dest <= 47; + src <= 22; + when "001000" => + dest <= 21; + src <= 26; + when "001001" => + dest <= 44; + src <= 47; + when "001010" => + dest <= 9; + src <= 15; + when "001011" => + dest <= 26; + src <= 47; + when "001100" => + dest <= 17; + src <= 23; + when "001101" => + dest <= 14; + src <= 9; + when "001110" => + dest <= 41; + src <= 43; + when "001111" => + dest <= 30; + src <= 17; + when "010000" => + dest <= 8; + src <= 19; + when "010001" => + dest <= 24; + src <= 29; + when "010010" => + dest <= 5; + src <= 4; + when "010011" => + dest <= 7; + src <= 21; + when "010100" => + dest <= 36; + src <= 24; + when "010101" => + dest <= 37; + src <= 40; + when "010110" => + dest <= 28; + src <= 8; + when "010111" => + dest <= 47; + src <= 30; + when "011000" => + dest <= 2; + src <= 14; + when "011001" => + dest <= 27; + src <= 31; + when "011010" => + dest <= 10; + src <= 2; + when "011011" => + dest <= 20; + src <= 1; + when "011100" => + dest <= 25; + src <= 10; + when "011101" => + dest <= 1; + src <= 47; + when "011110" => + dest <= 47; + src <= 36; + when "011111" => + dest <= 31; + src <= 18; + when "100000" => + dest <= 42; + src <= 28; + when "100001" => + dest <= 18; + src <= 25; + when "100010" => + dest <= 39; + src <= 20; + when "100011" => + dest <= 35; + src <= 37; + when "100100" => + dest <= 38; + src <= 45; + when "100101" => + dest <= 34; + src <= 7; + when "100110" => + dest <= 3; + src <= 6; + when "100111" => + dest <= 32; + src <= 27; + when "101000" => + dest <= 13; + src <= 3; + when "101001" => + dest <= 0; + src <= 0; + when "101010" => + dest <= 47; + src <= 11; + when "101011" => + dest <= 43; + src <= 35; + when "101100" => + dest <= 11; + src <= 13; + when "101101" => + dest <= 47; + src <= 32; + when "101110" => + dest <= 33; + src <= 38; + when "101111" => + dest <= 6; + src <= 47; + when "110000" => + dest <= 47; + src <= 44; + when "110001" => + dest <= 4; + src <= 34; + when "110010" => + dest <= 12; + src <= 12; + when "110011" => + dest <= 47; + src <= 39; + when "110100" => + dest <= 40; + src <= 47; + when "110101" => + dest <= 48; + src <= 41; + when "110110" => + dest <= 46; + src <= 33; + when "110111" => + dest <= 47; + src <= 5; + when "111000" => + dest <= 22; + src <= 46; + when "111001" => + dest <= 16; + src <= 48; + when others => + dest <= 47; + src <= 47; + + end case; + end process; + + end generate NI_NUM47; + + NI_NUM48 : if NI_NUM = 48 generate + process(count) begin + + case count is + + when "000000" => + dest <= 46; + src <= 48; + when "000001" => + dest <= 48; + src <= 48; + when "000010" => + dest <= 24; + src <= 48; + when "000011" => + dest <= 20; + src <= 48; + when "000100" => + dest <= 16; + src <= 43; + when "000101" => + dest <= 48; + src <= 48; + when "000110" => + dest <= 30; + src <= 17; + when "000111" => + dest <= 48; + src <= 23; + when "001000" => + dest <= 22; + src <= 27; + when "001001" => + dest <= 45; + src <= 48; + when "001010" => + dest <= 10; + src <= 16; + when "001011" => + dest <= 27; + src <= 48; + when "001100" => + dest <= 18; + src <= 24; + when "001101" => + dest <= 15; + src <= 10; + when "001110" => + dest <= 35; + src <= 44; + when "001111" => + dest <= 31; + src <= 18; + when "010000" => + dest <= 9; + src <= 20; + when "010001" => + dest <= 25; + src <= 30; + when "010010" => + dest <= 6; + src <= 5; + when "010011" => + dest <= 8; + src <= 22; + when "010100" => + dest <= 37; + src <= 25; + when "010101" => + dest <= 38; + src <= 41; + when "010110" => + dest <= 29; + src <= 9; + when "010111" => + dest <= 48; + src <= 31; + when "011000" => + dest <= 3; + src <= 15; + when "011001" => + dest <= 21; + src <= 32; + when "011010" => + dest <= 11; + src <= 3; + when "011011" => + dest <= 14; + src <= 2; + when "011100" => + dest <= 26; + src <= 11; + when "011101" => + dest <= 2; + src <= 48; + when "011110" => + dest <= 48; + src <= 37; + when "011111" => + dest <= 32; + src <= 19; + when "100000" => + dest <= 43; + src <= 29; + when "100001" => + dest <= 19; + src <= 26; + when "100010" => + dest <= 40; + src <= 14; + when "100011" => + dest <= 36; + src <= 38; + when "100100" => + dest <= 39; + src <= 46; + when "100101" => + dest <= 28; + src <= 8; + when "100110" => + dest <= 4; + src <= 0; + when "100111" => + dest <= 33; + src <= 21; + when "101000" => + dest <= 7; + src <= 4; + when "101001" => + dest <= 1; + src <= 1; + when "101010" => + dest <= 48; + src <= 12; + when "101011" => + dest <= 44; + src <= 36; + when "101100" => + dest <= 12; + src <= 7; + when "101101" => + dest <= 48; + src <= 33; + when "101110" => + dest <= 34; + src <= 39; + when "101111" => + dest <= 0; + src <= 48; + when "110000" => + dest <= 48; + src <= 45; + when "110001" => + dest <= 5; + src <= 28; + when "110010" => + dest <= 13; + src <= 13; + when "110011" => + dest <= 48; + src <= 40; + when "110100" => + dest <= 41; + src <= 48; + when "110101" => + dest <= 42; + src <= 35; + when "110110" => + dest <= 47; + src <= 34; + when "110111" => + dest <= 48; + src <= 6; + when "111000" => + dest <= 23; + src <= 47; + when "111001" => + dest <= 17; + src <= 42; + when others => + dest <= 48; + src <= 48; + + end case; + end process; + + end generate NI_NUM48; + +end data; diff --git a/noc/vhdl/generated/bt7x7/router_ST.vhd b/noc/vhdl/generated/bt7x7/router_ST.vhd deleted file mode 100644 index f99da9e..0000000 --- a/noc/vhdl/generated/bt7x7/router_ST.vhd +++ /dev/null @@ -1,379 +0,0 @@ -------------------------------------------------------------- --- router_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity router_ST is - port ( - count : in unsigned(5 downto 0); - sels : out select_signals - ); -end router_ST; - -architecture data of router_ST is -begin -- data - -process(count) begin - - case count is - - when "000000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "000001" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "000010" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "000011" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "000100" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "000101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "000110" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "000111" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "001000" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "001001" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "001010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "001011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "001100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "001101" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "001110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 1; - when "001111" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "010000" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 2; - when "010001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "010010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "010011" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "010100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "010101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "010110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "010111" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "011000" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "011001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "011010" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 3; - when "011011" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "011100" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 3; - when "011101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "011110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "011111" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "100000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "100001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "100010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "100011" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 3; - sels(4) <= 0; - when "100100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "100101" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "100110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "100111" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 1; - when "101000" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 3; - when "101001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "101010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "101011" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 1; - when "101100" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "101101" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "101110" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "101111" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "110000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 3; - when "110001" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "110010" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "110011" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "110100" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 0; - when "110101" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "110110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "110111" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "111000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "111001" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when others => sels <= (others => 0); - - end case; -end process; - -end data; diff --git a/noc/vhdl/generated/bt7x7/router_ST_49.vhd b/noc/vhdl/generated/bt7x7/router_ST_49.vhd new file mode 100644 index 0000000..b1f8f8d --- /dev/null +++ b/noc/vhdl/generated/bt7x7/router_ST_49.vhd @@ -0,0 +1,381 @@ +------------------------------------------------------------- +-- router_ST_49.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity router_ST_49 is + generic ( + NI_NUM : natural + ); + port ( + count : in unsigned(5 downto 0); + sels : out select_signals + ); +end router_ST_49; + +architecture data of router_ST_49 is +begin -- data + +process(count) begin + + case count is + + when "000000" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "000001" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= L; + sels(W) <= D; + sels(L) <= D; + when "000010" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= N; + sels(W) <= L; + sels(L) <= D; + when "000011" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "000100" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "000101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= D; + when "000110" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "000111" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "001000" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "001001" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "001010" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "001011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= L; + sels(L) <= D; + when "001100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "001101" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "001110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= E; + when "001111" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "010000" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= S; + when "010001" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= N; + sels(L) <= E; + when "010010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "010011" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "010100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "010101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= E; + sels(L) <= N; + when "010110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "010111" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= E; + sels(L) <= N; + when "011000" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "011001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "011010" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= L; + sels(W) <= E; + sels(L) <= W; + when "011011" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "011100" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= L; + sels(W) <= E; + sels(L) <= W; + when "011101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "011110" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= N; + sels(L) <= E; + when "011111" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "100000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "100001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= N; + when "100010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= D; + sels(L) <= E; + when "100011" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= L; + sels(W) <= S; + sels(L) <= N; + when "100100" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "100101" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "100110" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "100111" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= E; + when "101000" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= L; + sels(W) <= E; + sels(L) <= W; + when "101001" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "101010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "101011" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= W; + sels(W) <= S; + sels(L) <= E; + when "101100" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "101101" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= W; + sels(W) <= D; + sels(L) <= N; + when "101110" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= N; + when "101111" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "110000" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= N; + sels(L) <= W; + when "110001" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= E; + when "110010" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= W; + sels(W) <= D; + sels(L) <= S; + when "110011" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= L; + sels(W) <= D; + sels(L) <= N; + when "110100" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= L; + sels(W) <= N; + sels(L) <= D; + when "110101" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "110110" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "110111" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= S; + when "111000" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= L; + sels(L) <= W; + when "111001" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= E; + when others => sels <= (others => D); + + end case; +end process; + +end data; diff --git a/noc/vhdl/generated/bt8x8/ni_ST.vhd b/noc/vhdl/generated/bt8x8/ni_ST_64.vhd similarity index 94% rename from noc/vhdl/generated/bt8x8/ni_ST.vhd rename to noc/vhdl/generated/bt8x8/ni_ST_64.vhd index bd729ea..15e31da 100644 --- a/noc/vhdl/generated/bt8x8/ni_ST.vhd +++ b/noc/vhdl/generated/bt8x8/ni_ST_64.vhd @@ -1,17625 +1,17624 @@ -------------------------------------------------------------- --- ni_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity ni_ST is - generic ( - NI_NUM : natural); - port ( - count : in unsigned(6 downto 0); - dest : out integer range 0 to 64; - src : out integer range 0 to 64 - ); -end ni_ST; - -architecture data of ni_ST is -begin -- data - - NI_NUM0 : if NI_NUM = 0 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 13; - src <= 0; - when "0000001" => - dest <= 8; - src <= 0; - when "0000010" => - dest <= 56; - src <= 0; - when "0000011" => - dest <= 44; - src <= 0; - when "0000100" => - dest <= 37; - src <= 56; - when "0000101" => - dest <= 0; - src <= 8; - when "0000110" => - dest <= 29; - src <= 59; - when "0000111" => - dest <= 6; - src <= 45; - when "0001000" => - dest <= 0; - src <= 36; - when "0001001" => - dest <= 30; - src <= 0; - when "0001010" => - dest <= 28; - src <= 0; - when "0001011" => - dest <= 35; - src <= 2; - when "0001100" => - dest <= 22; - src <= 28; - when "0001101" => - dest <= 0; - src <= 35; - when "0001110" => - dest <= 1; - src <= 43; - when "0001111" => - dest <= 61; - src <= 0; - when "0010000" => - dest <= 52; - src <= 42; - when "0010001" => - dest <= 23; - src <= 7; - when "0010010" => - dest <= 0; - src <= 50; - when "0010011" => - dest <= 43; - src <= 44; - when "0010100" => - dest <= 38; - src <= 37; - when "0010101" => - dest <= 14; - src <= 11; - when "0010110" => - dest <= 21; - src <= 49; - when "0010111" => - dest <= 0; - src <= 0; - when "0011000" => - dest <= 31; - src <= 20; - when "0011001" => - dest <= 0; - src <= 0; - when "0011010" => - dest <= 45; - src <= 58; - when "0011011" => - dest <= 34; - src <= 29; - when "0011100" => - dest <= 20; - src <= 34; - when "0011101" => - dest <= 9; - src <= 51; - when "0011110" => - dest <= 0; - src <= 41; - when "0011111" => - dest <= 0; - src <= 0; - when "0100000" => - dest <= 5; - src <= 0; - when "0100001" => - dest <= 60; - src <= 63; - when "0100010" => - dest <= 53; - src <= 27; - when "0100011" => - dest <= 16; - src <= 38; - when "0100100" => - dest <= 46; - src <= 52; - when "0100101" => - dest <= 24; - src <= 3; - when "0100110" => - dest <= 33; - src <= 0; - when "0100111" => - dest <= 26; - src <= 48; - when "0101000" => - dest <= 15; - src <= 12; - when "0101001" => - dest <= 19; - src <= 19; - when "0101010" => - dest <= 0; - src <= 40; - when "0101011" => - dest <= 51; - src <= 26; - when "0101100" => - dest <= 7; - src <= 57; - when "0101101" => - dest <= 42; - src <= 39; - when "0101110" => - dest <= 0; - src <= 46; - when "0101111" => - dest <= 0; - src <= 1; - when "0110000" => - dest <= 39; - src <= 53; - when "0110001" => - dest <= 0; - src <= 0; - when "0110010" => - dest <= 12; - src <= 21; - when "0110011" => - dest <= 0; - src <= 0; - when "0110100" => - dest <= 63; - src <= 30; - when "0110101" => - dest <= 59; - src <= 0; - when "0110110" => - dest <= 0; - src <= 0; - when "0110111" => - dest <= 50; - src <= 33; - when "0111000" => - dest <= 0; - src <= 9; - when "0111001" => - dest <= 41; - src <= 60; - when "0111010" => - dest <= 0; - src <= 0; - when "0111011" => - dest <= 25; - src <= 13; - when "0111100" => - dest <= 47; - src <= 0; - when "0111101" => - dest <= 18; - src <= 22; - when "0111110" => - dest <= 0; - src <= 0; - when "0111111" => - dest <= 54; - src <= 31; - when "1000000" => - dest <= 11; - src <= 0; - when "1000001" => - dest <= 32; - src <= 47; - when "1000010" => - dest <= 0; - src <= 25; - when "1000011" => - dest <= 4; - src <= 54; - when "1000100" => - dest <= 0; - src <= 0; - when "1000101" => - dest <= 62; - src <= 18; - when "1000110" => - dest <= 58; - src <= 61; - when "1000111" => - dest <= 49; - src <= 32; - when "1001000" => - dest <= 0; - src <= 0; - when "1001001" => - dest <= 55; - src <= 4; - when "1001010" => - dest <= 17; - src <= 10; - when "1001011" => - dest <= 40; - src <= 14; - when "1001100" => - dest <= 10; - src <= 23; - when "1001101" => - dest <= 0; - src <= 0; - when "1001110" => - dest <= 3; - src <= 17; - when "1001111" => - dest <= 0; - src <= 55; - when "1010000" => - dest <= 57; - src <= 24; - when "1010001" => - dest <= 48; - src <= 62; - when "1010010" => - dest <= 2; - src <= 0; - when "1010011" => - dest <= 0; - src <= 5; - when "1010100" => - dest <= 0; - src <= 15; - when "1010101" => - dest <= 36; - src <= 16; - when "1010110" => - dest <= 27; - src <= 6; - when others => - dest <= 0; - src <= 0; - - end case; - end process; - - end generate NI_NUM0; - - NI_NUM1 : if NI_NUM = 1 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 14; - src <= 1; - when "0000001" => - dest <= 9; - src <= 1; - when "0000010" => - dest <= 57; - src <= 1; - when "0000011" => - dest <= 45; - src <= 1; - when "0000100" => - dest <= 38; - src <= 57; - when "0000101" => - dest <= 1; - src <= 9; - when "0000110" => - dest <= 30; - src <= 60; - when "0000111" => - dest <= 7; - src <= 46; - when "0001000" => - dest <= 1; - src <= 37; - when "0001001" => - dest <= 31; - src <= 1; - when "0001010" => - dest <= 29; - src <= 1; - when "0001011" => - dest <= 36; - src <= 3; - when "0001100" => - dest <= 23; - src <= 29; - when "0001101" => - dest <= 1; - src <= 36; - when "0001110" => - dest <= 2; - src <= 44; - when "0001111" => - dest <= 62; - src <= 1; - when "0010000" => - dest <= 53; - src <= 43; - when "0010001" => - dest <= 16; - src <= 0; - when "0010010" => - dest <= 1; - src <= 51; - when "0010011" => - dest <= 44; - src <= 45; - when "0010100" => - dest <= 39; - src <= 38; - when "0010101" => - dest <= 15; - src <= 12; - when "0010110" => - dest <= 22; - src <= 50; - when "0010111" => - dest <= 1; - src <= 1; - when "0011000" => - dest <= 24; - src <= 21; - when "0011001" => - dest <= 1; - src <= 1; - when "0011010" => - dest <= 46; - src <= 59; - when "0011011" => - dest <= 35; - src <= 30; - when "0011100" => - dest <= 21; - src <= 35; - when "0011101" => - dest <= 10; - src <= 52; - when "0011110" => - dest <= 1; - src <= 42; - when "0011111" => - dest <= 1; - src <= 1; - when "0100000" => - dest <= 6; - src <= 1; - when "0100001" => - dest <= 61; - src <= 56; - when "0100010" => - dest <= 54; - src <= 28; - when "0100011" => - dest <= 17; - src <= 39; - when "0100100" => - dest <= 47; - src <= 53; - when "0100101" => - dest <= 25; - src <= 4; - when "0100110" => - dest <= 34; - src <= 1; - when "0100111" => - dest <= 27; - src <= 49; - when "0101000" => - dest <= 8; - src <= 13; - when "0101001" => - dest <= 20; - src <= 20; - when "0101010" => - dest <= 1; - src <= 41; - when "0101011" => - dest <= 52; - src <= 27; - when "0101100" => - dest <= 0; - src <= 58; - when "0101101" => - dest <= 43; - src <= 32; - when "0101110" => - dest <= 1; - src <= 47; - when "0101111" => - dest <= 1; - src <= 2; - when "0110000" => - dest <= 32; - src <= 54; - when "0110001" => - dest <= 1; - src <= 1; - when "0110010" => - dest <= 13; - src <= 22; - when "0110011" => - dest <= 1; - src <= 1; - when "0110100" => - dest <= 56; - src <= 31; - when "0110101" => - dest <= 60; - src <= 1; - when "0110110" => - dest <= 1; - src <= 1; - when "0110111" => - dest <= 51; - src <= 34; - when "0111000" => - dest <= 1; - src <= 10; - when "0111001" => - dest <= 42; - src <= 61; - when "0111010" => - dest <= 1; - src <= 1; - when "0111011" => - dest <= 26; - src <= 14; - when "0111100" => - dest <= 40; - src <= 1; - when "0111101" => - dest <= 19; - src <= 23; - when "0111110" => - dest <= 1; - src <= 1; - when "0111111" => - dest <= 55; - src <= 24; - when "1000000" => - dest <= 12; - src <= 1; - when "1000001" => - dest <= 33; - src <= 40; - when "1000010" => - dest <= 1; - src <= 26; - when "1000011" => - dest <= 5; - src <= 55; - when "1000100" => - dest <= 1; - src <= 1; - when "1000101" => - dest <= 63; - src <= 19; - when "1000110" => - dest <= 59; - src <= 62; - when "1000111" => - dest <= 50; - src <= 33; - when "1001000" => - dest <= 1; - src <= 1; - when "1001001" => - dest <= 48; - src <= 5; - when "1001010" => - dest <= 18; - src <= 11; - when "1001011" => - dest <= 41; - src <= 15; - when "1001100" => - dest <= 11; - src <= 16; - when "1001101" => - dest <= 1; - src <= 1; - when "1001110" => - dest <= 4; - src <= 18; - when "1001111" => - dest <= 1; - src <= 48; - when "1010000" => - dest <= 58; - src <= 25; - when "1010001" => - dest <= 49; - src <= 63; - when "1010010" => - dest <= 3; - src <= 1; - when "1010011" => - dest <= 1; - src <= 6; - when "1010100" => - dest <= 1; - src <= 8; - when "1010101" => - dest <= 37; - src <= 17; - when "1010110" => - dest <= 28; - src <= 7; - when others => - dest <= 1; - src <= 1; - - end case; - end process; - - end generate NI_NUM1; - - NI_NUM2 : if NI_NUM = 2 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 15; - src <= 2; - when "0000001" => - dest <= 10; - src <= 2; - when "0000010" => - dest <= 58; - src <= 2; - when "0000011" => - dest <= 46; - src <= 2; - when "0000100" => - dest <= 39; - src <= 58; - when "0000101" => - dest <= 2; - src <= 10; - when "0000110" => - dest <= 31; - src <= 61; - when "0000111" => - dest <= 0; - src <= 47; - when "0001000" => - dest <= 2; - src <= 38; - when "0001001" => - dest <= 24; - src <= 2; - when "0001010" => - dest <= 30; - src <= 2; - when "0001011" => - dest <= 37; - src <= 4; - when "0001100" => - dest <= 16; - src <= 30; - when "0001101" => - dest <= 2; - src <= 37; - when "0001110" => - dest <= 3; - src <= 45; - when "0001111" => - dest <= 63; - src <= 2; - when "0010000" => - dest <= 54; - src <= 44; - when "0010001" => - dest <= 17; - src <= 1; - when "0010010" => - dest <= 2; - src <= 52; - when "0010011" => - dest <= 45; - src <= 46; - when "0010100" => - dest <= 32; - src <= 39; - when "0010101" => - dest <= 8; - src <= 13; - when "0010110" => - dest <= 23; - src <= 51; - when "0010111" => - dest <= 2; - src <= 2; - when "0011000" => - dest <= 25; - src <= 22; - when "0011001" => - dest <= 2; - src <= 2; - when "0011010" => - dest <= 47; - src <= 60; - when "0011011" => - dest <= 36; - src <= 31; - when "0011100" => - dest <= 22; - src <= 36; - when "0011101" => - dest <= 11; - src <= 53; - when "0011110" => - dest <= 2; - src <= 43; - when "0011111" => - dest <= 2; - src <= 2; - when "0100000" => - dest <= 7; - src <= 2; - when "0100001" => - dest <= 62; - src <= 57; - when "0100010" => - dest <= 55; - src <= 29; - when "0100011" => - dest <= 18; - src <= 32; - when "0100100" => - dest <= 40; - src <= 54; - when "0100101" => - dest <= 26; - src <= 5; - when "0100110" => - dest <= 35; - src <= 2; - when "0100111" => - dest <= 28; - src <= 50; - when "0101000" => - dest <= 9; - src <= 14; - when "0101001" => - dest <= 21; - src <= 21; - when "0101010" => - dest <= 2; - src <= 42; - when "0101011" => - dest <= 53; - src <= 28; - when "0101100" => - dest <= 1; - src <= 59; - when "0101101" => - dest <= 44; - src <= 33; - when "0101110" => - dest <= 2; - src <= 40; - when "0101111" => - dest <= 2; - src <= 3; - when "0110000" => - dest <= 33; - src <= 55; - when "0110001" => - dest <= 2; - src <= 2; - when "0110010" => - dest <= 14; - src <= 23; - when "0110011" => - dest <= 2; - src <= 2; - when "0110100" => - dest <= 57; - src <= 24; - when "0110101" => - dest <= 61; - src <= 2; - when "0110110" => - dest <= 2; - src <= 2; - when "0110111" => - dest <= 52; - src <= 35; - when "0111000" => - dest <= 2; - src <= 11; - when "0111001" => - dest <= 43; - src <= 62; - when "0111010" => - dest <= 2; - src <= 2; - when "0111011" => - dest <= 27; - src <= 15; - when "0111100" => - dest <= 41; - src <= 2; - when "0111101" => - dest <= 20; - src <= 16; - when "0111110" => - dest <= 2; - src <= 2; - when "0111111" => - dest <= 48; - src <= 25; - when "1000000" => - dest <= 13; - src <= 2; - when "1000001" => - dest <= 34; - src <= 41; - when "1000010" => - dest <= 2; - src <= 27; - when "1000011" => - dest <= 6; - src <= 48; - when "1000100" => - dest <= 2; - src <= 2; - when "1000101" => - dest <= 56; - src <= 20; - when "1000110" => - dest <= 60; - src <= 63; - when "1000111" => - dest <= 51; - src <= 34; - when "1001000" => - dest <= 2; - src <= 2; - when "1001001" => - dest <= 49; - src <= 6; - when "1001010" => - dest <= 19; - src <= 12; - when "1001011" => - dest <= 42; - src <= 8; - when "1001100" => - dest <= 12; - src <= 17; - when "1001101" => - dest <= 2; - src <= 2; - when "1001110" => - dest <= 5; - src <= 19; - when "1001111" => - dest <= 2; - src <= 49; - when "1010000" => - dest <= 59; - src <= 26; - when "1010001" => - dest <= 50; - src <= 56; - when "1010010" => - dest <= 4; - src <= 2; - when "1010011" => - dest <= 2; - src <= 7; - when "1010100" => - dest <= 2; - src <= 9; - when "1010101" => - dest <= 38; - src <= 18; - when "1010110" => - dest <= 29; - src <= 0; - when others => - dest <= 2; - src <= 2; - - end case; - end process; - - end generate NI_NUM2; - - NI_NUM3 : if NI_NUM = 3 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 8; - src <= 3; - when "0000001" => - dest <= 11; - src <= 3; - when "0000010" => - dest <= 59; - src <= 3; - when "0000011" => - dest <= 47; - src <= 3; - when "0000100" => - dest <= 32; - src <= 59; - when "0000101" => - dest <= 3; - src <= 11; - when "0000110" => - dest <= 24; - src <= 62; - when "0000111" => - dest <= 1; - src <= 40; - when "0001000" => - dest <= 3; - src <= 39; - when "0001001" => - dest <= 25; - src <= 3; - when "0001010" => - dest <= 31; - src <= 3; - when "0001011" => - dest <= 38; - src <= 5; - when "0001100" => - dest <= 17; - src <= 31; - when "0001101" => - dest <= 3; - src <= 38; - when "0001110" => - dest <= 4; - src <= 46; - when "0001111" => - dest <= 56; - src <= 3; - when "0010000" => - dest <= 55; - src <= 45; - when "0010001" => - dest <= 18; - src <= 2; - when "0010010" => - dest <= 3; - src <= 53; - when "0010011" => - dest <= 46; - src <= 47; - when "0010100" => - dest <= 33; - src <= 32; - when "0010101" => - dest <= 9; - src <= 14; - when "0010110" => - dest <= 16; - src <= 52; - when "0010111" => - dest <= 3; - src <= 3; - when "0011000" => - dest <= 26; - src <= 23; - when "0011001" => - dest <= 3; - src <= 3; - when "0011010" => - dest <= 40; - src <= 61; - when "0011011" => - dest <= 37; - src <= 24; - when "0011100" => - dest <= 23; - src <= 37; - when "0011101" => - dest <= 12; - src <= 54; - when "0011110" => - dest <= 3; - src <= 44; - when "0011111" => - dest <= 3; - src <= 3; - when "0100000" => - dest <= 0; - src <= 3; - when "0100001" => - dest <= 63; - src <= 58; - when "0100010" => - dest <= 48; - src <= 30; - when "0100011" => - dest <= 19; - src <= 33; - when "0100100" => - dest <= 41; - src <= 55; - when "0100101" => - dest <= 27; - src <= 6; - when "0100110" => - dest <= 36; - src <= 3; - when "0100111" => - dest <= 29; - src <= 51; - when "0101000" => - dest <= 10; - src <= 15; - when "0101001" => - dest <= 22; - src <= 22; - when "0101010" => - dest <= 3; - src <= 43; - when "0101011" => - dest <= 54; - src <= 29; - when "0101100" => - dest <= 2; - src <= 60; - when "0101101" => - dest <= 45; - src <= 34; - when "0101110" => - dest <= 3; - src <= 41; - when "0101111" => - dest <= 3; - src <= 4; - when "0110000" => - dest <= 34; - src <= 48; - when "0110001" => - dest <= 3; - src <= 3; - when "0110010" => - dest <= 15; - src <= 16; - when "0110011" => - dest <= 3; - src <= 3; - when "0110100" => - dest <= 58; - src <= 25; - when "0110101" => - dest <= 62; - src <= 3; - when "0110110" => - dest <= 3; - src <= 3; - when "0110111" => - dest <= 53; - src <= 36; - when "0111000" => - dest <= 3; - src <= 12; - when "0111001" => - dest <= 44; - src <= 63; - when "0111010" => - dest <= 3; - src <= 3; - when "0111011" => - dest <= 28; - src <= 8; - when "0111100" => - dest <= 42; - src <= 3; - when "0111101" => - dest <= 21; - src <= 17; - when "0111110" => - dest <= 3; - src <= 3; - when "0111111" => - dest <= 49; - src <= 26; - when "1000000" => - dest <= 14; - src <= 3; - when "1000001" => - dest <= 35; - src <= 42; - when "1000010" => - dest <= 3; - src <= 28; - when "1000011" => - dest <= 7; - src <= 49; - when "1000100" => - dest <= 3; - src <= 3; - when "1000101" => - dest <= 57; - src <= 21; - when "1000110" => - dest <= 61; - src <= 56; - when "1000111" => - dest <= 52; - src <= 35; - when "1001000" => - dest <= 3; - src <= 3; - when "1001001" => - dest <= 50; - src <= 7; - when "1001010" => - dest <= 20; - src <= 13; - when "1001011" => - dest <= 43; - src <= 9; - when "1001100" => - dest <= 13; - src <= 18; - when "1001101" => - dest <= 3; - src <= 3; - when "1001110" => - dest <= 6; - src <= 20; - when "1001111" => - dest <= 3; - src <= 50; - when "1010000" => - dest <= 60; - src <= 27; - when "1010001" => - dest <= 51; - src <= 57; - when "1010010" => - dest <= 5; - src <= 3; - when "1010011" => - dest <= 3; - src <= 0; - when "1010100" => - dest <= 3; - src <= 10; - when "1010101" => - dest <= 39; - src <= 19; - when "1010110" => - dest <= 30; - src <= 1; - when others => - dest <= 3; - src <= 3; - - end case; - end process; - - end generate NI_NUM3; - - NI_NUM4 : if NI_NUM = 4 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 9; - src <= 4; - when "0000001" => - dest <= 12; - src <= 4; - when "0000010" => - dest <= 60; - src <= 4; - when "0000011" => - dest <= 40; - src <= 4; - when "0000100" => - dest <= 33; - src <= 60; - when "0000101" => - dest <= 4; - src <= 12; - when "0000110" => - dest <= 25; - src <= 63; - when "0000111" => - dest <= 2; - src <= 41; - when "0001000" => - dest <= 4; - src <= 32; - when "0001001" => - dest <= 26; - src <= 4; - when "0001010" => - dest <= 24; - src <= 4; - when "0001011" => - dest <= 39; - src <= 6; - when "0001100" => - dest <= 18; - src <= 24; - when "0001101" => - dest <= 4; - src <= 39; - when "0001110" => - dest <= 5; - src <= 47; - when "0001111" => - dest <= 57; - src <= 4; - when "0010000" => - dest <= 48; - src <= 46; - when "0010001" => - dest <= 19; - src <= 3; - when "0010010" => - dest <= 4; - src <= 54; - when "0010011" => - dest <= 47; - src <= 40; - when "0010100" => - dest <= 34; - src <= 33; - when "0010101" => - dest <= 10; - src <= 15; - when "0010110" => - dest <= 17; - src <= 53; - when "0010111" => - dest <= 4; - src <= 4; - when "0011000" => - dest <= 27; - src <= 16; - when "0011001" => - dest <= 4; - src <= 4; - when "0011010" => - dest <= 41; - src <= 62; - when "0011011" => - dest <= 38; - src <= 25; - when "0011100" => - dest <= 16; - src <= 38; - when "0011101" => - dest <= 13; - src <= 55; - when "0011110" => - dest <= 4; - src <= 45; - when "0011111" => - dest <= 4; - src <= 4; - when "0100000" => - dest <= 1; - src <= 4; - when "0100001" => - dest <= 56; - src <= 59; - when "0100010" => - dest <= 49; - src <= 31; - when "0100011" => - dest <= 20; - src <= 34; - when "0100100" => - dest <= 42; - src <= 48; - when "0100101" => - dest <= 28; - src <= 7; - when "0100110" => - dest <= 37; - src <= 4; - when "0100111" => - dest <= 30; - src <= 52; - when "0101000" => - dest <= 11; - src <= 8; - when "0101001" => - dest <= 23; - src <= 23; - when "0101010" => - dest <= 4; - src <= 44; - when "0101011" => - dest <= 55; - src <= 30; - when "0101100" => - dest <= 3; - src <= 61; - when "0101101" => - dest <= 46; - src <= 35; - when "0101110" => - dest <= 4; - src <= 42; - when "0101111" => - dest <= 4; - src <= 5; - when "0110000" => - dest <= 35; - src <= 49; - when "0110001" => - dest <= 4; - src <= 4; - when "0110010" => - dest <= 8; - src <= 17; - when "0110011" => - dest <= 4; - src <= 4; - when "0110100" => - dest <= 59; - src <= 26; - when "0110101" => - dest <= 63; - src <= 4; - when "0110110" => - dest <= 4; - src <= 4; - when "0110111" => - dest <= 54; - src <= 37; - when "0111000" => - dest <= 4; - src <= 13; - when "0111001" => - dest <= 45; - src <= 56; - when "0111010" => - dest <= 4; - src <= 4; - when "0111011" => - dest <= 29; - src <= 9; - when "0111100" => - dest <= 43; - src <= 4; - when "0111101" => - dest <= 22; - src <= 18; - when "0111110" => - dest <= 4; - src <= 4; - when "0111111" => - dest <= 50; - src <= 27; - when "1000000" => - dest <= 15; - src <= 4; - when "1000001" => - dest <= 36; - src <= 43; - when "1000010" => - dest <= 4; - src <= 29; - when "1000011" => - dest <= 0; - src <= 50; - when "1000100" => - dest <= 4; - src <= 4; - when "1000101" => - dest <= 58; - src <= 22; - when "1000110" => - dest <= 62; - src <= 57; - when "1000111" => - dest <= 53; - src <= 36; - when "1001000" => - dest <= 4; - src <= 4; - when "1001001" => - dest <= 51; - src <= 0; - when "1001010" => - dest <= 21; - src <= 14; - when "1001011" => - dest <= 44; - src <= 10; - when "1001100" => - dest <= 14; - src <= 19; - when "1001101" => - dest <= 4; - src <= 4; - when "1001110" => - dest <= 7; - src <= 21; - when "1001111" => - dest <= 4; - src <= 51; - when "1010000" => - dest <= 61; - src <= 28; - when "1010001" => - dest <= 52; - src <= 58; - when "1010010" => - dest <= 6; - src <= 4; - when "1010011" => - dest <= 4; - src <= 1; - when "1010100" => - dest <= 4; - src <= 11; - when "1010101" => - dest <= 32; - src <= 20; - when "1010110" => - dest <= 31; - src <= 2; - when others => - dest <= 4; - src <= 4; - - end case; - end process; - - end generate NI_NUM4; - - NI_NUM5 : if NI_NUM = 5 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 10; - src <= 5; - when "0000001" => - dest <= 13; - src <= 5; - when "0000010" => - dest <= 61; - src <= 5; - when "0000011" => - dest <= 41; - src <= 5; - when "0000100" => - dest <= 34; - src <= 61; - when "0000101" => - dest <= 5; - src <= 13; - when "0000110" => - dest <= 26; - src <= 56; - when "0000111" => - dest <= 3; - src <= 42; - when "0001000" => - dest <= 5; - src <= 33; - when "0001001" => - dest <= 27; - src <= 5; - when "0001010" => - dest <= 25; - src <= 5; - when "0001011" => - dest <= 32; - src <= 7; - when "0001100" => - dest <= 19; - src <= 25; - when "0001101" => - dest <= 5; - src <= 32; - when "0001110" => - dest <= 6; - src <= 40; - when "0001111" => - dest <= 58; - src <= 5; - when "0010000" => - dest <= 49; - src <= 47; - when "0010001" => - dest <= 20; - src <= 4; - when "0010010" => - dest <= 5; - src <= 55; - when "0010011" => - dest <= 40; - src <= 41; - when "0010100" => - dest <= 35; - src <= 34; - when "0010101" => - dest <= 11; - src <= 8; - when "0010110" => - dest <= 18; - src <= 54; - when "0010111" => - dest <= 5; - src <= 5; - when "0011000" => - dest <= 28; - src <= 17; - when "0011001" => - dest <= 5; - src <= 5; - when "0011010" => - dest <= 42; - src <= 63; - when "0011011" => - dest <= 39; - src <= 26; - when "0011100" => - dest <= 17; - src <= 39; - when "0011101" => - dest <= 14; - src <= 48; - when "0011110" => - dest <= 5; - src <= 46; - when "0011111" => - dest <= 5; - src <= 5; - when "0100000" => - dest <= 2; - src <= 5; - when "0100001" => - dest <= 57; - src <= 60; - when "0100010" => - dest <= 50; - src <= 24; - when "0100011" => - dest <= 21; - src <= 35; - when "0100100" => - dest <= 43; - src <= 49; - when "0100101" => - dest <= 29; - src <= 0; - when "0100110" => - dest <= 38; - src <= 5; - when "0100111" => - dest <= 31; - src <= 53; - when "0101000" => - dest <= 12; - src <= 9; - when "0101001" => - dest <= 16; - src <= 16; - when "0101010" => - dest <= 5; - src <= 45; - when "0101011" => - dest <= 48; - src <= 31; - when "0101100" => - dest <= 4; - src <= 62; - when "0101101" => - dest <= 47; - src <= 36; - when "0101110" => - dest <= 5; - src <= 43; - when "0101111" => - dest <= 5; - src <= 6; - when "0110000" => - dest <= 36; - src <= 50; - when "0110001" => - dest <= 5; - src <= 5; - when "0110010" => - dest <= 9; - src <= 18; - when "0110011" => - dest <= 5; - src <= 5; - when "0110100" => - dest <= 60; - src <= 27; - when "0110101" => - dest <= 56; - src <= 5; - when "0110110" => - dest <= 5; - src <= 5; - when "0110111" => - dest <= 55; - src <= 38; - when "0111000" => - dest <= 5; - src <= 14; - when "0111001" => - dest <= 46; - src <= 57; - when "0111010" => - dest <= 5; - src <= 5; - when "0111011" => - dest <= 30; - src <= 10; - when "0111100" => - dest <= 44; - src <= 5; - when "0111101" => - dest <= 23; - src <= 19; - when "0111110" => - dest <= 5; - src <= 5; - when "0111111" => - dest <= 51; - src <= 28; - when "1000000" => - dest <= 8; - src <= 5; - when "1000001" => - dest <= 37; - src <= 44; - when "1000010" => - dest <= 5; - src <= 30; - when "1000011" => - dest <= 1; - src <= 51; - when "1000100" => - dest <= 5; - src <= 5; - when "1000101" => - dest <= 59; - src <= 23; - when "1000110" => - dest <= 63; - src <= 58; - when "1000111" => - dest <= 54; - src <= 37; - when "1001000" => - dest <= 5; - src <= 5; - when "1001001" => - dest <= 52; - src <= 1; - when "1001010" => - dest <= 22; - src <= 15; - when "1001011" => - dest <= 45; - src <= 11; - when "1001100" => - dest <= 15; - src <= 20; - when "1001101" => - dest <= 5; - src <= 5; - when "1001110" => - dest <= 0; - src <= 22; - when "1001111" => - dest <= 5; - src <= 52; - when "1010000" => - dest <= 62; - src <= 29; - when "1010001" => - dest <= 53; - src <= 59; - when "1010010" => - dest <= 7; - src <= 5; - when "1010011" => - dest <= 5; - src <= 2; - when "1010100" => - dest <= 5; - src <= 12; - when "1010101" => - dest <= 33; - src <= 21; - when "1010110" => - dest <= 24; - src <= 3; - when others => - dest <= 5; - src <= 5; - - end case; - end process; - - end generate NI_NUM5; - - NI_NUM6 : if NI_NUM = 6 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 11; - src <= 6; - when "0000001" => - dest <= 14; - src <= 6; - when "0000010" => - dest <= 62; - src <= 6; - when "0000011" => - dest <= 42; - src <= 6; - when "0000100" => - dest <= 35; - src <= 62; - when "0000101" => - dest <= 6; - src <= 14; - when "0000110" => - dest <= 27; - src <= 57; - when "0000111" => - dest <= 4; - src <= 43; - when "0001000" => - dest <= 6; - src <= 34; - when "0001001" => - dest <= 28; - src <= 6; - when "0001010" => - dest <= 26; - src <= 6; - when "0001011" => - dest <= 33; - src <= 0; - when "0001100" => - dest <= 20; - src <= 26; - when "0001101" => - dest <= 6; - src <= 33; - when "0001110" => - dest <= 7; - src <= 41; - when "0001111" => - dest <= 59; - src <= 6; - when "0010000" => - dest <= 50; - src <= 40; - when "0010001" => - dest <= 21; - src <= 5; - when "0010010" => - dest <= 6; - src <= 48; - when "0010011" => - dest <= 41; - src <= 42; - when "0010100" => - dest <= 36; - src <= 35; - when "0010101" => - dest <= 12; - src <= 9; - when "0010110" => - dest <= 19; - src <= 55; - when "0010111" => - dest <= 6; - src <= 6; - when "0011000" => - dest <= 29; - src <= 18; - when "0011001" => - dest <= 6; - src <= 6; - when "0011010" => - dest <= 43; - src <= 56; - when "0011011" => - dest <= 32; - src <= 27; - when "0011100" => - dest <= 18; - src <= 32; - when "0011101" => - dest <= 15; - src <= 49; - when "0011110" => - dest <= 6; - src <= 47; - when "0011111" => - dest <= 6; - src <= 6; - when "0100000" => - dest <= 3; - src <= 6; - when "0100001" => - dest <= 58; - src <= 61; - when "0100010" => - dest <= 51; - src <= 25; - when "0100011" => - dest <= 22; - src <= 36; - when "0100100" => - dest <= 44; - src <= 50; - when "0100101" => - dest <= 30; - src <= 1; - when "0100110" => - dest <= 39; - src <= 6; - when "0100111" => - dest <= 24; - src <= 54; - when "0101000" => - dest <= 13; - src <= 10; - when "0101001" => - dest <= 17; - src <= 17; - when "0101010" => - dest <= 6; - src <= 46; - when "0101011" => - dest <= 49; - src <= 24; - when "0101100" => - dest <= 5; - src <= 63; - when "0101101" => - dest <= 40; - src <= 37; - when "0101110" => - dest <= 6; - src <= 44; - when "0101111" => - dest <= 6; - src <= 7; - when "0110000" => - dest <= 37; - src <= 51; - when "0110001" => - dest <= 6; - src <= 6; - when "0110010" => - dest <= 10; - src <= 19; - when "0110011" => - dest <= 6; - src <= 6; - when "0110100" => - dest <= 61; - src <= 28; - when "0110101" => - dest <= 57; - src <= 6; - when "0110110" => - dest <= 6; - src <= 6; - when "0110111" => - dest <= 48; - src <= 39; - when "0111000" => - dest <= 6; - src <= 15; - when "0111001" => - dest <= 47; - src <= 58; - when "0111010" => - dest <= 6; - src <= 6; - when "0111011" => - dest <= 31; - src <= 11; - when "0111100" => - dest <= 45; - src <= 6; - when "0111101" => - dest <= 16; - src <= 20; - when "0111110" => - dest <= 6; - src <= 6; - when "0111111" => - dest <= 52; - src <= 29; - when "1000000" => - dest <= 9; - src <= 6; - when "1000001" => - dest <= 38; - src <= 45; - when "1000010" => - dest <= 6; - src <= 31; - when "1000011" => - dest <= 2; - src <= 52; - when "1000100" => - dest <= 6; - src <= 6; - when "1000101" => - dest <= 60; - src <= 16; - when "1000110" => - dest <= 56; - src <= 59; - when "1000111" => - dest <= 55; - src <= 38; - when "1001000" => - dest <= 6; - src <= 6; - when "1001001" => - dest <= 53; - src <= 2; - when "1001010" => - dest <= 23; - src <= 8; - when "1001011" => - dest <= 46; - src <= 12; - when "1001100" => - dest <= 8; - src <= 21; - when "1001101" => - dest <= 6; - src <= 6; - when "1001110" => - dest <= 1; - src <= 23; - when "1001111" => - dest <= 6; - src <= 53; - when "1010000" => - dest <= 63; - src <= 30; - when "1010001" => - dest <= 54; - src <= 60; - when "1010010" => - dest <= 0; - src <= 6; - when "1010011" => - dest <= 6; - src <= 3; - when "1010100" => - dest <= 6; - src <= 13; - when "1010101" => - dest <= 34; - src <= 22; - when "1010110" => - dest <= 25; - src <= 4; - when others => - dest <= 6; - src <= 6; - - end case; - end process; - - end generate NI_NUM6; - - NI_NUM7 : if NI_NUM = 7 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 12; - src <= 7; - when "0000001" => - dest <= 15; - src <= 7; - when "0000010" => - dest <= 63; - src <= 7; - when "0000011" => - dest <= 43; - src <= 7; - when "0000100" => - dest <= 36; - src <= 63; - when "0000101" => - dest <= 7; - src <= 15; - when "0000110" => - dest <= 28; - src <= 58; - when "0000111" => - dest <= 5; - src <= 44; - when "0001000" => - dest <= 7; - src <= 35; - when "0001001" => - dest <= 29; - src <= 7; - when "0001010" => - dest <= 27; - src <= 7; - when "0001011" => - dest <= 34; - src <= 1; - when "0001100" => - dest <= 21; - src <= 27; - when "0001101" => - dest <= 7; - src <= 34; - when "0001110" => - dest <= 0; - src <= 42; - when "0001111" => - dest <= 60; - src <= 7; - when "0010000" => - dest <= 51; - src <= 41; - when "0010001" => - dest <= 22; - src <= 6; - when "0010010" => - dest <= 7; - src <= 49; - when "0010011" => - dest <= 42; - src <= 43; - when "0010100" => - dest <= 37; - src <= 36; - when "0010101" => - dest <= 13; - src <= 10; - when "0010110" => - dest <= 20; - src <= 48; - when "0010111" => - dest <= 7; - src <= 7; - when "0011000" => - dest <= 30; - src <= 19; - when "0011001" => - dest <= 7; - src <= 7; - when "0011010" => - dest <= 44; - src <= 57; - when "0011011" => - dest <= 33; - src <= 28; - when "0011100" => - dest <= 19; - src <= 33; - when "0011101" => - dest <= 8; - src <= 50; - when "0011110" => - dest <= 7; - src <= 40; - when "0011111" => - dest <= 7; - src <= 7; - when "0100000" => - dest <= 4; - src <= 7; - when "0100001" => - dest <= 59; - src <= 62; - when "0100010" => - dest <= 52; - src <= 26; - when "0100011" => - dest <= 23; - src <= 37; - when "0100100" => - dest <= 45; - src <= 51; - when "0100101" => - dest <= 31; - src <= 2; - when "0100110" => - dest <= 32; - src <= 7; - when "0100111" => - dest <= 25; - src <= 55; - when "0101000" => - dest <= 14; - src <= 11; - when "0101001" => - dest <= 18; - src <= 18; - when "0101010" => - dest <= 7; - src <= 47; - when "0101011" => - dest <= 50; - src <= 25; - when "0101100" => - dest <= 6; - src <= 56; - when "0101101" => - dest <= 41; - src <= 38; - when "0101110" => - dest <= 7; - src <= 45; - when "0101111" => - dest <= 7; - src <= 0; - when "0110000" => - dest <= 38; - src <= 52; - when "0110001" => - dest <= 7; - src <= 7; - when "0110010" => - dest <= 11; - src <= 20; - when "0110011" => - dest <= 7; - src <= 7; - when "0110100" => - dest <= 62; - src <= 29; - when "0110101" => - dest <= 58; - src <= 7; - when "0110110" => - dest <= 7; - src <= 7; - when "0110111" => - dest <= 49; - src <= 32; - when "0111000" => - dest <= 7; - src <= 8; - when "0111001" => - dest <= 40; - src <= 59; - when "0111010" => - dest <= 7; - src <= 7; - when "0111011" => - dest <= 24; - src <= 12; - when "0111100" => - dest <= 46; - src <= 7; - when "0111101" => - dest <= 17; - src <= 21; - when "0111110" => - dest <= 7; - src <= 7; - when "0111111" => - dest <= 53; - src <= 30; - when "1000000" => - dest <= 10; - src <= 7; - when "1000001" => - dest <= 39; - src <= 46; - when "1000010" => - dest <= 7; - src <= 24; - when "1000011" => - dest <= 3; - src <= 53; - when "1000100" => - dest <= 7; - src <= 7; - when "1000101" => - dest <= 61; - src <= 17; - when "1000110" => - dest <= 57; - src <= 60; - when "1000111" => - dest <= 48; - src <= 39; - when "1001000" => - dest <= 7; - src <= 7; - when "1001001" => - dest <= 54; - src <= 3; - when "1001010" => - dest <= 16; - src <= 9; - when "1001011" => - dest <= 47; - src <= 13; - when "1001100" => - dest <= 9; - src <= 22; - when "1001101" => - dest <= 7; - src <= 7; - when "1001110" => - dest <= 2; - src <= 16; - when "1001111" => - dest <= 7; - src <= 54; - when "1010000" => - dest <= 56; - src <= 31; - when "1010001" => - dest <= 55; - src <= 61; - when "1010010" => - dest <= 1; - src <= 7; - when "1010011" => - dest <= 7; - src <= 4; - when "1010100" => - dest <= 7; - src <= 14; - when "1010101" => - dest <= 35; - src <= 23; - when "1010110" => - dest <= 26; - src <= 5; - when others => - dest <= 7; - src <= 7; - - end case; - end process; - - end generate NI_NUM7; - - NI_NUM8 : if NI_NUM = 8 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 21; - src <= 8; - when "0000001" => - dest <= 16; - src <= 8; - when "0000010" => - dest <= 0; - src <= 8; - when "0000011" => - dest <= 52; - src <= 8; - when "0000100" => - dest <= 45; - src <= 0; - when "0000101" => - dest <= 8; - src <= 16; - when "0000110" => - dest <= 37; - src <= 3; - when "0000111" => - dest <= 14; - src <= 53; - when "0001000" => - dest <= 8; - src <= 44; - when "0001001" => - dest <= 38; - src <= 8; - when "0001010" => - dest <= 36; - src <= 8; - when "0001011" => - dest <= 43; - src <= 10; - when "0001100" => - dest <= 30; - src <= 36; - when "0001101" => - dest <= 8; - src <= 43; - when "0001110" => - dest <= 9; - src <= 51; - when "0001111" => - dest <= 5; - src <= 8; - when "0010000" => - dest <= 60; - src <= 50; - when "0010001" => - dest <= 31; - src <= 15; - when "0010010" => - dest <= 8; - src <= 58; - when "0010011" => - dest <= 51; - src <= 52; - when "0010100" => - dest <= 46; - src <= 45; - when "0010101" => - dest <= 22; - src <= 19; - when "0010110" => - dest <= 29; - src <= 57; - when "0010111" => - dest <= 8; - src <= 8; - when "0011000" => - dest <= 39; - src <= 28; - when "0011001" => - dest <= 8; - src <= 8; - when "0011010" => - dest <= 53; - src <= 2; - when "0011011" => - dest <= 42; - src <= 37; - when "0011100" => - dest <= 28; - src <= 42; - when "0011101" => - dest <= 17; - src <= 59; - when "0011110" => - dest <= 8; - src <= 49; - when "0011111" => - dest <= 8; - src <= 8; - when "0100000" => - dest <= 13; - src <= 8; - when "0100001" => - dest <= 4; - src <= 7; - when "0100010" => - dest <= 61; - src <= 35; - when "0100011" => - dest <= 24; - src <= 46; - when "0100100" => - dest <= 54; - src <= 60; - when "0100101" => - dest <= 32; - src <= 11; - when "0100110" => - dest <= 41; - src <= 8; - when "0100111" => - dest <= 34; - src <= 56; - when "0101000" => - dest <= 23; - src <= 20; - when "0101001" => - dest <= 27; - src <= 27; - when "0101010" => - dest <= 8; - src <= 48; - when "0101011" => - dest <= 59; - src <= 34; - when "0101100" => - dest <= 15; - src <= 1; - when "0101101" => - dest <= 50; - src <= 47; - when "0101110" => - dest <= 8; - src <= 54; - when "0101111" => - dest <= 8; - src <= 9; - when "0110000" => - dest <= 47; - src <= 61; - when "0110001" => - dest <= 8; - src <= 8; - when "0110010" => - dest <= 20; - src <= 29; - when "0110011" => - dest <= 8; - src <= 8; - when "0110100" => - dest <= 7; - src <= 38; - when "0110101" => - dest <= 3; - src <= 8; - when "0110110" => - dest <= 8; - src <= 8; - when "0110111" => - dest <= 58; - src <= 41; - when "0111000" => - dest <= 8; - src <= 17; - when "0111001" => - dest <= 49; - src <= 4; - when "0111010" => - dest <= 8; - src <= 8; - when "0111011" => - dest <= 33; - src <= 21; - when "0111100" => - dest <= 55; - src <= 8; - when "0111101" => - dest <= 26; - src <= 30; - when "0111110" => - dest <= 8; - src <= 8; - when "0111111" => - dest <= 62; - src <= 39; - when "1000000" => - dest <= 19; - src <= 8; - when "1000001" => - dest <= 40; - src <= 55; - when "1000010" => - dest <= 8; - src <= 33; - when "1000011" => - dest <= 12; - src <= 62; - when "1000100" => - dest <= 8; - src <= 8; - when "1000101" => - dest <= 6; - src <= 26; - when "1000110" => - dest <= 2; - src <= 5; - when "1000111" => - dest <= 57; - src <= 40; - when "1001000" => - dest <= 8; - src <= 8; - when "1001001" => - dest <= 63; - src <= 12; - when "1001010" => - dest <= 25; - src <= 18; - when "1001011" => - dest <= 48; - src <= 22; - when "1001100" => - dest <= 18; - src <= 31; - when "1001101" => - dest <= 8; - src <= 8; - when "1001110" => - dest <= 11; - src <= 25; - when "1001111" => - dest <= 8; - src <= 63; - when "1010000" => - dest <= 1; - src <= 32; - when "1010001" => - dest <= 56; - src <= 6; - when "1010010" => - dest <= 10; - src <= 8; - when "1010011" => - dest <= 8; - src <= 13; - when "1010100" => - dest <= 8; - src <= 23; - when "1010101" => - dest <= 44; - src <= 24; - when "1010110" => - dest <= 35; - src <= 14; - when others => - dest <= 8; - src <= 8; - - end case; - end process; - - end generate NI_NUM8; - - NI_NUM9 : if NI_NUM = 9 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 22; - src <= 9; - when "0000001" => - dest <= 17; - src <= 9; - when "0000010" => - dest <= 1; - src <= 9; - when "0000011" => - dest <= 53; - src <= 9; - when "0000100" => - dest <= 46; - src <= 1; - when "0000101" => - dest <= 9; - src <= 17; - when "0000110" => - dest <= 38; - src <= 4; - when "0000111" => - dest <= 15; - src <= 54; - when "0001000" => - dest <= 9; - src <= 45; - when "0001001" => - dest <= 39; - src <= 9; - when "0001010" => - dest <= 37; - src <= 9; - when "0001011" => - dest <= 44; - src <= 11; - when "0001100" => - dest <= 31; - src <= 37; - when "0001101" => - dest <= 9; - src <= 44; - when "0001110" => - dest <= 10; - src <= 52; - when "0001111" => - dest <= 6; - src <= 9; - when "0010000" => - dest <= 61; - src <= 51; - when "0010001" => - dest <= 24; - src <= 8; - when "0010010" => - dest <= 9; - src <= 59; - when "0010011" => - dest <= 52; - src <= 53; - when "0010100" => - dest <= 47; - src <= 46; - when "0010101" => - dest <= 23; - src <= 20; - when "0010110" => - dest <= 30; - src <= 58; - when "0010111" => - dest <= 9; - src <= 9; - when "0011000" => - dest <= 32; - src <= 29; - when "0011001" => - dest <= 9; - src <= 9; - when "0011010" => - dest <= 54; - src <= 3; - when "0011011" => - dest <= 43; - src <= 38; - when "0011100" => - dest <= 29; - src <= 43; - when "0011101" => - dest <= 18; - src <= 60; - when "0011110" => - dest <= 9; - src <= 50; - when "0011111" => - dest <= 9; - src <= 9; - when "0100000" => - dest <= 14; - src <= 9; - when "0100001" => - dest <= 5; - src <= 0; - when "0100010" => - dest <= 62; - src <= 36; - when "0100011" => - dest <= 25; - src <= 47; - when "0100100" => - dest <= 55; - src <= 61; - when "0100101" => - dest <= 33; - src <= 12; - when "0100110" => - dest <= 42; - src <= 9; - when "0100111" => - dest <= 35; - src <= 57; - when "0101000" => - dest <= 16; - src <= 21; - when "0101001" => - dest <= 28; - src <= 28; - when "0101010" => - dest <= 9; - src <= 49; - when "0101011" => - dest <= 60; - src <= 35; - when "0101100" => - dest <= 8; - src <= 2; - when "0101101" => - dest <= 51; - src <= 40; - when "0101110" => - dest <= 9; - src <= 55; - when "0101111" => - dest <= 9; - src <= 10; - when "0110000" => - dest <= 40; - src <= 62; - when "0110001" => - dest <= 9; - src <= 9; - when "0110010" => - dest <= 21; - src <= 30; - when "0110011" => - dest <= 9; - src <= 9; - when "0110100" => - dest <= 0; - src <= 39; - when "0110101" => - dest <= 4; - src <= 9; - when "0110110" => - dest <= 9; - src <= 9; - when "0110111" => - dest <= 59; - src <= 42; - when "0111000" => - dest <= 9; - src <= 18; - when "0111001" => - dest <= 50; - src <= 5; - when "0111010" => - dest <= 9; - src <= 9; - when "0111011" => - dest <= 34; - src <= 22; - when "0111100" => - dest <= 48; - src <= 9; - when "0111101" => - dest <= 27; - src <= 31; - when "0111110" => - dest <= 9; - src <= 9; - when "0111111" => - dest <= 63; - src <= 32; - when "1000000" => - dest <= 20; - src <= 9; - when "1000001" => - dest <= 41; - src <= 48; - when "1000010" => - dest <= 9; - src <= 34; - when "1000011" => - dest <= 13; - src <= 63; - when "1000100" => - dest <= 9; - src <= 9; - when "1000101" => - dest <= 7; - src <= 27; - when "1000110" => - dest <= 3; - src <= 6; - when "1000111" => - dest <= 58; - src <= 41; - when "1001000" => - dest <= 9; - src <= 9; - when "1001001" => - dest <= 56; - src <= 13; - when "1001010" => - dest <= 26; - src <= 19; - when "1001011" => - dest <= 49; - src <= 23; - when "1001100" => - dest <= 19; - src <= 24; - when "1001101" => - dest <= 9; - src <= 9; - when "1001110" => - dest <= 12; - src <= 26; - when "1001111" => - dest <= 9; - src <= 56; - when "1010000" => - dest <= 2; - src <= 33; - when "1010001" => - dest <= 57; - src <= 7; - when "1010010" => - dest <= 11; - src <= 9; - when "1010011" => - dest <= 9; - src <= 14; - when "1010100" => - dest <= 9; - src <= 16; - when "1010101" => - dest <= 45; - src <= 25; - when "1010110" => - dest <= 36; - src <= 15; - when others => - dest <= 9; - src <= 9; - - end case; - end process; - - end generate NI_NUM9; - - NI_NUM10 : if NI_NUM = 10 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 23; - src <= 10; - when "0000001" => - dest <= 18; - src <= 10; - when "0000010" => - dest <= 2; - src <= 10; - when "0000011" => - dest <= 54; - src <= 10; - when "0000100" => - dest <= 47; - src <= 2; - when "0000101" => - dest <= 10; - src <= 18; - when "0000110" => - dest <= 39; - src <= 5; - when "0000111" => - dest <= 8; - src <= 55; - when "0001000" => - dest <= 10; - src <= 46; - when "0001001" => - dest <= 32; - src <= 10; - when "0001010" => - dest <= 38; - src <= 10; - when "0001011" => - dest <= 45; - src <= 12; - when "0001100" => - dest <= 24; - src <= 38; - when "0001101" => - dest <= 10; - src <= 45; - when "0001110" => - dest <= 11; - src <= 53; - when "0001111" => - dest <= 7; - src <= 10; - when "0010000" => - dest <= 62; - src <= 52; - when "0010001" => - dest <= 25; - src <= 9; - when "0010010" => - dest <= 10; - src <= 60; - when "0010011" => - dest <= 53; - src <= 54; - when "0010100" => - dest <= 40; - src <= 47; - when "0010101" => - dest <= 16; - src <= 21; - when "0010110" => - dest <= 31; - src <= 59; - when "0010111" => - dest <= 10; - src <= 10; - when "0011000" => - dest <= 33; - src <= 30; - when "0011001" => - dest <= 10; - src <= 10; - when "0011010" => - dest <= 55; - src <= 4; - when "0011011" => - dest <= 44; - src <= 39; - when "0011100" => - dest <= 30; - src <= 44; - when "0011101" => - dest <= 19; - src <= 61; - when "0011110" => - dest <= 10; - src <= 51; - when "0011111" => - dest <= 10; - src <= 10; - when "0100000" => - dest <= 15; - src <= 10; - when "0100001" => - dest <= 6; - src <= 1; - when "0100010" => - dest <= 63; - src <= 37; - when "0100011" => - dest <= 26; - src <= 40; - when "0100100" => - dest <= 48; - src <= 62; - when "0100101" => - dest <= 34; - src <= 13; - when "0100110" => - dest <= 43; - src <= 10; - when "0100111" => - dest <= 36; - src <= 58; - when "0101000" => - dest <= 17; - src <= 22; - when "0101001" => - dest <= 29; - src <= 29; - when "0101010" => - dest <= 10; - src <= 50; - when "0101011" => - dest <= 61; - src <= 36; - when "0101100" => - dest <= 9; - src <= 3; - when "0101101" => - dest <= 52; - src <= 41; - when "0101110" => - dest <= 10; - src <= 48; - when "0101111" => - dest <= 10; - src <= 11; - when "0110000" => - dest <= 41; - src <= 63; - when "0110001" => - dest <= 10; - src <= 10; - when "0110010" => - dest <= 22; - src <= 31; - when "0110011" => - dest <= 10; - src <= 10; - when "0110100" => - dest <= 1; - src <= 32; - when "0110101" => - dest <= 5; - src <= 10; - when "0110110" => - dest <= 10; - src <= 10; - when "0110111" => - dest <= 60; - src <= 43; - when "0111000" => - dest <= 10; - src <= 19; - when "0111001" => - dest <= 51; - src <= 6; - when "0111010" => - dest <= 10; - src <= 10; - when "0111011" => - dest <= 35; - src <= 23; - when "0111100" => - dest <= 49; - src <= 10; - when "0111101" => - dest <= 28; - src <= 24; - when "0111110" => - dest <= 10; - src <= 10; - when "0111111" => - dest <= 56; - src <= 33; - when "1000000" => - dest <= 21; - src <= 10; - when "1000001" => - dest <= 42; - src <= 49; - when "1000010" => - dest <= 10; - src <= 35; - when "1000011" => - dest <= 14; - src <= 56; - when "1000100" => - dest <= 10; - src <= 10; - when "1000101" => - dest <= 0; - src <= 28; - when "1000110" => - dest <= 4; - src <= 7; - when "1000111" => - dest <= 59; - src <= 42; - when "1001000" => - dest <= 10; - src <= 10; - when "1001001" => - dest <= 57; - src <= 14; - when "1001010" => - dest <= 27; - src <= 20; - when "1001011" => - dest <= 50; - src <= 16; - when "1001100" => - dest <= 20; - src <= 25; - when "1001101" => - dest <= 10; - src <= 10; - when "1001110" => - dest <= 13; - src <= 27; - when "1001111" => - dest <= 10; - src <= 57; - when "1010000" => - dest <= 3; - src <= 34; - when "1010001" => - dest <= 58; - src <= 0; - when "1010010" => - dest <= 12; - src <= 10; - when "1010011" => - dest <= 10; - src <= 15; - when "1010100" => - dest <= 10; - src <= 17; - when "1010101" => - dest <= 46; - src <= 26; - when "1010110" => - dest <= 37; - src <= 8; - when others => - dest <= 10; - src <= 10; - - end case; - end process; - - end generate NI_NUM10; - - NI_NUM11 : if NI_NUM = 11 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 16; - src <= 11; - when "0000001" => - dest <= 19; - src <= 11; - when "0000010" => - dest <= 3; - src <= 11; - when "0000011" => - dest <= 55; - src <= 11; - when "0000100" => - dest <= 40; - src <= 3; - when "0000101" => - dest <= 11; - src <= 19; - when "0000110" => - dest <= 32; - src <= 6; - when "0000111" => - dest <= 9; - src <= 48; - when "0001000" => - dest <= 11; - src <= 47; - when "0001001" => - dest <= 33; - src <= 11; - when "0001010" => - dest <= 39; - src <= 11; - when "0001011" => - dest <= 46; - src <= 13; - when "0001100" => - dest <= 25; - src <= 39; - when "0001101" => - dest <= 11; - src <= 46; - when "0001110" => - dest <= 12; - src <= 54; - when "0001111" => - dest <= 0; - src <= 11; - when "0010000" => - dest <= 63; - src <= 53; - when "0010001" => - dest <= 26; - src <= 10; - when "0010010" => - dest <= 11; - src <= 61; - when "0010011" => - dest <= 54; - src <= 55; - when "0010100" => - dest <= 41; - src <= 40; - when "0010101" => - dest <= 17; - src <= 22; - when "0010110" => - dest <= 24; - src <= 60; - when "0010111" => - dest <= 11; - src <= 11; - when "0011000" => - dest <= 34; - src <= 31; - when "0011001" => - dest <= 11; - src <= 11; - when "0011010" => - dest <= 48; - src <= 5; - when "0011011" => - dest <= 45; - src <= 32; - when "0011100" => - dest <= 31; - src <= 45; - when "0011101" => - dest <= 20; - src <= 62; - when "0011110" => - dest <= 11; - src <= 52; - when "0011111" => - dest <= 11; - src <= 11; - when "0100000" => - dest <= 8; - src <= 11; - when "0100001" => - dest <= 7; - src <= 2; - when "0100010" => - dest <= 56; - src <= 38; - when "0100011" => - dest <= 27; - src <= 41; - when "0100100" => - dest <= 49; - src <= 63; - when "0100101" => - dest <= 35; - src <= 14; - when "0100110" => - dest <= 44; - src <= 11; - when "0100111" => - dest <= 37; - src <= 59; - when "0101000" => - dest <= 18; - src <= 23; - when "0101001" => - dest <= 30; - src <= 30; - when "0101010" => - dest <= 11; - src <= 51; - when "0101011" => - dest <= 62; - src <= 37; - when "0101100" => - dest <= 10; - src <= 4; - when "0101101" => - dest <= 53; - src <= 42; - when "0101110" => - dest <= 11; - src <= 49; - when "0101111" => - dest <= 11; - src <= 12; - when "0110000" => - dest <= 42; - src <= 56; - when "0110001" => - dest <= 11; - src <= 11; - when "0110010" => - dest <= 23; - src <= 24; - when "0110011" => - dest <= 11; - src <= 11; - when "0110100" => - dest <= 2; - src <= 33; - when "0110101" => - dest <= 6; - src <= 11; - when "0110110" => - dest <= 11; - src <= 11; - when "0110111" => - dest <= 61; - src <= 44; - when "0111000" => - dest <= 11; - src <= 20; - when "0111001" => - dest <= 52; - src <= 7; - when "0111010" => - dest <= 11; - src <= 11; - when "0111011" => - dest <= 36; - src <= 16; - when "0111100" => - dest <= 50; - src <= 11; - when "0111101" => - dest <= 29; - src <= 25; - when "0111110" => - dest <= 11; - src <= 11; - when "0111111" => - dest <= 57; - src <= 34; - when "1000000" => - dest <= 22; - src <= 11; - when "1000001" => - dest <= 43; - src <= 50; - when "1000010" => - dest <= 11; - src <= 36; - when "1000011" => - dest <= 15; - src <= 57; - when "1000100" => - dest <= 11; - src <= 11; - when "1000101" => - dest <= 1; - src <= 29; - when "1000110" => - dest <= 5; - src <= 0; - when "1000111" => - dest <= 60; - src <= 43; - when "1001000" => - dest <= 11; - src <= 11; - when "1001001" => - dest <= 58; - src <= 15; - when "1001010" => - dest <= 28; - src <= 21; - when "1001011" => - dest <= 51; - src <= 17; - when "1001100" => - dest <= 21; - src <= 26; - when "1001101" => - dest <= 11; - src <= 11; - when "1001110" => - dest <= 14; - src <= 28; - when "1001111" => - dest <= 11; - src <= 58; - when "1010000" => - dest <= 4; - src <= 35; - when "1010001" => - dest <= 59; - src <= 1; - when "1010010" => - dest <= 13; - src <= 11; - when "1010011" => - dest <= 11; - src <= 8; - when "1010100" => - dest <= 11; - src <= 18; - when "1010101" => - dest <= 47; - src <= 27; - when "1010110" => - dest <= 38; - src <= 9; - when others => - dest <= 11; - src <= 11; - - end case; - end process; - - end generate NI_NUM11; - - NI_NUM12 : if NI_NUM = 12 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 17; - src <= 12; - when "0000001" => - dest <= 20; - src <= 12; - when "0000010" => - dest <= 4; - src <= 12; - when "0000011" => - dest <= 48; - src <= 12; - when "0000100" => - dest <= 41; - src <= 4; - when "0000101" => - dest <= 12; - src <= 20; - when "0000110" => - dest <= 33; - src <= 7; - when "0000111" => - dest <= 10; - src <= 49; - when "0001000" => - dest <= 12; - src <= 40; - when "0001001" => - dest <= 34; - src <= 12; - when "0001010" => - dest <= 32; - src <= 12; - when "0001011" => - dest <= 47; - src <= 14; - when "0001100" => - dest <= 26; - src <= 32; - when "0001101" => - dest <= 12; - src <= 47; - when "0001110" => - dest <= 13; - src <= 55; - when "0001111" => - dest <= 1; - src <= 12; - when "0010000" => - dest <= 56; - src <= 54; - when "0010001" => - dest <= 27; - src <= 11; - when "0010010" => - dest <= 12; - src <= 62; - when "0010011" => - dest <= 55; - src <= 48; - when "0010100" => - dest <= 42; - src <= 41; - when "0010101" => - dest <= 18; - src <= 23; - when "0010110" => - dest <= 25; - src <= 61; - when "0010111" => - dest <= 12; - src <= 12; - when "0011000" => - dest <= 35; - src <= 24; - when "0011001" => - dest <= 12; - src <= 12; - when "0011010" => - dest <= 49; - src <= 6; - when "0011011" => - dest <= 46; - src <= 33; - when "0011100" => - dest <= 24; - src <= 46; - when "0011101" => - dest <= 21; - src <= 63; - when "0011110" => - dest <= 12; - src <= 53; - when "0011111" => - dest <= 12; - src <= 12; - when "0100000" => - dest <= 9; - src <= 12; - when "0100001" => - dest <= 0; - src <= 3; - when "0100010" => - dest <= 57; - src <= 39; - when "0100011" => - dest <= 28; - src <= 42; - when "0100100" => - dest <= 50; - src <= 56; - when "0100101" => - dest <= 36; - src <= 15; - when "0100110" => - dest <= 45; - src <= 12; - when "0100111" => - dest <= 38; - src <= 60; - when "0101000" => - dest <= 19; - src <= 16; - when "0101001" => - dest <= 31; - src <= 31; - when "0101010" => - dest <= 12; - src <= 52; - when "0101011" => - dest <= 63; - src <= 38; - when "0101100" => - dest <= 11; - src <= 5; - when "0101101" => - dest <= 54; - src <= 43; - when "0101110" => - dest <= 12; - src <= 50; - when "0101111" => - dest <= 12; - src <= 13; - when "0110000" => - dest <= 43; - src <= 57; - when "0110001" => - dest <= 12; - src <= 12; - when "0110010" => - dest <= 16; - src <= 25; - when "0110011" => - dest <= 12; - src <= 12; - when "0110100" => - dest <= 3; - src <= 34; - when "0110101" => - dest <= 7; - src <= 12; - when "0110110" => - dest <= 12; - src <= 12; - when "0110111" => - dest <= 62; - src <= 45; - when "0111000" => - dest <= 12; - src <= 21; - when "0111001" => - dest <= 53; - src <= 0; - when "0111010" => - dest <= 12; - src <= 12; - when "0111011" => - dest <= 37; - src <= 17; - when "0111100" => - dest <= 51; - src <= 12; - when "0111101" => - dest <= 30; - src <= 26; - when "0111110" => - dest <= 12; - src <= 12; - when "0111111" => - dest <= 58; - src <= 35; - when "1000000" => - dest <= 23; - src <= 12; - when "1000001" => - dest <= 44; - src <= 51; - when "1000010" => - dest <= 12; - src <= 37; - when "1000011" => - dest <= 8; - src <= 58; - when "1000100" => - dest <= 12; - src <= 12; - when "1000101" => - dest <= 2; - src <= 30; - when "1000110" => - dest <= 6; - src <= 1; - when "1000111" => - dest <= 61; - src <= 44; - when "1001000" => - dest <= 12; - src <= 12; - when "1001001" => - dest <= 59; - src <= 8; - when "1001010" => - dest <= 29; - src <= 22; - when "1001011" => - dest <= 52; - src <= 18; - when "1001100" => - dest <= 22; - src <= 27; - when "1001101" => - dest <= 12; - src <= 12; - when "1001110" => - dest <= 15; - src <= 29; - when "1001111" => - dest <= 12; - src <= 59; - when "1010000" => - dest <= 5; - src <= 36; - when "1010001" => - dest <= 60; - src <= 2; - when "1010010" => - dest <= 14; - src <= 12; - when "1010011" => - dest <= 12; - src <= 9; - when "1010100" => - dest <= 12; - src <= 19; - when "1010101" => - dest <= 40; - src <= 28; - when "1010110" => - dest <= 39; - src <= 10; - when others => - dest <= 12; - src <= 12; - - end case; - end process; - - end generate NI_NUM12; - - NI_NUM13 : if NI_NUM = 13 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 18; - src <= 13; - when "0000001" => - dest <= 21; - src <= 13; - when "0000010" => - dest <= 5; - src <= 13; - when "0000011" => - dest <= 49; - src <= 13; - when "0000100" => - dest <= 42; - src <= 5; - when "0000101" => - dest <= 13; - src <= 21; - when "0000110" => - dest <= 34; - src <= 0; - when "0000111" => - dest <= 11; - src <= 50; - when "0001000" => - dest <= 13; - src <= 41; - when "0001001" => - dest <= 35; - src <= 13; - when "0001010" => - dest <= 33; - src <= 13; - when "0001011" => - dest <= 40; - src <= 15; - when "0001100" => - dest <= 27; - src <= 33; - when "0001101" => - dest <= 13; - src <= 40; - when "0001110" => - dest <= 14; - src <= 48; - when "0001111" => - dest <= 2; - src <= 13; - when "0010000" => - dest <= 57; - src <= 55; - when "0010001" => - dest <= 28; - src <= 12; - when "0010010" => - dest <= 13; - src <= 63; - when "0010011" => - dest <= 48; - src <= 49; - when "0010100" => - dest <= 43; - src <= 42; - when "0010101" => - dest <= 19; - src <= 16; - when "0010110" => - dest <= 26; - src <= 62; - when "0010111" => - dest <= 13; - src <= 13; - when "0011000" => - dest <= 36; - src <= 25; - when "0011001" => - dest <= 13; - src <= 13; - when "0011010" => - dest <= 50; - src <= 7; - when "0011011" => - dest <= 47; - src <= 34; - when "0011100" => - dest <= 25; - src <= 47; - when "0011101" => - dest <= 22; - src <= 56; - when "0011110" => - dest <= 13; - src <= 54; - when "0011111" => - dest <= 13; - src <= 13; - when "0100000" => - dest <= 10; - src <= 13; - when "0100001" => - dest <= 1; - src <= 4; - when "0100010" => - dest <= 58; - src <= 32; - when "0100011" => - dest <= 29; - src <= 43; - when "0100100" => - dest <= 51; - src <= 57; - when "0100101" => - dest <= 37; - src <= 8; - when "0100110" => - dest <= 46; - src <= 13; - when "0100111" => - dest <= 39; - src <= 61; - when "0101000" => - dest <= 20; - src <= 17; - when "0101001" => - dest <= 24; - src <= 24; - when "0101010" => - dest <= 13; - src <= 53; - when "0101011" => - dest <= 56; - src <= 39; - when "0101100" => - dest <= 12; - src <= 6; - when "0101101" => - dest <= 55; - src <= 44; - when "0101110" => - dest <= 13; - src <= 51; - when "0101111" => - dest <= 13; - src <= 14; - when "0110000" => - dest <= 44; - src <= 58; - when "0110001" => - dest <= 13; - src <= 13; - when "0110010" => - dest <= 17; - src <= 26; - when "0110011" => - dest <= 13; - src <= 13; - when "0110100" => - dest <= 4; - src <= 35; - when "0110101" => - dest <= 0; - src <= 13; - when "0110110" => - dest <= 13; - src <= 13; - when "0110111" => - dest <= 63; - src <= 46; - when "0111000" => - dest <= 13; - src <= 22; - when "0111001" => - dest <= 54; - src <= 1; - when "0111010" => - dest <= 13; - src <= 13; - when "0111011" => - dest <= 38; - src <= 18; - when "0111100" => - dest <= 52; - src <= 13; - when "0111101" => - dest <= 31; - src <= 27; - when "0111110" => - dest <= 13; - src <= 13; - when "0111111" => - dest <= 59; - src <= 36; - when "1000000" => - dest <= 16; - src <= 13; - when "1000001" => - dest <= 45; - src <= 52; - when "1000010" => - dest <= 13; - src <= 38; - when "1000011" => - dest <= 9; - src <= 59; - when "1000100" => - dest <= 13; - src <= 13; - when "1000101" => - dest <= 3; - src <= 31; - when "1000110" => - dest <= 7; - src <= 2; - when "1000111" => - dest <= 62; - src <= 45; - when "1001000" => - dest <= 13; - src <= 13; - when "1001001" => - dest <= 60; - src <= 9; - when "1001010" => - dest <= 30; - src <= 23; - when "1001011" => - dest <= 53; - src <= 19; - when "1001100" => - dest <= 23; - src <= 28; - when "1001101" => - dest <= 13; - src <= 13; - when "1001110" => - dest <= 8; - src <= 30; - when "1001111" => - dest <= 13; - src <= 60; - when "1010000" => - dest <= 6; - src <= 37; - when "1010001" => - dest <= 61; - src <= 3; - when "1010010" => - dest <= 15; - src <= 13; - when "1010011" => - dest <= 13; - src <= 10; - when "1010100" => - dest <= 13; - src <= 20; - when "1010101" => - dest <= 41; - src <= 29; - when "1010110" => - dest <= 32; - src <= 11; - when others => - dest <= 13; - src <= 13; - - end case; - end process; - - end generate NI_NUM13; - - NI_NUM14 : if NI_NUM = 14 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 19; - src <= 14; - when "0000001" => - dest <= 22; - src <= 14; - when "0000010" => - dest <= 6; - src <= 14; - when "0000011" => - dest <= 50; - src <= 14; - when "0000100" => - dest <= 43; - src <= 6; - when "0000101" => - dest <= 14; - src <= 22; - when "0000110" => - dest <= 35; - src <= 1; - when "0000111" => - dest <= 12; - src <= 51; - when "0001000" => - dest <= 14; - src <= 42; - when "0001001" => - dest <= 36; - src <= 14; - when "0001010" => - dest <= 34; - src <= 14; - when "0001011" => - dest <= 41; - src <= 8; - when "0001100" => - dest <= 28; - src <= 34; - when "0001101" => - dest <= 14; - src <= 41; - when "0001110" => - dest <= 15; - src <= 49; - when "0001111" => - dest <= 3; - src <= 14; - when "0010000" => - dest <= 58; - src <= 48; - when "0010001" => - dest <= 29; - src <= 13; - when "0010010" => - dest <= 14; - src <= 56; - when "0010011" => - dest <= 49; - src <= 50; - when "0010100" => - dest <= 44; - src <= 43; - when "0010101" => - dest <= 20; - src <= 17; - when "0010110" => - dest <= 27; - src <= 63; - when "0010111" => - dest <= 14; - src <= 14; - when "0011000" => - dest <= 37; - src <= 26; - when "0011001" => - dest <= 14; - src <= 14; - when "0011010" => - dest <= 51; - src <= 0; - when "0011011" => - dest <= 40; - src <= 35; - when "0011100" => - dest <= 26; - src <= 40; - when "0011101" => - dest <= 23; - src <= 57; - when "0011110" => - dest <= 14; - src <= 55; - when "0011111" => - dest <= 14; - src <= 14; - when "0100000" => - dest <= 11; - src <= 14; - when "0100001" => - dest <= 2; - src <= 5; - when "0100010" => - dest <= 59; - src <= 33; - when "0100011" => - dest <= 30; - src <= 44; - when "0100100" => - dest <= 52; - src <= 58; - when "0100101" => - dest <= 38; - src <= 9; - when "0100110" => - dest <= 47; - src <= 14; - when "0100111" => - dest <= 32; - src <= 62; - when "0101000" => - dest <= 21; - src <= 18; - when "0101001" => - dest <= 25; - src <= 25; - when "0101010" => - dest <= 14; - src <= 54; - when "0101011" => - dest <= 57; - src <= 32; - when "0101100" => - dest <= 13; - src <= 7; - when "0101101" => - dest <= 48; - src <= 45; - when "0101110" => - dest <= 14; - src <= 52; - when "0101111" => - dest <= 14; - src <= 15; - when "0110000" => - dest <= 45; - src <= 59; - when "0110001" => - dest <= 14; - src <= 14; - when "0110010" => - dest <= 18; - src <= 27; - when "0110011" => - dest <= 14; - src <= 14; - when "0110100" => - dest <= 5; - src <= 36; - when "0110101" => - dest <= 1; - src <= 14; - when "0110110" => - dest <= 14; - src <= 14; - when "0110111" => - dest <= 56; - src <= 47; - when "0111000" => - dest <= 14; - src <= 23; - when "0111001" => - dest <= 55; - src <= 2; - when "0111010" => - dest <= 14; - src <= 14; - when "0111011" => - dest <= 39; - src <= 19; - when "0111100" => - dest <= 53; - src <= 14; - when "0111101" => - dest <= 24; - src <= 28; - when "0111110" => - dest <= 14; - src <= 14; - when "0111111" => - dest <= 60; - src <= 37; - when "1000000" => - dest <= 17; - src <= 14; - when "1000001" => - dest <= 46; - src <= 53; - when "1000010" => - dest <= 14; - src <= 39; - when "1000011" => - dest <= 10; - src <= 60; - when "1000100" => - dest <= 14; - src <= 14; - when "1000101" => - dest <= 4; - src <= 24; - when "1000110" => - dest <= 0; - src <= 3; - when "1000111" => - dest <= 63; - src <= 46; - when "1001000" => - dest <= 14; - src <= 14; - when "1001001" => - dest <= 61; - src <= 10; - when "1001010" => - dest <= 31; - src <= 16; - when "1001011" => - dest <= 54; - src <= 20; - when "1001100" => - dest <= 16; - src <= 29; - when "1001101" => - dest <= 14; - src <= 14; - when "1001110" => - dest <= 9; - src <= 31; - when "1001111" => - dest <= 14; - src <= 61; - when "1010000" => - dest <= 7; - src <= 38; - when "1010001" => - dest <= 62; - src <= 4; - when "1010010" => - dest <= 8; - src <= 14; - when "1010011" => - dest <= 14; - src <= 11; - when "1010100" => - dest <= 14; - src <= 21; - when "1010101" => - dest <= 42; - src <= 30; - when "1010110" => - dest <= 33; - src <= 12; - when others => - dest <= 14; - src <= 14; - - end case; - end process; - - end generate NI_NUM14; - - NI_NUM15 : if NI_NUM = 15 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 20; - src <= 15; - when "0000001" => - dest <= 23; - src <= 15; - when "0000010" => - dest <= 7; - src <= 15; - when "0000011" => - dest <= 51; - src <= 15; - when "0000100" => - dest <= 44; - src <= 7; - when "0000101" => - dest <= 15; - src <= 23; - when "0000110" => - dest <= 36; - src <= 2; - when "0000111" => - dest <= 13; - src <= 52; - when "0001000" => - dest <= 15; - src <= 43; - when "0001001" => - dest <= 37; - src <= 15; - when "0001010" => - dest <= 35; - src <= 15; - when "0001011" => - dest <= 42; - src <= 9; - when "0001100" => - dest <= 29; - src <= 35; - when "0001101" => - dest <= 15; - src <= 42; - when "0001110" => - dest <= 8; - src <= 50; - when "0001111" => - dest <= 4; - src <= 15; - when "0010000" => - dest <= 59; - src <= 49; - when "0010001" => - dest <= 30; - src <= 14; - when "0010010" => - dest <= 15; - src <= 57; - when "0010011" => - dest <= 50; - src <= 51; - when "0010100" => - dest <= 45; - src <= 44; - when "0010101" => - dest <= 21; - src <= 18; - when "0010110" => - dest <= 28; - src <= 56; - when "0010111" => - dest <= 15; - src <= 15; - when "0011000" => - dest <= 38; - src <= 27; - when "0011001" => - dest <= 15; - src <= 15; - when "0011010" => - dest <= 52; - src <= 1; - when "0011011" => - dest <= 41; - src <= 36; - when "0011100" => - dest <= 27; - src <= 41; - when "0011101" => - dest <= 16; - src <= 58; - when "0011110" => - dest <= 15; - src <= 48; - when "0011111" => - dest <= 15; - src <= 15; - when "0100000" => - dest <= 12; - src <= 15; - when "0100001" => - dest <= 3; - src <= 6; - when "0100010" => - dest <= 60; - src <= 34; - when "0100011" => - dest <= 31; - src <= 45; - when "0100100" => - dest <= 53; - src <= 59; - when "0100101" => - dest <= 39; - src <= 10; - when "0100110" => - dest <= 40; - src <= 15; - when "0100111" => - dest <= 33; - src <= 63; - when "0101000" => - dest <= 22; - src <= 19; - when "0101001" => - dest <= 26; - src <= 26; - when "0101010" => - dest <= 15; - src <= 55; - when "0101011" => - dest <= 58; - src <= 33; - when "0101100" => - dest <= 14; - src <= 0; - when "0101101" => - dest <= 49; - src <= 46; - when "0101110" => - dest <= 15; - src <= 53; - when "0101111" => - dest <= 15; - src <= 8; - when "0110000" => - dest <= 46; - src <= 60; - when "0110001" => - dest <= 15; - src <= 15; - when "0110010" => - dest <= 19; - src <= 28; - when "0110011" => - dest <= 15; - src <= 15; - when "0110100" => - dest <= 6; - src <= 37; - when "0110101" => - dest <= 2; - src <= 15; - when "0110110" => - dest <= 15; - src <= 15; - when "0110111" => - dest <= 57; - src <= 40; - when "0111000" => - dest <= 15; - src <= 16; - when "0111001" => - dest <= 48; - src <= 3; - when "0111010" => - dest <= 15; - src <= 15; - when "0111011" => - dest <= 32; - src <= 20; - when "0111100" => - dest <= 54; - src <= 15; - when "0111101" => - dest <= 25; - src <= 29; - when "0111110" => - dest <= 15; - src <= 15; - when "0111111" => - dest <= 61; - src <= 38; - when "1000000" => - dest <= 18; - src <= 15; - when "1000001" => - dest <= 47; - src <= 54; - when "1000010" => - dest <= 15; - src <= 32; - when "1000011" => - dest <= 11; - src <= 61; - when "1000100" => - dest <= 15; - src <= 15; - when "1000101" => - dest <= 5; - src <= 25; - when "1000110" => - dest <= 1; - src <= 4; - when "1000111" => - dest <= 56; - src <= 47; - when "1001000" => - dest <= 15; - src <= 15; - when "1001001" => - dest <= 62; - src <= 11; - when "1001010" => - dest <= 24; - src <= 17; - when "1001011" => - dest <= 55; - src <= 21; - when "1001100" => - dest <= 17; - src <= 30; - when "1001101" => - dest <= 15; - src <= 15; - when "1001110" => - dest <= 10; - src <= 24; - when "1001111" => - dest <= 15; - src <= 62; - when "1010000" => - dest <= 0; - src <= 39; - when "1010001" => - dest <= 63; - src <= 5; - when "1010010" => - dest <= 9; - src <= 15; - when "1010011" => - dest <= 15; - src <= 12; - when "1010100" => - dest <= 15; - src <= 22; - when "1010101" => - dest <= 43; - src <= 31; - when "1010110" => - dest <= 34; - src <= 13; - when others => - dest <= 15; - src <= 15; - - end case; - end process; - - end generate NI_NUM15; - - NI_NUM16 : if NI_NUM = 16 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 29; - src <= 16; - when "0000001" => - dest <= 24; - src <= 16; - when "0000010" => - dest <= 8; - src <= 16; - when "0000011" => - dest <= 60; - src <= 16; - when "0000100" => - dest <= 53; - src <= 8; - when "0000101" => - dest <= 16; - src <= 24; - when "0000110" => - dest <= 45; - src <= 11; - when "0000111" => - dest <= 22; - src <= 61; - when "0001000" => - dest <= 16; - src <= 52; - when "0001001" => - dest <= 46; - src <= 16; - when "0001010" => - dest <= 44; - src <= 16; - when "0001011" => - dest <= 51; - src <= 18; - when "0001100" => - dest <= 38; - src <= 44; - when "0001101" => - dest <= 16; - src <= 51; - when "0001110" => - dest <= 17; - src <= 59; - when "0001111" => - dest <= 13; - src <= 16; - when "0010000" => - dest <= 4; - src <= 58; - when "0010001" => - dest <= 39; - src <= 23; - when "0010010" => - dest <= 16; - src <= 2; - when "0010011" => - dest <= 59; - src <= 60; - when "0010100" => - dest <= 54; - src <= 53; - when "0010101" => - dest <= 30; - src <= 27; - when "0010110" => - dest <= 37; - src <= 1; - when "0010111" => - dest <= 16; - src <= 16; - when "0011000" => - dest <= 47; - src <= 36; - when "0011001" => - dest <= 16; - src <= 16; - when "0011010" => - dest <= 61; - src <= 10; - when "0011011" => - dest <= 50; - src <= 45; - when "0011100" => - dest <= 36; - src <= 50; - when "0011101" => - dest <= 25; - src <= 3; - when "0011110" => - dest <= 16; - src <= 57; - when "0011111" => - dest <= 16; - src <= 16; - when "0100000" => - dest <= 21; - src <= 16; - when "0100001" => - dest <= 12; - src <= 15; - when "0100010" => - dest <= 5; - src <= 43; - when "0100011" => - dest <= 32; - src <= 54; - when "0100100" => - dest <= 62; - src <= 4; - when "0100101" => - dest <= 40; - src <= 19; - when "0100110" => - dest <= 49; - src <= 16; - when "0100111" => - dest <= 42; - src <= 0; - when "0101000" => - dest <= 31; - src <= 28; - when "0101001" => - dest <= 35; - src <= 35; - when "0101010" => - dest <= 16; - src <= 56; - when "0101011" => - dest <= 3; - src <= 42; - when "0101100" => - dest <= 23; - src <= 9; - when "0101101" => - dest <= 58; - src <= 55; - when "0101110" => - dest <= 16; - src <= 62; - when "0101111" => - dest <= 16; - src <= 17; - when "0110000" => - dest <= 55; - src <= 5; - when "0110001" => - dest <= 16; - src <= 16; - when "0110010" => - dest <= 28; - src <= 37; - when "0110011" => - dest <= 16; - src <= 16; - when "0110100" => - dest <= 15; - src <= 46; - when "0110101" => - dest <= 11; - src <= 16; - when "0110110" => - dest <= 16; - src <= 16; - when "0110111" => - dest <= 2; - src <= 49; - when "0111000" => - dest <= 16; - src <= 25; - when "0111001" => - dest <= 57; - src <= 12; - when "0111010" => - dest <= 16; - src <= 16; - when "0111011" => - dest <= 41; - src <= 29; - when "0111100" => - dest <= 63; - src <= 16; - when "0111101" => - dest <= 34; - src <= 38; - when "0111110" => - dest <= 16; - src <= 16; - when "0111111" => - dest <= 6; - src <= 47; - when "1000000" => - dest <= 27; - src <= 16; - when "1000001" => - dest <= 48; - src <= 63; - when "1000010" => - dest <= 16; - src <= 41; - when "1000011" => - dest <= 20; - src <= 6; - when "1000100" => - dest <= 16; - src <= 16; - when "1000101" => - dest <= 14; - src <= 34; - when "1000110" => - dest <= 10; - src <= 13; - when "1000111" => - dest <= 1; - src <= 48; - when "1001000" => - dest <= 16; - src <= 16; - when "1001001" => - dest <= 7; - src <= 20; - when "1001010" => - dest <= 33; - src <= 26; - when "1001011" => - dest <= 56; - src <= 30; - when "1001100" => - dest <= 26; - src <= 39; - when "1001101" => - dest <= 16; - src <= 16; - when "1001110" => - dest <= 19; - src <= 33; - when "1001111" => - dest <= 16; - src <= 7; - when "1010000" => - dest <= 9; - src <= 40; - when "1010001" => - dest <= 0; - src <= 14; - when "1010010" => - dest <= 18; - src <= 16; - when "1010011" => - dest <= 16; - src <= 21; - when "1010100" => - dest <= 16; - src <= 31; - when "1010101" => - dest <= 52; - src <= 32; - when "1010110" => - dest <= 43; - src <= 22; - when others => - dest <= 16; - src <= 16; - - end case; - end process; - - end generate NI_NUM16; - - NI_NUM17 : if NI_NUM = 17 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 30; - src <= 17; - when "0000001" => - dest <= 25; - src <= 17; - when "0000010" => - dest <= 9; - src <= 17; - when "0000011" => - dest <= 61; - src <= 17; - when "0000100" => - dest <= 54; - src <= 9; - when "0000101" => - dest <= 17; - src <= 25; - when "0000110" => - dest <= 46; - src <= 12; - when "0000111" => - dest <= 23; - src <= 62; - when "0001000" => - dest <= 17; - src <= 53; - when "0001001" => - dest <= 47; - src <= 17; - when "0001010" => - dest <= 45; - src <= 17; - when "0001011" => - dest <= 52; - src <= 19; - when "0001100" => - dest <= 39; - src <= 45; - when "0001101" => - dest <= 17; - src <= 52; - when "0001110" => - dest <= 18; - src <= 60; - when "0001111" => - dest <= 14; - src <= 17; - when "0010000" => - dest <= 5; - src <= 59; - when "0010001" => - dest <= 32; - src <= 16; - when "0010010" => - dest <= 17; - src <= 3; - when "0010011" => - dest <= 60; - src <= 61; - when "0010100" => - dest <= 55; - src <= 54; - when "0010101" => - dest <= 31; - src <= 28; - when "0010110" => - dest <= 38; - src <= 2; - when "0010111" => - dest <= 17; - src <= 17; - when "0011000" => - dest <= 40; - src <= 37; - when "0011001" => - dest <= 17; - src <= 17; - when "0011010" => - dest <= 62; - src <= 11; - when "0011011" => - dest <= 51; - src <= 46; - when "0011100" => - dest <= 37; - src <= 51; - when "0011101" => - dest <= 26; - src <= 4; - when "0011110" => - dest <= 17; - src <= 58; - when "0011111" => - dest <= 17; - src <= 17; - when "0100000" => - dest <= 22; - src <= 17; - when "0100001" => - dest <= 13; - src <= 8; - when "0100010" => - dest <= 6; - src <= 44; - when "0100011" => - dest <= 33; - src <= 55; - when "0100100" => - dest <= 63; - src <= 5; - when "0100101" => - dest <= 41; - src <= 20; - when "0100110" => - dest <= 50; - src <= 17; - when "0100111" => - dest <= 43; - src <= 1; - when "0101000" => - dest <= 24; - src <= 29; - when "0101001" => - dest <= 36; - src <= 36; - when "0101010" => - dest <= 17; - src <= 57; - when "0101011" => - dest <= 4; - src <= 43; - when "0101100" => - dest <= 16; - src <= 10; - when "0101101" => - dest <= 59; - src <= 48; - when "0101110" => - dest <= 17; - src <= 63; - when "0101111" => - dest <= 17; - src <= 18; - when "0110000" => - dest <= 48; - src <= 6; - when "0110001" => - dest <= 17; - src <= 17; - when "0110010" => - dest <= 29; - src <= 38; - when "0110011" => - dest <= 17; - src <= 17; - when "0110100" => - dest <= 8; - src <= 47; - when "0110101" => - dest <= 12; - src <= 17; - when "0110110" => - dest <= 17; - src <= 17; - when "0110111" => - dest <= 3; - src <= 50; - when "0111000" => - dest <= 17; - src <= 26; - when "0111001" => - dest <= 58; - src <= 13; - when "0111010" => - dest <= 17; - src <= 17; - when "0111011" => - dest <= 42; - src <= 30; - when "0111100" => - dest <= 56; - src <= 17; - when "0111101" => - dest <= 35; - src <= 39; - when "0111110" => - dest <= 17; - src <= 17; - when "0111111" => - dest <= 7; - src <= 40; - when "1000000" => - dest <= 28; - src <= 17; - when "1000001" => - dest <= 49; - src <= 56; - when "1000010" => - dest <= 17; - src <= 42; - when "1000011" => - dest <= 21; - src <= 7; - when "1000100" => - dest <= 17; - src <= 17; - when "1000101" => - dest <= 15; - src <= 35; - when "1000110" => - dest <= 11; - src <= 14; - when "1000111" => - dest <= 2; - src <= 49; - when "1001000" => - dest <= 17; - src <= 17; - when "1001001" => - dest <= 0; - src <= 21; - when "1001010" => - dest <= 34; - src <= 27; - when "1001011" => - dest <= 57; - src <= 31; - when "1001100" => - dest <= 27; - src <= 32; - when "1001101" => - dest <= 17; - src <= 17; - when "1001110" => - dest <= 20; - src <= 34; - when "1001111" => - dest <= 17; - src <= 0; - when "1010000" => - dest <= 10; - src <= 41; - when "1010001" => - dest <= 1; - src <= 15; - when "1010010" => - dest <= 19; - src <= 17; - when "1010011" => - dest <= 17; - src <= 22; - when "1010100" => - dest <= 17; - src <= 24; - when "1010101" => - dest <= 53; - src <= 33; - when "1010110" => - dest <= 44; - src <= 23; - when others => - dest <= 17; - src <= 17; - - end case; - end process; - - end generate NI_NUM17; - - NI_NUM18 : if NI_NUM = 18 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 31; - src <= 18; - when "0000001" => - dest <= 26; - src <= 18; - when "0000010" => - dest <= 10; - src <= 18; - when "0000011" => - dest <= 62; - src <= 18; - when "0000100" => - dest <= 55; - src <= 10; - when "0000101" => - dest <= 18; - src <= 26; - when "0000110" => - dest <= 47; - src <= 13; - when "0000111" => - dest <= 16; - src <= 63; - when "0001000" => - dest <= 18; - src <= 54; - when "0001001" => - dest <= 40; - src <= 18; - when "0001010" => - dest <= 46; - src <= 18; - when "0001011" => - dest <= 53; - src <= 20; - when "0001100" => - dest <= 32; - src <= 46; - when "0001101" => - dest <= 18; - src <= 53; - when "0001110" => - dest <= 19; - src <= 61; - when "0001111" => - dest <= 15; - src <= 18; - when "0010000" => - dest <= 6; - src <= 60; - when "0010001" => - dest <= 33; - src <= 17; - when "0010010" => - dest <= 18; - src <= 4; - when "0010011" => - dest <= 61; - src <= 62; - when "0010100" => - dest <= 48; - src <= 55; - when "0010101" => - dest <= 24; - src <= 29; - when "0010110" => - dest <= 39; - src <= 3; - when "0010111" => - dest <= 18; - src <= 18; - when "0011000" => - dest <= 41; - src <= 38; - when "0011001" => - dest <= 18; - src <= 18; - when "0011010" => - dest <= 63; - src <= 12; - when "0011011" => - dest <= 52; - src <= 47; - when "0011100" => - dest <= 38; - src <= 52; - when "0011101" => - dest <= 27; - src <= 5; - when "0011110" => - dest <= 18; - src <= 59; - when "0011111" => - dest <= 18; - src <= 18; - when "0100000" => - dest <= 23; - src <= 18; - when "0100001" => - dest <= 14; - src <= 9; - when "0100010" => - dest <= 7; - src <= 45; - when "0100011" => - dest <= 34; - src <= 48; - when "0100100" => - dest <= 56; - src <= 6; - when "0100101" => - dest <= 42; - src <= 21; - when "0100110" => - dest <= 51; - src <= 18; - when "0100111" => - dest <= 44; - src <= 2; - when "0101000" => - dest <= 25; - src <= 30; - when "0101001" => - dest <= 37; - src <= 37; - when "0101010" => - dest <= 18; - src <= 58; - when "0101011" => - dest <= 5; - src <= 44; - when "0101100" => - dest <= 17; - src <= 11; - when "0101101" => - dest <= 60; - src <= 49; - when "0101110" => - dest <= 18; - src <= 56; - when "0101111" => - dest <= 18; - src <= 19; - when "0110000" => - dest <= 49; - src <= 7; - when "0110001" => - dest <= 18; - src <= 18; - when "0110010" => - dest <= 30; - src <= 39; - when "0110011" => - dest <= 18; - src <= 18; - when "0110100" => - dest <= 9; - src <= 40; - when "0110101" => - dest <= 13; - src <= 18; - when "0110110" => - dest <= 18; - src <= 18; - when "0110111" => - dest <= 4; - src <= 51; - when "0111000" => - dest <= 18; - src <= 27; - when "0111001" => - dest <= 59; - src <= 14; - when "0111010" => - dest <= 18; - src <= 18; - when "0111011" => - dest <= 43; - src <= 31; - when "0111100" => - dest <= 57; - src <= 18; - when "0111101" => - dest <= 36; - src <= 32; - when "0111110" => - dest <= 18; - src <= 18; - when "0111111" => - dest <= 0; - src <= 41; - when "1000000" => - dest <= 29; - src <= 18; - when "1000001" => - dest <= 50; - src <= 57; - when "1000010" => - dest <= 18; - src <= 43; - when "1000011" => - dest <= 22; - src <= 0; - when "1000100" => - dest <= 18; - src <= 18; - when "1000101" => - dest <= 8; - src <= 36; - when "1000110" => - dest <= 12; - src <= 15; - when "1000111" => - dest <= 3; - src <= 50; - when "1001000" => - dest <= 18; - src <= 18; - when "1001001" => - dest <= 1; - src <= 22; - when "1001010" => - dest <= 35; - src <= 28; - when "1001011" => - dest <= 58; - src <= 24; - when "1001100" => - dest <= 28; - src <= 33; - when "1001101" => - dest <= 18; - src <= 18; - when "1001110" => - dest <= 21; - src <= 35; - when "1001111" => - dest <= 18; - src <= 1; - when "1010000" => - dest <= 11; - src <= 42; - when "1010001" => - dest <= 2; - src <= 8; - when "1010010" => - dest <= 20; - src <= 18; - when "1010011" => - dest <= 18; - src <= 23; - when "1010100" => - dest <= 18; - src <= 25; - when "1010101" => - dest <= 54; - src <= 34; - when "1010110" => - dest <= 45; - src <= 16; - when others => - dest <= 18; - src <= 18; - - end case; - end process; - - end generate NI_NUM18; - - NI_NUM19 : if NI_NUM = 19 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 24; - src <= 19; - when "0000001" => - dest <= 27; - src <= 19; - when "0000010" => - dest <= 11; - src <= 19; - when "0000011" => - dest <= 63; - src <= 19; - when "0000100" => - dest <= 48; - src <= 11; - when "0000101" => - dest <= 19; - src <= 27; - when "0000110" => - dest <= 40; - src <= 14; - when "0000111" => - dest <= 17; - src <= 56; - when "0001000" => - dest <= 19; - src <= 55; - when "0001001" => - dest <= 41; - src <= 19; - when "0001010" => - dest <= 47; - src <= 19; - when "0001011" => - dest <= 54; - src <= 21; - when "0001100" => - dest <= 33; - src <= 47; - when "0001101" => - dest <= 19; - src <= 54; - when "0001110" => - dest <= 20; - src <= 62; - when "0001111" => - dest <= 8; - src <= 19; - when "0010000" => - dest <= 7; - src <= 61; - when "0010001" => - dest <= 34; - src <= 18; - when "0010010" => - dest <= 19; - src <= 5; - when "0010011" => - dest <= 62; - src <= 63; - when "0010100" => - dest <= 49; - src <= 48; - when "0010101" => - dest <= 25; - src <= 30; - when "0010110" => - dest <= 32; - src <= 4; - when "0010111" => - dest <= 19; - src <= 19; - when "0011000" => - dest <= 42; - src <= 39; - when "0011001" => - dest <= 19; - src <= 19; - when "0011010" => - dest <= 56; - src <= 13; - when "0011011" => - dest <= 53; - src <= 40; - when "0011100" => - dest <= 39; - src <= 53; - when "0011101" => - dest <= 28; - src <= 6; - when "0011110" => - dest <= 19; - src <= 60; - when "0011111" => - dest <= 19; - src <= 19; - when "0100000" => - dest <= 16; - src <= 19; - when "0100001" => - dest <= 15; - src <= 10; - when "0100010" => - dest <= 0; - src <= 46; - when "0100011" => - dest <= 35; - src <= 49; - when "0100100" => - dest <= 57; - src <= 7; - when "0100101" => - dest <= 43; - src <= 22; - when "0100110" => - dest <= 52; - src <= 19; - when "0100111" => - dest <= 45; - src <= 3; - when "0101000" => - dest <= 26; - src <= 31; - when "0101001" => - dest <= 38; - src <= 38; - when "0101010" => - dest <= 19; - src <= 59; - when "0101011" => - dest <= 6; - src <= 45; - when "0101100" => - dest <= 18; - src <= 12; - when "0101101" => - dest <= 61; - src <= 50; - when "0101110" => - dest <= 19; - src <= 57; - when "0101111" => - dest <= 19; - src <= 20; - when "0110000" => - dest <= 50; - src <= 0; - when "0110001" => - dest <= 19; - src <= 19; - when "0110010" => - dest <= 31; - src <= 32; - when "0110011" => - dest <= 19; - src <= 19; - when "0110100" => - dest <= 10; - src <= 41; - when "0110101" => - dest <= 14; - src <= 19; - when "0110110" => - dest <= 19; - src <= 19; - when "0110111" => - dest <= 5; - src <= 52; - when "0111000" => - dest <= 19; - src <= 28; - when "0111001" => - dest <= 60; - src <= 15; - when "0111010" => - dest <= 19; - src <= 19; - when "0111011" => - dest <= 44; - src <= 24; - when "0111100" => - dest <= 58; - src <= 19; - when "0111101" => - dest <= 37; - src <= 33; - when "0111110" => - dest <= 19; - src <= 19; - when "0111111" => - dest <= 1; - src <= 42; - when "1000000" => - dest <= 30; - src <= 19; - when "1000001" => - dest <= 51; - src <= 58; - when "1000010" => - dest <= 19; - src <= 44; - when "1000011" => - dest <= 23; - src <= 1; - when "1000100" => - dest <= 19; - src <= 19; - when "1000101" => - dest <= 9; - src <= 37; - when "1000110" => - dest <= 13; - src <= 8; - when "1000111" => - dest <= 4; - src <= 51; - when "1001000" => - dest <= 19; - src <= 19; - when "1001001" => - dest <= 2; - src <= 23; - when "1001010" => - dest <= 36; - src <= 29; - when "1001011" => - dest <= 59; - src <= 25; - when "1001100" => - dest <= 29; - src <= 34; - when "1001101" => - dest <= 19; - src <= 19; - when "1001110" => - dest <= 22; - src <= 36; - when "1001111" => - dest <= 19; - src <= 2; - when "1010000" => - dest <= 12; - src <= 43; - when "1010001" => - dest <= 3; - src <= 9; - when "1010010" => - dest <= 21; - src <= 19; - when "1010011" => - dest <= 19; - src <= 16; - when "1010100" => - dest <= 19; - src <= 26; - when "1010101" => - dest <= 55; - src <= 35; - when "1010110" => - dest <= 46; - src <= 17; - when others => - dest <= 19; - src <= 19; - - end case; - end process; - - end generate NI_NUM19; - - NI_NUM20 : if NI_NUM = 20 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 25; - src <= 20; - when "0000001" => - dest <= 28; - src <= 20; - when "0000010" => - dest <= 12; - src <= 20; - when "0000011" => - dest <= 56; - src <= 20; - when "0000100" => - dest <= 49; - src <= 12; - when "0000101" => - dest <= 20; - src <= 28; - when "0000110" => - dest <= 41; - src <= 15; - when "0000111" => - dest <= 18; - src <= 57; - when "0001000" => - dest <= 20; - src <= 48; - when "0001001" => - dest <= 42; - src <= 20; - when "0001010" => - dest <= 40; - src <= 20; - when "0001011" => - dest <= 55; - src <= 22; - when "0001100" => - dest <= 34; - src <= 40; - when "0001101" => - dest <= 20; - src <= 55; - when "0001110" => - dest <= 21; - src <= 63; - when "0001111" => - dest <= 9; - src <= 20; - when "0010000" => - dest <= 0; - src <= 62; - when "0010001" => - dest <= 35; - src <= 19; - when "0010010" => - dest <= 20; - src <= 6; - when "0010011" => - dest <= 63; - src <= 56; - when "0010100" => - dest <= 50; - src <= 49; - when "0010101" => - dest <= 26; - src <= 31; - when "0010110" => - dest <= 33; - src <= 5; - when "0010111" => - dest <= 20; - src <= 20; - when "0011000" => - dest <= 43; - src <= 32; - when "0011001" => - dest <= 20; - src <= 20; - when "0011010" => - dest <= 57; - src <= 14; - when "0011011" => - dest <= 54; - src <= 41; - when "0011100" => - dest <= 32; - src <= 54; - when "0011101" => - dest <= 29; - src <= 7; - when "0011110" => - dest <= 20; - src <= 61; - when "0011111" => - dest <= 20; - src <= 20; - when "0100000" => - dest <= 17; - src <= 20; - when "0100001" => - dest <= 8; - src <= 11; - when "0100010" => - dest <= 1; - src <= 47; - when "0100011" => - dest <= 36; - src <= 50; - when "0100100" => - dest <= 58; - src <= 0; - when "0100101" => - dest <= 44; - src <= 23; - when "0100110" => - dest <= 53; - src <= 20; - when "0100111" => - dest <= 46; - src <= 4; - when "0101000" => - dest <= 27; - src <= 24; - when "0101001" => - dest <= 39; - src <= 39; - when "0101010" => - dest <= 20; - src <= 60; - when "0101011" => - dest <= 7; - src <= 46; - when "0101100" => - dest <= 19; - src <= 13; - when "0101101" => - dest <= 62; - src <= 51; - when "0101110" => - dest <= 20; - src <= 58; - when "0101111" => - dest <= 20; - src <= 21; - when "0110000" => - dest <= 51; - src <= 1; - when "0110001" => - dest <= 20; - src <= 20; - when "0110010" => - dest <= 24; - src <= 33; - when "0110011" => - dest <= 20; - src <= 20; - when "0110100" => - dest <= 11; - src <= 42; - when "0110101" => - dest <= 15; - src <= 20; - when "0110110" => - dest <= 20; - src <= 20; - when "0110111" => - dest <= 6; - src <= 53; - when "0111000" => - dest <= 20; - src <= 29; - when "0111001" => - dest <= 61; - src <= 8; - when "0111010" => - dest <= 20; - src <= 20; - when "0111011" => - dest <= 45; - src <= 25; - when "0111100" => - dest <= 59; - src <= 20; - when "0111101" => - dest <= 38; - src <= 34; - when "0111110" => - dest <= 20; - src <= 20; - when "0111111" => - dest <= 2; - src <= 43; - when "1000000" => - dest <= 31; - src <= 20; - when "1000001" => - dest <= 52; - src <= 59; - when "1000010" => - dest <= 20; - src <= 45; - when "1000011" => - dest <= 16; - src <= 2; - when "1000100" => - dest <= 20; - src <= 20; - when "1000101" => - dest <= 10; - src <= 38; - when "1000110" => - dest <= 14; - src <= 9; - when "1000111" => - dest <= 5; - src <= 52; - when "1001000" => - dest <= 20; - src <= 20; - when "1001001" => - dest <= 3; - src <= 16; - when "1001010" => - dest <= 37; - src <= 30; - when "1001011" => - dest <= 60; - src <= 26; - when "1001100" => - dest <= 30; - src <= 35; - when "1001101" => - dest <= 20; - src <= 20; - when "1001110" => - dest <= 23; - src <= 37; - when "1001111" => - dest <= 20; - src <= 3; - when "1010000" => - dest <= 13; - src <= 44; - when "1010001" => - dest <= 4; - src <= 10; - when "1010010" => - dest <= 22; - src <= 20; - when "1010011" => - dest <= 20; - src <= 17; - when "1010100" => - dest <= 20; - src <= 27; - when "1010101" => - dest <= 48; - src <= 36; - when "1010110" => - dest <= 47; - src <= 18; - when others => - dest <= 20; - src <= 20; - - end case; - end process; - - end generate NI_NUM20; - - NI_NUM21 : if NI_NUM = 21 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 26; - src <= 21; - when "0000001" => - dest <= 29; - src <= 21; - when "0000010" => - dest <= 13; - src <= 21; - when "0000011" => - dest <= 57; - src <= 21; - when "0000100" => - dest <= 50; - src <= 13; - when "0000101" => - dest <= 21; - src <= 29; - when "0000110" => - dest <= 42; - src <= 8; - when "0000111" => - dest <= 19; - src <= 58; - when "0001000" => - dest <= 21; - src <= 49; - when "0001001" => - dest <= 43; - src <= 21; - when "0001010" => - dest <= 41; - src <= 21; - when "0001011" => - dest <= 48; - src <= 23; - when "0001100" => - dest <= 35; - src <= 41; - when "0001101" => - dest <= 21; - src <= 48; - when "0001110" => - dest <= 22; - src <= 56; - when "0001111" => - dest <= 10; - src <= 21; - when "0010000" => - dest <= 1; - src <= 63; - when "0010001" => - dest <= 36; - src <= 20; - when "0010010" => - dest <= 21; - src <= 7; - when "0010011" => - dest <= 56; - src <= 57; - when "0010100" => - dest <= 51; - src <= 50; - when "0010101" => - dest <= 27; - src <= 24; - when "0010110" => - dest <= 34; - src <= 6; - when "0010111" => - dest <= 21; - src <= 21; - when "0011000" => - dest <= 44; - src <= 33; - when "0011001" => - dest <= 21; - src <= 21; - when "0011010" => - dest <= 58; - src <= 15; - when "0011011" => - dest <= 55; - src <= 42; - when "0011100" => - dest <= 33; - src <= 55; - when "0011101" => - dest <= 30; - src <= 0; - when "0011110" => - dest <= 21; - src <= 62; - when "0011111" => - dest <= 21; - src <= 21; - when "0100000" => - dest <= 18; - src <= 21; - when "0100001" => - dest <= 9; - src <= 12; - when "0100010" => - dest <= 2; - src <= 40; - when "0100011" => - dest <= 37; - src <= 51; - when "0100100" => - dest <= 59; - src <= 1; - when "0100101" => - dest <= 45; - src <= 16; - when "0100110" => - dest <= 54; - src <= 21; - when "0100111" => - dest <= 47; - src <= 5; - when "0101000" => - dest <= 28; - src <= 25; - when "0101001" => - dest <= 32; - src <= 32; - when "0101010" => - dest <= 21; - src <= 61; - when "0101011" => - dest <= 0; - src <= 47; - when "0101100" => - dest <= 20; - src <= 14; - when "0101101" => - dest <= 63; - src <= 52; - when "0101110" => - dest <= 21; - src <= 59; - when "0101111" => - dest <= 21; - src <= 22; - when "0110000" => - dest <= 52; - src <= 2; - when "0110001" => - dest <= 21; - src <= 21; - when "0110010" => - dest <= 25; - src <= 34; - when "0110011" => - dest <= 21; - src <= 21; - when "0110100" => - dest <= 12; - src <= 43; - when "0110101" => - dest <= 8; - src <= 21; - when "0110110" => - dest <= 21; - src <= 21; - when "0110111" => - dest <= 7; - src <= 54; - when "0111000" => - dest <= 21; - src <= 30; - when "0111001" => - dest <= 62; - src <= 9; - when "0111010" => - dest <= 21; - src <= 21; - when "0111011" => - dest <= 46; - src <= 26; - when "0111100" => - dest <= 60; - src <= 21; - when "0111101" => - dest <= 39; - src <= 35; - when "0111110" => - dest <= 21; - src <= 21; - when "0111111" => - dest <= 3; - src <= 44; - when "1000000" => - dest <= 24; - src <= 21; - when "1000001" => - dest <= 53; - src <= 60; - when "1000010" => - dest <= 21; - src <= 46; - when "1000011" => - dest <= 17; - src <= 3; - when "1000100" => - dest <= 21; - src <= 21; - when "1000101" => - dest <= 11; - src <= 39; - when "1000110" => - dest <= 15; - src <= 10; - when "1000111" => - dest <= 6; - src <= 53; - when "1001000" => - dest <= 21; - src <= 21; - when "1001001" => - dest <= 4; - src <= 17; - when "1001010" => - dest <= 38; - src <= 31; - when "1001011" => - dest <= 61; - src <= 27; - when "1001100" => - dest <= 31; - src <= 36; - when "1001101" => - dest <= 21; - src <= 21; - when "1001110" => - dest <= 16; - src <= 38; - when "1001111" => - dest <= 21; - src <= 4; - when "1010000" => - dest <= 14; - src <= 45; - when "1010001" => - dest <= 5; - src <= 11; - when "1010010" => - dest <= 23; - src <= 21; - when "1010011" => - dest <= 21; - src <= 18; - when "1010100" => - dest <= 21; - src <= 28; - when "1010101" => - dest <= 49; - src <= 37; - when "1010110" => - dest <= 40; - src <= 19; - when others => - dest <= 21; - src <= 21; - - end case; - end process; - - end generate NI_NUM21; - - NI_NUM22 : if NI_NUM = 22 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 27; - src <= 22; - when "0000001" => - dest <= 30; - src <= 22; - when "0000010" => - dest <= 14; - src <= 22; - when "0000011" => - dest <= 58; - src <= 22; - when "0000100" => - dest <= 51; - src <= 14; - when "0000101" => - dest <= 22; - src <= 30; - when "0000110" => - dest <= 43; - src <= 9; - when "0000111" => - dest <= 20; - src <= 59; - when "0001000" => - dest <= 22; - src <= 50; - when "0001001" => - dest <= 44; - src <= 22; - when "0001010" => - dest <= 42; - src <= 22; - when "0001011" => - dest <= 49; - src <= 16; - when "0001100" => - dest <= 36; - src <= 42; - when "0001101" => - dest <= 22; - src <= 49; - when "0001110" => - dest <= 23; - src <= 57; - when "0001111" => - dest <= 11; - src <= 22; - when "0010000" => - dest <= 2; - src <= 56; - when "0010001" => - dest <= 37; - src <= 21; - when "0010010" => - dest <= 22; - src <= 0; - when "0010011" => - dest <= 57; - src <= 58; - when "0010100" => - dest <= 52; - src <= 51; - when "0010101" => - dest <= 28; - src <= 25; - when "0010110" => - dest <= 35; - src <= 7; - when "0010111" => - dest <= 22; - src <= 22; - when "0011000" => - dest <= 45; - src <= 34; - when "0011001" => - dest <= 22; - src <= 22; - when "0011010" => - dest <= 59; - src <= 8; - when "0011011" => - dest <= 48; - src <= 43; - when "0011100" => - dest <= 34; - src <= 48; - when "0011101" => - dest <= 31; - src <= 1; - when "0011110" => - dest <= 22; - src <= 63; - when "0011111" => - dest <= 22; - src <= 22; - when "0100000" => - dest <= 19; - src <= 22; - when "0100001" => - dest <= 10; - src <= 13; - when "0100010" => - dest <= 3; - src <= 41; - when "0100011" => - dest <= 38; - src <= 52; - when "0100100" => - dest <= 60; - src <= 2; - when "0100101" => - dest <= 46; - src <= 17; - when "0100110" => - dest <= 55; - src <= 22; - when "0100111" => - dest <= 40; - src <= 6; - when "0101000" => - dest <= 29; - src <= 26; - when "0101001" => - dest <= 33; - src <= 33; - when "0101010" => - dest <= 22; - src <= 62; - when "0101011" => - dest <= 1; - src <= 40; - when "0101100" => - dest <= 21; - src <= 15; - when "0101101" => - dest <= 56; - src <= 53; - when "0101110" => - dest <= 22; - src <= 60; - when "0101111" => - dest <= 22; - src <= 23; - when "0110000" => - dest <= 53; - src <= 3; - when "0110001" => - dest <= 22; - src <= 22; - when "0110010" => - dest <= 26; - src <= 35; - when "0110011" => - dest <= 22; - src <= 22; - when "0110100" => - dest <= 13; - src <= 44; - when "0110101" => - dest <= 9; - src <= 22; - when "0110110" => - dest <= 22; - src <= 22; - when "0110111" => - dest <= 0; - src <= 55; - when "0111000" => - dest <= 22; - src <= 31; - when "0111001" => - dest <= 63; - src <= 10; - when "0111010" => - dest <= 22; - src <= 22; - when "0111011" => - dest <= 47; - src <= 27; - when "0111100" => - dest <= 61; - src <= 22; - when "0111101" => - dest <= 32; - src <= 36; - when "0111110" => - dest <= 22; - src <= 22; - when "0111111" => - dest <= 4; - src <= 45; - when "1000000" => - dest <= 25; - src <= 22; - when "1000001" => - dest <= 54; - src <= 61; - when "1000010" => - dest <= 22; - src <= 47; - when "1000011" => - dest <= 18; - src <= 4; - when "1000100" => - dest <= 22; - src <= 22; - when "1000101" => - dest <= 12; - src <= 32; - when "1000110" => - dest <= 8; - src <= 11; - when "1000111" => - dest <= 7; - src <= 54; - when "1001000" => - dest <= 22; - src <= 22; - when "1001001" => - dest <= 5; - src <= 18; - when "1001010" => - dest <= 39; - src <= 24; - when "1001011" => - dest <= 62; - src <= 28; - when "1001100" => - dest <= 24; - src <= 37; - when "1001101" => - dest <= 22; - src <= 22; - when "1001110" => - dest <= 17; - src <= 39; - when "1001111" => - dest <= 22; - src <= 5; - when "1010000" => - dest <= 15; - src <= 46; - when "1010001" => - dest <= 6; - src <= 12; - when "1010010" => - dest <= 16; - src <= 22; - when "1010011" => - dest <= 22; - src <= 19; - when "1010100" => - dest <= 22; - src <= 29; - when "1010101" => - dest <= 50; - src <= 38; - when "1010110" => - dest <= 41; - src <= 20; - when others => - dest <= 22; - src <= 22; - - end case; - end process; - - end generate NI_NUM22; - - NI_NUM23 : if NI_NUM = 23 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 28; - src <= 23; - when "0000001" => - dest <= 31; - src <= 23; - when "0000010" => - dest <= 15; - src <= 23; - when "0000011" => - dest <= 59; - src <= 23; - when "0000100" => - dest <= 52; - src <= 15; - when "0000101" => - dest <= 23; - src <= 31; - when "0000110" => - dest <= 44; - src <= 10; - when "0000111" => - dest <= 21; - src <= 60; - when "0001000" => - dest <= 23; - src <= 51; - when "0001001" => - dest <= 45; - src <= 23; - when "0001010" => - dest <= 43; - src <= 23; - when "0001011" => - dest <= 50; - src <= 17; - when "0001100" => - dest <= 37; - src <= 43; - when "0001101" => - dest <= 23; - src <= 50; - when "0001110" => - dest <= 16; - src <= 58; - when "0001111" => - dest <= 12; - src <= 23; - when "0010000" => - dest <= 3; - src <= 57; - when "0010001" => - dest <= 38; - src <= 22; - when "0010010" => - dest <= 23; - src <= 1; - when "0010011" => - dest <= 58; - src <= 59; - when "0010100" => - dest <= 53; - src <= 52; - when "0010101" => - dest <= 29; - src <= 26; - when "0010110" => - dest <= 36; - src <= 0; - when "0010111" => - dest <= 23; - src <= 23; - when "0011000" => - dest <= 46; - src <= 35; - when "0011001" => - dest <= 23; - src <= 23; - when "0011010" => - dest <= 60; - src <= 9; - when "0011011" => - dest <= 49; - src <= 44; - when "0011100" => - dest <= 35; - src <= 49; - when "0011101" => - dest <= 24; - src <= 2; - when "0011110" => - dest <= 23; - src <= 56; - when "0011111" => - dest <= 23; - src <= 23; - when "0100000" => - dest <= 20; - src <= 23; - when "0100001" => - dest <= 11; - src <= 14; - when "0100010" => - dest <= 4; - src <= 42; - when "0100011" => - dest <= 39; - src <= 53; - when "0100100" => - dest <= 61; - src <= 3; - when "0100101" => - dest <= 47; - src <= 18; - when "0100110" => - dest <= 48; - src <= 23; - when "0100111" => - dest <= 41; - src <= 7; - when "0101000" => - dest <= 30; - src <= 27; - when "0101001" => - dest <= 34; - src <= 34; - when "0101010" => - dest <= 23; - src <= 63; - when "0101011" => - dest <= 2; - src <= 41; - when "0101100" => - dest <= 22; - src <= 8; - when "0101101" => - dest <= 57; - src <= 54; - when "0101110" => - dest <= 23; - src <= 61; - when "0101111" => - dest <= 23; - src <= 16; - when "0110000" => - dest <= 54; - src <= 4; - when "0110001" => - dest <= 23; - src <= 23; - when "0110010" => - dest <= 27; - src <= 36; - when "0110011" => - dest <= 23; - src <= 23; - when "0110100" => - dest <= 14; - src <= 45; - when "0110101" => - dest <= 10; - src <= 23; - when "0110110" => - dest <= 23; - src <= 23; - when "0110111" => - dest <= 1; - src <= 48; - when "0111000" => - dest <= 23; - src <= 24; - when "0111001" => - dest <= 56; - src <= 11; - when "0111010" => - dest <= 23; - src <= 23; - when "0111011" => - dest <= 40; - src <= 28; - when "0111100" => - dest <= 62; - src <= 23; - when "0111101" => - dest <= 33; - src <= 37; - when "0111110" => - dest <= 23; - src <= 23; - when "0111111" => - dest <= 5; - src <= 46; - when "1000000" => - dest <= 26; - src <= 23; - when "1000001" => - dest <= 55; - src <= 62; - when "1000010" => - dest <= 23; - src <= 40; - when "1000011" => - dest <= 19; - src <= 5; - when "1000100" => - dest <= 23; - src <= 23; - when "1000101" => - dest <= 13; - src <= 33; - when "1000110" => - dest <= 9; - src <= 12; - when "1000111" => - dest <= 0; - src <= 55; - when "1001000" => - dest <= 23; - src <= 23; - when "1001001" => - dest <= 6; - src <= 19; - when "1001010" => - dest <= 32; - src <= 25; - when "1001011" => - dest <= 63; - src <= 29; - when "1001100" => - dest <= 25; - src <= 38; - when "1001101" => - dest <= 23; - src <= 23; - when "1001110" => - dest <= 18; - src <= 32; - when "1001111" => - dest <= 23; - src <= 6; - when "1010000" => - dest <= 8; - src <= 47; - when "1010001" => - dest <= 7; - src <= 13; - when "1010010" => - dest <= 17; - src <= 23; - when "1010011" => - dest <= 23; - src <= 20; - when "1010100" => - dest <= 23; - src <= 30; - when "1010101" => - dest <= 51; - src <= 39; - when "1010110" => - dest <= 42; - src <= 21; - when others => - dest <= 23; - src <= 23; - - end case; - end process; - - end generate NI_NUM23; - - NI_NUM24 : if NI_NUM = 24 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 37; - src <= 24; - when "0000001" => - dest <= 32; - src <= 24; - when "0000010" => - dest <= 16; - src <= 24; - when "0000011" => - dest <= 4; - src <= 24; - when "0000100" => - dest <= 61; - src <= 16; - when "0000101" => - dest <= 24; - src <= 32; - when "0000110" => - dest <= 53; - src <= 19; - when "0000111" => - dest <= 30; - src <= 5; - when "0001000" => - dest <= 24; - src <= 60; - when "0001001" => - dest <= 54; - src <= 24; - when "0001010" => - dest <= 52; - src <= 24; - when "0001011" => - dest <= 59; - src <= 26; - when "0001100" => - dest <= 46; - src <= 52; - when "0001101" => - dest <= 24; - src <= 59; - when "0001110" => - dest <= 25; - src <= 3; - when "0001111" => - dest <= 21; - src <= 24; - when "0010000" => - dest <= 12; - src <= 2; - when "0010001" => - dest <= 47; - src <= 31; - when "0010010" => - dest <= 24; - src <= 10; - when "0010011" => - dest <= 3; - src <= 4; - when "0010100" => - dest <= 62; - src <= 61; - when "0010101" => - dest <= 38; - src <= 35; - when "0010110" => - dest <= 45; - src <= 9; - when "0010111" => - dest <= 24; - src <= 24; - when "0011000" => - dest <= 55; - src <= 44; - when "0011001" => - dest <= 24; - src <= 24; - when "0011010" => - dest <= 5; - src <= 18; - when "0011011" => - dest <= 58; - src <= 53; - when "0011100" => - dest <= 44; - src <= 58; - when "0011101" => - dest <= 33; - src <= 11; - when "0011110" => - dest <= 24; - src <= 1; - when "0011111" => - dest <= 24; - src <= 24; - when "0100000" => - dest <= 29; - src <= 24; - when "0100001" => - dest <= 20; - src <= 23; - when "0100010" => - dest <= 13; - src <= 51; - when "0100011" => - dest <= 40; - src <= 62; - when "0100100" => - dest <= 6; - src <= 12; - when "0100101" => - dest <= 48; - src <= 27; - when "0100110" => - dest <= 57; - src <= 24; - when "0100111" => - dest <= 50; - src <= 8; - when "0101000" => - dest <= 39; - src <= 36; - when "0101001" => - dest <= 43; - src <= 43; - when "0101010" => - dest <= 24; - src <= 0; - when "0101011" => - dest <= 11; - src <= 50; - when "0101100" => - dest <= 31; - src <= 17; - when "0101101" => - dest <= 2; - src <= 63; - when "0101110" => - dest <= 24; - src <= 6; - when "0101111" => - dest <= 24; - src <= 25; - when "0110000" => - dest <= 63; - src <= 13; - when "0110001" => - dest <= 24; - src <= 24; - when "0110010" => - dest <= 36; - src <= 45; - when "0110011" => - dest <= 24; - src <= 24; - when "0110100" => - dest <= 23; - src <= 54; - when "0110101" => - dest <= 19; - src <= 24; - when "0110110" => - dest <= 24; - src <= 24; - when "0110111" => - dest <= 10; - src <= 57; - when "0111000" => - dest <= 24; - src <= 33; - when "0111001" => - dest <= 1; - src <= 20; - when "0111010" => - dest <= 24; - src <= 24; - when "0111011" => - dest <= 49; - src <= 37; - when "0111100" => - dest <= 7; - src <= 24; - when "0111101" => - dest <= 42; - src <= 46; - when "0111110" => - dest <= 24; - src <= 24; - when "0111111" => - dest <= 14; - src <= 55; - when "1000000" => - dest <= 35; - src <= 24; - when "1000001" => - dest <= 56; - src <= 7; - when "1000010" => - dest <= 24; - src <= 49; - when "1000011" => - dest <= 28; - src <= 14; - when "1000100" => - dest <= 24; - src <= 24; - when "1000101" => - dest <= 22; - src <= 42; - when "1000110" => - dest <= 18; - src <= 21; - when "1000111" => - dest <= 9; - src <= 56; - when "1001000" => - dest <= 24; - src <= 24; - when "1001001" => - dest <= 15; - src <= 28; - when "1001010" => - dest <= 41; - src <= 34; - when "1001011" => - dest <= 0; - src <= 38; - when "1001100" => - dest <= 34; - src <= 47; - when "1001101" => - dest <= 24; - src <= 24; - when "1001110" => - dest <= 27; - src <= 41; - when "1001111" => - dest <= 24; - src <= 15; - when "1010000" => - dest <= 17; - src <= 48; - when "1010001" => - dest <= 8; - src <= 22; - when "1010010" => - dest <= 26; - src <= 24; - when "1010011" => - dest <= 24; - src <= 29; - when "1010100" => - dest <= 24; - src <= 39; - when "1010101" => - dest <= 60; - src <= 40; - when "1010110" => - dest <= 51; - src <= 30; - when others => - dest <= 24; - src <= 24; - - end case; - end process; - - end generate NI_NUM24; - - NI_NUM25 : if NI_NUM = 25 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 38; - src <= 25; - when "0000001" => - dest <= 33; - src <= 25; - when "0000010" => - dest <= 17; - src <= 25; - when "0000011" => - dest <= 5; - src <= 25; - when "0000100" => - dest <= 62; - src <= 17; - when "0000101" => - dest <= 25; - src <= 33; - when "0000110" => - dest <= 54; - src <= 20; - when "0000111" => - dest <= 31; - src <= 6; - when "0001000" => - dest <= 25; - src <= 61; - when "0001001" => - dest <= 55; - src <= 25; - when "0001010" => - dest <= 53; - src <= 25; - when "0001011" => - dest <= 60; - src <= 27; - when "0001100" => - dest <= 47; - src <= 53; - when "0001101" => - dest <= 25; - src <= 60; - when "0001110" => - dest <= 26; - src <= 4; - when "0001111" => - dest <= 22; - src <= 25; - when "0010000" => - dest <= 13; - src <= 3; - when "0010001" => - dest <= 40; - src <= 24; - when "0010010" => - dest <= 25; - src <= 11; - when "0010011" => - dest <= 4; - src <= 5; - when "0010100" => - dest <= 63; - src <= 62; - when "0010101" => - dest <= 39; - src <= 36; - when "0010110" => - dest <= 46; - src <= 10; - when "0010111" => - dest <= 25; - src <= 25; - when "0011000" => - dest <= 48; - src <= 45; - when "0011001" => - dest <= 25; - src <= 25; - when "0011010" => - dest <= 6; - src <= 19; - when "0011011" => - dest <= 59; - src <= 54; - when "0011100" => - dest <= 45; - src <= 59; - when "0011101" => - dest <= 34; - src <= 12; - when "0011110" => - dest <= 25; - src <= 2; - when "0011111" => - dest <= 25; - src <= 25; - when "0100000" => - dest <= 30; - src <= 25; - when "0100001" => - dest <= 21; - src <= 16; - when "0100010" => - dest <= 14; - src <= 52; - when "0100011" => - dest <= 41; - src <= 63; - when "0100100" => - dest <= 7; - src <= 13; - when "0100101" => - dest <= 49; - src <= 28; - when "0100110" => - dest <= 58; - src <= 25; - when "0100111" => - dest <= 51; - src <= 9; - when "0101000" => - dest <= 32; - src <= 37; - when "0101001" => - dest <= 44; - src <= 44; - when "0101010" => - dest <= 25; - src <= 1; - when "0101011" => - dest <= 12; - src <= 51; - when "0101100" => - dest <= 24; - src <= 18; - when "0101101" => - dest <= 3; - src <= 56; - when "0101110" => - dest <= 25; - src <= 7; - when "0101111" => - dest <= 25; - src <= 26; - when "0110000" => - dest <= 56; - src <= 14; - when "0110001" => - dest <= 25; - src <= 25; - when "0110010" => - dest <= 37; - src <= 46; - when "0110011" => - dest <= 25; - src <= 25; - when "0110100" => - dest <= 16; - src <= 55; - when "0110101" => - dest <= 20; - src <= 25; - when "0110110" => - dest <= 25; - src <= 25; - when "0110111" => - dest <= 11; - src <= 58; - when "0111000" => - dest <= 25; - src <= 34; - when "0111001" => - dest <= 2; - src <= 21; - when "0111010" => - dest <= 25; - src <= 25; - when "0111011" => - dest <= 50; - src <= 38; - when "0111100" => - dest <= 0; - src <= 25; - when "0111101" => - dest <= 43; - src <= 47; - when "0111110" => - dest <= 25; - src <= 25; - when "0111111" => - dest <= 15; - src <= 48; - when "1000000" => - dest <= 36; - src <= 25; - when "1000001" => - dest <= 57; - src <= 0; - when "1000010" => - dest <= 25; - src <= 50; - when "1000011" => - dest <= 29; - src <= 15; - when "1000100" => - dest <= 25; - src <= 25; - when "1000101" => - dest <= 23; - src <= 43; - when "1000110" => - dest <= 19; - src <= 22; - when "1000111" => - dest <= 10; - src <= 57; - when "1001000" => - dest <= 25; - src <= 25; - when "1001001" => - dest <= 8; - src <= 29; - when "1001010" => - dest <= 42; - src <= 35; - when "1001011" => - dest <= 1; - src <= 39; - when "1001100" => - dest <= 35; - src <= 40; - when "1001101" => - dest <= 25; - src <= 25; - when "1001110" => - dest <= 28; - src <= 42; - when "1001111" => - dest <= 25; - src <= 8; - when "1010000" => - dest <= 18; - src <= 49; - when "1010001" => - dest <= 9; - src <= 23; - when "1010010" => - dest <= 27; - src <= 25; - when "1010011" => - dest <= 25; - src <= 30; - when "1010100" => - dest <= 25; - src <= 32; - when "1010101" => - dest <= 61; - src <= 41; - when "1010110" => - dest <= 52; - src <= 31; - when others => - dest <= 25; - src <= 25; - - end case; - end process; - - end generate NI_NUM25; - - NI_NUM26 : if NI_NUM = 26 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 39; - src <= 26; - when "0000001" => - dest <= 34; - src <= 26; - when "0000010" => - dest <= 18; - src <= 26; - when "0000011" => - dest <= 6; - src <= 26; - when "0000100" => - dest <= 63; - src <= 18; - when "0000101" => - dest <= 26; - src <= 34; - when "0000110" => - dest <= 55; - src <= 21; - when "0000111" => - dest <= 24; - src <= 7; - when "0001000" => - dest <= 26; - src <= 62; - when "0001001" => - dest <= 48; - src <= 26; - when "0001010" => - dest <= 54; - src <= 26; - when "0001011" => - dest <= 61; - src <= 28; - when "0001100" => - dest <= 40; - src <= 54; - when "0001101" => - dest <= 26; - src <= 61; - when "0001110" => - dest <= 27; - src <= 5; - when "0001111" => - dest <= 23; - src <= 26; - when "0010000" => - dest <= 14; - src <= 4; - when "0010001" => - dest <= 41; - src <= 25; - when "0010010" => - dest <= 26; - src <= 12; - when "0010011" => - dest <= 5; - src <= 6; - when "0010100" => - dest <= 56; - src <= 63; - when "0010101" => - dest <= 32; - src <= 37; - when "0010110" => - dest <= 47; - src <= 11; - when "0010111" => - dest <= 26; - src <= 26; - when "0011000" => - dest <= 49; - src <= 46; - when "0011001" => - dest <= 26; - src <= 26; - when "0011010" => - dest <= 7; - src <= 20; - when "0011011" => - dest <= 60; - src <= 55; - when "0011100" => - dest <= 46; - src <= 60; - when "0011101" => - dest <= 35; - src <= 13; - when "0011110" => - dest <= 26; - src <= 3; - when "0011111" => - dest <= 26; - src <= 26; - when "0100000" => - dest <= 31; - src <= 26; - when "0100001" => - dest <= 22; - src <= 17; - when "0100010" => - dest <= 15; - src <= 53; - when "0100011" => - dest <= 42; - src <= 56; - when "0100100" => - dest <= 0; - src <= 14; - when "0100101" => - dest <= 50; - src <= 29; - when "0100110" => - dest <= 59; - src <= 26; - when "0100111" => - dest <= 52; - src <= 10; - when "0101000" => - dest <= 33; - src <= 38; - when "0101001" => - dest <= 45; - src <= 45; - when "0101010" => - dest <= 26; - src <= 2; - when "0101011" => - dest <= 13; - src <= 52; - when "0101100" => - dest <= 25; - src <= 19; - when "0101101" => - dest <= 4; - src <= 57; - when "0101110" => - dest <= 26; - src <= 0; - when "0101111" => - dest <= 26; - src <= 27; - when "0110000" => - dest <= 57; - src <= 15; - when "0110001" => - dest <= 26; - src <= 26; - when "0110010" => - dest <= 38; - src <= 47; - when "0110011" => - dest <= 26; - src <= 26; - when "0110100" => - dest <= 17; - src <= 48; - when "0110101" => - dest <= 21; - src <= 26; - when "0110110" => - dest <= 26; - src <= 26; - when "0110111" => - dest <= 12; - src <= 59; - when "0111000" => - dest <= 26; - src <= 35; - when "0111001" => - dest <= 3; - src <= 22; - when "0111010" => - dest <= 26; - src <= 26; - when "0111011" => - dest <= 51; - src <= 39; - when "0111100" => - dest <= 1; - src <= 26; - when "0111101" => - dest <= 44; - src <= 40; - when "0111110" => - dest <= 26; - src <= 26; - when "0111111" => - dest <= 8; - src <= 49; - when "1000000" => - dest <= 37; - src <= 26; - when "1000001" => - dest <= 58; - src <= 1; - when "1000010" => - dest <= 26; - src <= 51; - when "1000011" => - dest <= 30; - src <= 8; - when "1000100" => - dest <= 26; - src <= 26; - when "1000101" => - dest <= 16; - src <= 44; - when "1000110" => - dest <= 20; - src <= 23; - when "1000111" => - dest <= 11; - src <= 58; - when "1001000" => - dest <= 26; - src <= 26; - when "1001001" => - dest <= 9; - src <= 30; - when "1001010" => - dest <= 43; - src <= 36; - when "1001011" => - dest <= 2; - src <= 32; - when "1001100" => - dest <= 36; - src <= 41; - when "1001101" => - dest <= 26; - src <= 26; - when "1001110" => - dest <= 29; - src <= 43; - when "1001111" => - dest <= 26; - src <= 9; - when "1010000" => - dest <= 19; - src <= 50; - when "1010001" => - dest <= 10; - src <= 16; - when "1010010" => - dest <= 28; - src <= 26; - when "1010011" => - dest <= 26; - src <= 31; - when "1010100" => - dest <= 26; - src <= 33; - when "1010101" => - dest <= 62; - src <= 42; - when "1010110" => - dest <= 53; - src <= 24; - when others => - dest <= 26; - src <= 26; - - end case; - end process; - - end generate NI_NUM26; - - NI_NUM27 : if NI_NUM = 27 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 32; - src <= 27; - when "0000001" => - dest <= 35; - src <= 27; - when "0000010" => - dest <= 19; - src <= 27; - when "0000011" => - dest <= 7; - src <= 27; - when "0000100" => - dest <= 56; - src <= 19; - when "0000101" => - dest <= 27; - src <= 35; - when "0000110" => - dest <= 48; - src <= 22; - when "0000111" => - dest <= 25; - src <= 0; - when "0001000" => - dest <= 27; - src <= 63; - when "0001001" => - dest <= 49; - src <= 27; - when "0001010" => - dest <= 55; - src <= 27; - when "0001011" => - dest <= 62; - src <= 29; - when "0001100" => - dest <= 41; - src <= 55; - when "0001101" => - dest <= 27; - src <= 62; - when "0001110" => - dest <= 28; - src <= 6; - when "0001111" => - dest <= 16; - src <= 27; - when "0010000" => - dest <= 15; - src <= 5; - when "0010001" => - dest <= 42; - src <= 26; - when "0010010" => - dest <= 27; - src <= 13; - when "0010011" => - dest <= 6; - src <= 7; - when "0010100" => - dest <= 57; - src <= 56; - when "0010101" => - dest <= 33; - src <= 38; - when "0010110" => - dest <= 40; - src <= 12; - when "0010111" => - dest <= 27; - src <= 27; - when "0011000" => - dest <= 50; - src <= 47; - when "0011001" => - dest <= 27; - src <= 27; - when "0011010" => - dest <= 0; - src <= 21; - when "0011011" => - dest <= 61; - src <= 48; - when "0011100" => - dest <= 47; - src <= 61; - when "0011101" => - dest <= 36; - src <= 14; - when "0011110" => - dest <= 27; - src <= 4; - when "0011111" => - dest <= 27; - src <= 27; - when "0100000" => - dest <= 24; - src <= 27; - when "0100001" => - dest <= 23; - src <= 18; - when "0100010" => - dest <= 8; - src <= 54; - when "0100011" => - dest <= 43; - src <= 57; - when "0100100" => - dest <= 1; - src <= 15; - when "0100101" => - dest <= 51; - src <= 30; - when "0100110" => - dest <= 60; - src <= 27; - when "0100111" => - dest <= 53; - src <= 11; - when "0101000" => - dest <= 34; - src <= 39; - when "0101001" => - dest <= 46; - src <= 46; - when "0101010" => - dest <= 27; - src <= 3; - when "0101011" => - dest <= 14; - src <= 53; - when "0101100" => - dest <= 26; - src <= 20; - when "0101101" => - dest <= 5; - src <= 58; - when "0101110" => - dest <= 27; - src <= 1; - when "0101111" => - dest <= 27; - src <= 28; - when "0110000" => - dest <= 58; - src <= 8; - when "0110001" => - dest <= 27; - src <= 27; - when "0110010" => - dest <= 39; - src <= 40; - when "0110011" => - dest <= 27; - src <= 27; - when "0110100" => - dest <= 18; - src <= 49; - when "0110101" => - dest <= 22; - src <= 27; - when "0110110" => - dest <= 27; - src <= 27; - when "0110111" => - dest <= 13; - src <= 60; - when "0111000" => - dest <= 27; - src <= 36; - when "0111001" => - dest <= 4; - src <= 23; - when "0111010" => - dest <= 27; - src <= 27; - when "0111011" => - dest <= 52; - src <= 32; - when "0111100" => - dest <= 2; - src <= 27; - when "0111101" => - dest <= 45; - src <= 41; - when "0111110" => - dest <= 27; - src <= 27; - when "0111111" => - dest <= 9; - src <= 50; - when "1000000" => - dest <= 38; - src <= 27; - when "1000001" => - dest <= 59; - src <= 2; - when "1000010" => - dest <= 27; - src <= 52; - when "1000011" => - dest <= 31; - src <= 9; - when "1000100" => - dest <= 27; - src <= 27; - when "1000101" => - dest <= 17; - src <= 45; - when "1000110" => - dest <= 21; - src <= 16; - when "1000111" => - dest <= 12; - src <= 59; - when "1001000" => - dest <= 27; - src <= 27; - when "1001001" => - dest <= 10; - src <= 31; - when "1001010" => - dest <= 44; - src <= 37; - when "1001011" => - dest <= 3; - src <= 33; - when "1001100" => - dest <= 37; - src <= 42; - when "1001101" => - dest <= 27; - src <= 27; - when "1001110" => - dest <= 30; - src <= 44; - when "1001111" => - dest <= 27; - src <= 10; - when "1010000" => - dest <= 20; - src <= 51; - when "1010001" => - dest <= 11; - src <= 17; - when "1010010" => - dest <= 29; - src <= 27; - when "1010011" => - dest <= 27; - src <= 24; - when "1010100" => - dest <= 27; - src <= 34; - when "1010101" => - dest <= 63; - src <= 43; - when "1010110" => - dest <= 54; - src <= 25; - when others => - dest <= 27; - src <= 27; - - end case; - end process; - - end generate NI_NUM27; - - NI_NUM28 : if NI_NUM = 28 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 33; - src <= 28; - when "0000001" => - dest <= 36; - src <= 28; - when "0000010" => - dest <= 20; - src <= 28; - when "0000011" => - dest <= 0; - src <= 28; - when "0000100" => - dest <= 57; - src <= 20; - when "0000101" => - dest <= 28; - src <= 36; - when "0000110" => - dest <= 49; - src <= 23; - when "0000111" => - dest <= 26; - src <= 1; - when "0001000" => - dest <= 28; - src <= 56; - when "0001001" => - dest <= 50; - src <= 28; - when "0001010" => - dest <= 48; - src <= 28; - when "0001011" => - dest <= 63; - src <= 30; - when "0001100" => - dest <= 42; - src <= 48; - when "0001101" => - dest <= 28; - src <= 63; - when "0001110" => - dest <= 29; - src <= 7; - when "0001111" => - dest <= 17; - src <= 28; - when "0010000" => - dest <= 8; - src <= 6; - when "0010001" => - dest <= 43; - src <= 27; - when "0010010" => - dest <= 28; - src <= 14; - when "0010011" => - dest <= 7; - src <= 0; - when "0010100" => - dest <= 58; - src <= 57; - when "0010101" => - dest <= 34; - src <= 39; - when "0010110" => - dest <= 41; - src <= 13; - when "0010111" => - dest <= 28; - src <= 28; - when "0011000" => - dest <= 51; - src <= 40; - when "0011001" => - dest <= 28; - src <= 28; - when "0011010" => - dest <= 1; - src <= 22; - when "0011011" => - dest <= 62; - src <= 49; - when "0011100" => - dest <= 40; - src <= 62; - when "0011101" => - dest <= 37; - src <= 15; - when "0011110" => - dest <= 28; - src <= 5; - when "0011111" => - dest <= 28; - src <= 28; - when "0100000" => - dest <= 25; - src <= 28; - when "0100001" => - dest <= 16; - src <= 19; - when "0100010" => - dest <= 9; - src <= 55; - when "0100011" => - dest <= 44; - src <= 58; - when "0100100" => - dest <= 2; - src <= 8; - when "0100101" => - dest <= 52; - src <= 31; - when "0100110" => - dest <= 61; - src <= 28; - when "0100111" => - dest <= 54; - src <= 12; - when "0101000" => - dest <= 35; - src <= 32; - when "0101001" => - dest <= 47; - src <= 47; - when "0101010" => - dest <= 28; - src <= 4; - when "0101011" => - dest <= 15; - src <= 54; - when "0101100" => - dest <= 27; - src <= 21; - when "0101101" => - dest <= 6; - src <= 59; - when "0101110" => - dest <= 28; - src <= 2; - when "0101111" => - dest <= 28; - src <= 29; - when "0110000" => - dest <= 59; - src <= 9; - when "0110001" => - dest <= 28; - src <= 28; - when "0110010" => - dest <= 32; - src <= 41; - when "0110011" => - dest <= 28; - src <= 28; - when "0110100" => - dest <= 19; - src <= 50; - when "0110101" => - dest <= 23; - src <= 28; - when "0110110" => - dest <= 28; - src <= 28; - when "0110111" => - dest <= 14; - src <= 61; - when "0111000" => - dest <= 28; - src <= 37; - when "0111001" => - dest <= 5; - src <= 16; - when "0111010" => - dest <= 28; - src <= 28; - when "0111011" => - dest <= 53; - src <= 33; - when "0111100" => - dest <= 3; - src <= 28; - when "0111101" => - dest <= 46; - src <= 42; - when "0111110" => - dest <= 28; - src <= 28; - when "0111111" => - dest <= 10; - src <= 51; - when "1000000" => - dest <= 39; - src <= 28; - when "1000001" => - dest <= 60; - src <= 3; - when "1000010" => - dest <= 28; - src <= 53; - when "1000011" => - dest <= 24; - src <= 10; - when "1000100" => - dest <= 28; - src <= 28; - when "1000101" => - dest <= 18; - src <= 46; - when "1000110" => - dest <= 22; - src <= 17; - when "1000111" => - dest <= 13; - src <= 60; - when "1001000" => - dest <= 28; - src <= 28; - when "1001001" => - dest <= 11; - src <= 24; - when "1001010" => - dest <= 45; - src <= 38; - when "1001011" => - dest <= 4; - src <= 34; - when "1001100" => - dest <= 38; - src <= 43; - when "1001101" => - dest <= 28; - src <= 28; - when "1001110" => - dest <= 31; - src <= 45; - when "1001111" => - dest <= 28; - src <= 11; - when "1010000" => - dest <= 21; - src <= 52; - when "1010001" => - dest <= 12; - src <= 18; - when "1010010" => - dest <= 30; - src <= 28; - when "1010011" => - dest <= 28; - src <= 25; - when "1010100" => - dest <= 28; - src <= 35; - when "1010101" => - dest <= 56; - src <= 44; - when "1010110" => - dest <= 55; - src <= 26; - when others => - dest <= 28; - src <= 28; - - end case; - end process; - - end generate NI_NUM28; - - NI_NUM29 : if NI_NUM = 29 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 34; - src <= 29; - when "0000001" => - dest <= 37; - src <= 29; - when "0000010" => - dest <= 21; - src <= 29; - when "0000011" => - dest <= 1; - src <= 29; - when "0000100" => - dest <= 58; - src <= 21; - when "0000101" => - dest <= 29; - src <= 37; - when "0000110" => - dest <= 50; - src <= 16; - when "0000111" => - dest <= 27; - src <= 2; - when "0001000" => - dest <= 29; - src <= 57; - when "0001001" => - dest <= 51; - src <= 29; - when "0001010" => - dest <= 49; - src <= 29; - when "0001011" => - dest <= 56; - src <= 31; - when "0001100" => - dest <= 43; - src <= 49; - when "0001101" => - dest <= 29; - src <= 56; - when "0001110" => - dest <= 30; - src <= 0; - when "0001111" => - dest <= 18; - src <= 29; - when "0010000" => - dest <= 9; - src <= 7; - when "0010001" => - dest <= 44; - src <= 28; - when "0010010" => - dest <= 29; - src <= 15; - when "0010011" => - dest <= 0; - src <= 1; - when "0010100" => - dest <= 59; - src <= 58; - when "0010101" => - dest <= 35; - src <= 32; - when "0010110" => - dest <= 42; - src <= 14; - when "0010111" => - dest <= 29; - src <= 29; - when "0011000" => - dest <= 52; - src <= 41; - when "0011001" => - dest <= 29; - src <= 29; - when "0011010" => - dest <= 2; - src <= 23; - when "0011011" => - dest <= 63; - src <= 50; - when "0011100" => - dest <= 41; - src <= 63; - when "0011101" => - dest <= 38; - src <= 8; - when "0011110" => - dest <= 29; - src <= 6; - when "0011111" => - dest <= 29; - src <= 29; - when "0100000" => - dest <= 26; - src <= 29; - when "0100001" => - dest <= 17; - src <= 20; - when "0100010" => - dest <= 10; - src <= 48; - when "0100011" => - dest <= 45; - src <= 59; - when "0100100" => - dest <= 3; - src <= 9; - when "0100101" => - dest <= 53; - src <= 24; - when "0100110" => - dest <= 62; - src <= 29; - when "0100111" => - dest <= 55; - src <= 13; - when "0101000" => - dest <= 36; - src <= 33; - when "0101001" => - dest <= 40; - src <= 40; - when "0101010" => - dest <= 29; - src <= 5; - when "0101011" => - dest <= 8; - src <= 55; - when "0101100" => - dest <= 28; - src <= 22; - when "0101101" => - dest <= 7; - src <= 60; - when "0101110" => - dest <= 29; - src <= 3; - when "0101111" => - dest <= 29; - src <= 30; - when "0110000" => - dest <= 60; - src <= 10; - when "0110001" => - dest <= 29; - src <= 29; - when "0110010" => - dest <= 33; - src <= 42; - when "0110011" => - dest <= 29; - src <= 29; - when "0110100" => - dest <= 20; - src <= 51; - when "0110101" => - dest <= 16; - src <= 29; - when "0110110" => - dest <= 29; - src <= 29; - when "0110111" => - dest <= 15; - src <= 62; - when "0111000" => - dest <= 29; - src <= 38; - when "0111001" => - dest <= 6; - src <= 17; - when "0111010" => - dest <= 29; - src <= 29; - when "0111011" => - dest <= 54; - src <= 34; - when "0111100" => - dest <= 4; - src <= 29; - when "0111101" => - dest <= 47; - src <= 43; - when "0111110" => - dest <= 29; - src <= 29; - when "0111111" => - dest <= 11; - src <= 52; - when "1000000" => - dest <= 32; - src <= 29; - when "1000001" => - dest <= 61; - src <= 4; - when "1000010" => - dest <= 29; - src <= 54; - when "1000011" => - dest <= 25; - src <= 11; - when "1000100" => - dest <= 29; - src <= 29; - when "1000101" => - dest <= 19; - src <= 47; - when "1000110" => - dest <= 23; - src <= 18; - when "1000111" => - dest <= 14; - src <= 61; - when "1001000" => - dest <= 29; - src <= 29; - when "1001001" => - dest <= 12; - src <= 25; - when "1001010" => - dest <= 46; - src <= 39; - when "1001011" => - dest <= 5; - src <= 35; - when "1001100" => - dest <= 39; - src <= 44; - when "1001101" => - dest <= 29; - src <= 29; - when "1001110" => - dest <= 24; - src <= 46; - when "1001111" => - dest <= 29; - src <= 12; - when "1010000" => - dest <= 22; - src <= 53; - when "1010001" => - dest <= 13; - src <= 19; - when "1010010" => - dest <= 31; - src <= 29; - when "1010011" => - dest <= 29; - src <= 26; - when "1010100" => - dest <= 29; - src <= 36; - when "1010101" => - dest <= 57; - src <= 45; - when "1010110" => - dest <= 48; - src <= 27; - when others => - dest <= 29; - src <= 29; - - end case; - end process; - - end generate NI_NUM29; - - NI_NUM30 : if NI_NUM = 30 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 35; - src <= 30; - when "0000001" => - dest <= 38; - src <= 30; - when "0000010" => - dest <= 22; - src <= 30; - when "0000011" => - dest <= 2; - src <= 30; - when "0000100" => - dest <= 59; - src <= 22; - when "0000101" => - dest <= 30; - src <= 38; - when "0000110" => - dest <= 51; - src <= 17; - when "0000111" => - dest <= 28; - src <= 3; - when "0001000" => - dest <= 30; - src <= 58; - when "0001001" => - dest <= 52; - src <= 30; - when "0001010" => - dest <= 50; - src <= 30; - when "0001011" => - dest <= 57; - src <= 24; - when "0001100" => - dest <= 44; - src <= 50; - when "0001101" => - dest <= 30; - src <= 57; - when "0001110" => - dest <= 31; - src <= 1; - when "0001111" => - dest <= 19; - src <= 30; - when "0010000" => - dest <= 10; - src <= 0; - when "0010001" => - dest <= 45; - src <= 29; - when "0010010" => - dest <= 30; - src <= 8; - when "0010011" => - dest <= 1; - src <= 2; - when "0010100" => - dest <= 60; - src <= 59; - when "0010101" => - dest <= 36; - src <= 33; - when "0010110" => - dest <= 43; - src <= 15; - when "0010111" => - dest <= 30; - src <= 30; - when "0011000" => - dest <= 53; - src <= 42; - when "0011001" => - dest <= 30; - src <= 30; - when "0011010" => - dest <= 3; - src <= 16; - when "0011011" => - dest <= 56; - src <= 51; - when "0011100" => - dest <= 42; - src <= 56; - when "0011101" => - dest <= 39; - src <= 9; - when "0011110" => - dest <= 30; - src <= 7; - when "0011111" => - dest <= 30; - src <= 30; - when "0100000" => - dest <= 27; - src <= 30; - when "0100001" => - dest <= 18; - src <= 21; - when "0100010" => - dest <= 11; - src <= 49; - when "0100011" => - dest <= 46; - src <= 60; - when "0100100" => - dest <= 4; - src <= 10; - when "0100101" => - dest <= 54; - src <= 25; - when "0100110" => - dest <= 63; - src <= 30; - when "0100111" => - dest <= 48; - src <= 14; - when "0101000" => - dest <= 37; - src <= 34; - when "0101001" => - dest <= 41; - src <= 41; - when "0101010" => - dest <= 30; - src <= 6; - when "0101011" => - dest <= 9; - src <= 48; - when "0101100" => - dest <= 29; - src <= 23; - when "0101101" => - dest <= 0; - src <= 61; - when "0101110" => - dest <= 30; - src <= 4; - when "0101111" => - dest <= 30; - src <= 31; - when "0110000" => - dest <= 61; - src <= 11; - when "0110001" => - dest <= 30; - src <= 30; - when "0110010" => - dest <= 34; - src <= 43; - when "0110011" => - dest <= 30; - src <= 30; - when "0110100" => - dest <= 21; - src <= 52; - when "0110101" => - dest <= 17; - src <= 30; - when "0110110" => - dest <= 30; - src <= 30; - when "0110111" => - dest <= 8; - src <= 63; - when "0111000" => - dest <= 30; - src <= 39; - when "0111001" => - dest <= 7; - src <= 18; - when "0111010" => - dest <= 30; - src <= 30; - when "0111011" => - dest <= 55; - src <= 35; - when "0111100" => - dest <= 5; - src <= 30; - when "0111101" => - dest <= 40; - src <= 44; - when "0111110" => - dest <= 30; - src <= 30; - when "0111111" => - dest <= 12; - src <= 53; - when "1000000" => - dest <= 33; - src <= 30; - when "1000001" => - dest <= 62; - src <= 5; - when "1000010" => - dest <= 30; - src <= 55; - when "1000011" => - dest <= 26; - src <= 12; - when "1000100" => - dest <= 30; - src <= 30; - when "1000101" => - dest <= 20; - src <= 40; - when "1000110" => - dest <= 16; - src <= 19; - when "1000111" => - dest <= 15; - src <= 62; - when "1001000" => - dest <= 30; - src <= 30; - when "1001001" => - dest <= 13; - src <= 26; - when "1001010" => - dest <= 47; - src <= 32; - when "1001011" => - dest <= 6; - src <= 36; - when "1001100" => - dest <= 32; - src <= 45; - when "1001101" => - dest <= 30; - src <= 30; - when "1001110" => - dest <= 25; - src <= 47; - when "1001111" => - dest <= 30; - src <= 13; - when "1010000" => - dest <= 23; - src <= 54; - when "1010001" => - dest <= 14; - src <= 20; - when "1010010" => - dest <= 24; - src <= 30; - when "1010011" => - dest <= 30; - src <= 27; - when "1010100" => - dest <= 30; - src <= 37; - when "1010101" => - dest <= 58; - src <= 46; - when "1010110" => - dest <= 49; - src <= 28; - when others => - dest <= 30; - src <= 30; - - end case; - end process; - - end generate NI_NUM30; - - NI_NUM31 : if NI_NUM = 31 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 36; - src <= 31; - when "0000001" => - dest <= 39; - src <= 31; - when "0000010" => - dest <= 23; - src <= 31; - when "0000011" => - dest <= 3; - src <= 31; - when "0000100" => - dest <= 60; - src <= 23; - when "0000101" => - dest <= 31; - src <= 39; - when "0000110" => - dest <= 52; - src <= 18; - when "0000111" => - dest <= 29; - src <= 4; - when "0001000" => - dest <= 31; - src <= 59; - when "0001001" => - dest <= 53; - src <= 31; - when "0001010" => - dest <= 51; - src <= 31; - when "0001011" => - dest <= 58; - src <= 25; - when "0001100" => - dest <= 45; - src <= 51; - when "0001101" => - dest <= 31; - src <= 58; - when "0001110" => - dest <= 24; - src <= 2; - when "0001111" => - dest <= 20; - src <= 31; - when "0010000" => - dest <= 11; - src <= 1; - when "0010001" => - dest <= 46; - src <= 30; - when "0010010" => - dest <= 31; - src <= 9; - when "0010011" => - dest <= 2; - src <= 3; - when "0010100" => - dest <= 61; - src <= 60; - when "0010101" => - dest <= 37; - src <= 34; - when "0010110" => - dest <= 44; - src <= 8; - when "0010111" => - dest <= 31; - src <= 31; - when "0011000" => - dest <= 54; - src <= 43; - when "0011001" => - dest <= 31; - src <= 31; - when "0011010" => - dest <= 4; - src <= 17; - when "0011011" => - dest <= 57; - src <= 52; - when "0011100" => - dest <= 43; - src <= 57; - when "0011101" => - dest <= 32; - src <= 10; - when "0011110" => - dest <= 31; - src <= 0; - when "0011111" => - dest <= 31; - src <= 31; - when "0100000" => - dest <= 28; - src <= 31; - when "0100001" => - dest <= 19; - src <= 22; - when "0100010" => - dest <= 12; - src <= 50; - when "0100011" => - dest <= 47; - src <= 61; - when "0100100" => - dest <= 5; - src <= 11; - when "0100101" => - dest <= 55; - src <= 26; - when "0100110" => - dest <= 56; - src <= 31; - when "0100111" => - dest <= 49; - src <= 15; - when "0101000" => - dest <= 38; - src <= 35; - when "0101001" => - dest <= 42; - src <= 42; - when "0101010" => - dest <= 31; - src <= 7; - when "0101011" => - dest <= 10; - src <= 49; - when "0101100" => - dest <= 30; - src <= 16; - when "0101101" => - dest <= 1; - src <= 62; - when "0101110" => - dest <= 31; - src <= 5; - when "0101111" => - dest <= 31; - src <= 24; - when "0110000" => - dest <= 62; - src <= 12; - when "0110001" => - dest <= 31; - src <= 31; - when "0110010" => - dest <= 35; - src <= 44; - when "0110011" => - dest <= 31; - src <= 31; - when "0110100" => - dest <= 22; - src <= 53; - when "0110101" => - dest <= 18; - src <= 31; - when "0110110" => - dest <= 31; - src <= 31; - when "0110111" => - dest <= 9; - src <= 56; - when "0111000" => - dest <= 31; - src <= 32; - when "0111001" => - dest <= 0; - src <= 19; - when "0111010" => - dest <= 31; - src <= 31; - when "0111011" => - dest <= 48; - src <= 36; - when "0111100" => - dest <= 6; - src <= 31; - when "0111101" => - dest <= 41; - src <= 45; - when "0111110" => - dest <= 31; - src <= 31; - when "0111111" => - dest <= 13; - src <= 54; - when "1000000" => - dest <= 34; - src <= 31; - when "1000001" => - dest <= 63; - src <= 6; - when "1000010" => - dest <= 31; - src <= 48; - when "1000011" => - dest <= 27; - src <= 13; - when "1000100" => - dest <= 31; - src <= 31; - when "1000101" => - dest <= 21; - src <= 41; - when "1000110" => - dest <= 17; - src <= 20; - when "1000111" => - dest <= 8; - src <= 63; - when "1001000" => - dest <= 31; - src <= 31; - when "1001001" => - dest <= 14; - src <= 27; - when "1001010" => - dest <= 40; - src <= 33; - when "1001011" => - dest <= 7; - src <= 37; - when "1001100" => - dest <= 33; - src <= 46; - when "1001101" => - dest <= 31; - src <= 31; - when "1001110" => - dest <= 26; - src <= 40; - when "1001111" => - dest <= 31; - src <= 14; - when "1010000" => - dest <= 16; - src <= 55; - when "1010001" => - dest <= 15; - src <= 21; - when "1010010" => - dest <= 25; - src <= 31; - when "1010011" => - dest <= 31; - src <= 28; - when "1010100" => - dest <= 31; - src <= 38; - when "1010101" => - dest <= 59; - src <= 47; - when "1010110" => - dest <= 50; - src <= 29; - when others => - dest <= 31; - src <= 31; - - end case; - end process; - - end generate NI_NUM31; - - NI_NUM32 : if NI_NUM = 32 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 45; - src <= 32; - when "0000001" => - dest <= 40; - src <= 32; - when "0000010" => - dest <= 24; - src <= 32; - when "0000011" => - dest <= 12; - src <= 32; - when "0000100" => - dest <= 5; - src <= 24; - when "0000101" => - dest <= 32; - src <= 40; - when "0000110" => - dest <= 61; - src <= 27; - when "0000111" => - dest <= 38; - src <= 13; - when "0001000" => - dest <= 32; - src <= 4; - when "0001001" => - dest <= 62; - src <= 32; - when "0001010" => - dest <= 60; - src <= 32; - when "0001011" => - dest <= 3; - src <= 34; - when "0001100" => - dest <= 54; - src <= 60; - when "0001101" => - dest <= 32; - src <= 3; - when "0001110" => - dest <= 33; - src <= 11; - when "0001111" => - dest <= 29; - src <= 32; - when "0010000" => - dest <= 20; - src <= 10; - when "0010001" => - dest <= 55; - src <= 39; - when "0010010" => - dest <= 32; - src <= 18; - when "0010011" => - dest <= 11; - src <= 12; - when "0010100" => - dest <= 6; - src <= 5; - when "0010101" => - dest <= 46; - src <= 43; - when "0010110" => - dest <= 53; - src <= 17; - when "0010111" => - dest <= 32; - src <= 32; - when "0011000" => - dest <= 63; - src <= 52; - when "0011001" => - dest <= 32; - src <= 32; - when "0011010" => - dest <= 13; - src <= 26; - when "0011011" => - dest <= 2; - src <= 61; - when "0011100" => - dest <= 52; - src <= 2; - when "0011101" => - dest <= 41; - src <= 19; - when "0011110" => - dest <= 32; - src <= 9; - when "0011111" => - dest <= 32; - src <= 32; - when "0100000" => - dest <= 37; - src <= 32; - when "0100001" => - dest <= 28; - src <= 31; - when "0100010" => - dest <= 21; - src <= 59; - when "0100011" => - dest <= 48; - src <= 6; - when "0100100" => - dest <= 14; - src <= 20; - when "0100101" => - dest <= 56; - src <= 35; - when "0100110" => - dest <= 1; - src <= 32; - when "0100111" => - dest <= 58; - src <= 16; - when "0101000" => - dest <= 47; - src <= 44; - when "0101001" => - dest <= 51; - src <= 51; - when "0101010" => - dest <= 32; - src <= 8; - when "0101011" => - dest <= 19; - src <= 58; - when "0101100" => - dest <= 39; - src <= 25; - when "0101101" => - dest <= 10; - src <= 7; - when "0101110" => - dest <= 32; - src <= 14; - when "0101111" => - dest <= 32; - src <= 33; - when "0110000" => - dest <= 7; - src <= 21; - when "0110001" => - dest <= 32; - src <= 32; - when "0110010" => - dest <= 44; - src <= 53; - when "0110011" => - dest <= 32; - src <= 32; - when "0110100" => - dest <= 31; - src <= 62; - when "0110101" => - dest <= 27; - src <= 32; - when "0110110" => - dest <= 32; - src <= 32; - when "0110111" => - dest <= 18; - src <= 1; - when "0111000" => - dest <= 32; - src <= 41; - when "0111001" => - dest <= 9; - src <= 28; - when "0111010" => - dest <= 32; - src <= 32; - when "0111011" => - dest <= 57; - src <= 45; - when "0111100" => - dest <= 15; - src <= 32; - when "0111101" => - dest <= 50; - src <= 54; - when "0111110" => - dest <= 32; - src <= 32; - when "0111111" => - dest <= 22; - src <= 63; - when "1000000" => - dest <= 43; - src <= 32; - when "1000001" => - dest <= 0; - src <= 15; - when "1000010" => - dest <= 32; - src <= 57; - when "1000011" => - dest <= 36; - src <= 22; - when "1000100" => - dest <= 32; - src <= 32; - when "1000101" => - dest <= 30; - src <= 50; - when "1000110" => - dest <= 26; - src <= 29; - when "1000111" => - dest <= 17; - src <= 0; - when "1001000" => - dest <= 32; - src <= 32; - when "1001001" => - dest <= 23; - src <= 36; - when "1001010" => - dest <= 49; - src <= 42; - when "1001011" => - dest <= 8; - src <= 46; - when "1001100" => - dest <= 42; - src <= 55; - when "1001101" => - dest <= 32; - src <= 32; - when "1001110" => - dest <= 35; - src <= 49; - when "1001111" => - dest <= 32; - src <= 23; - when "1010000" => - dest <= 25; - src <= 56; - when "1010001" => - dest <= 16; - src <= 30; - when "1010010" => - dest <= 34; - src <= 32; - when "1010011" => - dest <= 32; - src <= 37; - when "1010100" => - dest <= 32; - src <= 47; - when "1010101" => - dest <= 4; - src <= 48; - when "1010110" => - dest <= 59; - src <= 38; - when others => - dest <= 32; - src <= 32; - - end case; - end process; - - end generate NI_NUM32; - - NI_NUM33 : if NI_NUM = 33 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 46; - src <= 33; - when "0000001" => - dest <= 41; - src <= 33; - when "0000010" => - dest <= 25; - src <= 33; - when "0000011" => - dest <= 13; - src <= 33; - when "0000100" => - dest <= 6; - src <= 25; - when "0000101" => - dest <= 33; - src <= 41; - when "0000110" => - dest <= 62; - src <= 28; - when "0000111" => - dest <= 39; - src <= 14; - when "0001000" => - dest <= 33; - src <= 5; - when "0001001" => - dest <= 63; - src <= 33; - when "0001010" => - dest <= 61; - src <= 33; - when "0001011" => - dest <= 4; - src <= 35; - when "0001100" => - dest <= 55; - src <= 61; - when "0001101" => - dest <= 33; - src <= 4; - when "0001110" => - dest <= 34; - src <= 12; - when "0001111" => - dest <= 30; - src <= 33; - when "0010000" => - dest <= 21; - src <= 11; - when "0010001" => - dest <= 48; - src <= 32; - when "0010010" => - dest <= 33; - src <= 19; - when "0010011" => - dest <= 12; - src <= 13; - when "0010100" => - dest <= 7; - src <= 6; - when "0010101" => - dest <= 47; - src <= 44; - when "0010110" => - dest <= 54; - src <= 18; - when "0010111" => - dest <= 33; - src <= 33; - when "0011000" => - dest <= 56; - src <= 53; - when "0011001" => - dest <= 33; - src <= 33; - when "0011010" => - dest <= 14; - src <= 27; - when "0011011" => - dest <= 3; - src <= 62; - when "0011100" => - dest <= 53; - src <= 3; - when "0011101" => - dest <= 42; - src <= 20; - when "0011110" => - dest <= 33; - src <= 10; - when "0011111" => - dest <= 33; - src <= 33; - when "0100000" => - dest <= 38; - src <= 33; - when "0100001" => - dest <= 29; - src <= 24; - when "0100010" => - dest <= 22; - src <= 60; - when "0100011" => - dest <= 49; - src <= 7; - when "0100100" => - dest <= 15; - src <= 21; - when "0100101" => - dest <= 57; - src <= 36; - when "0100110" => - dest <= 2; - src <= 33; - when "0100111" => - dest <= 59; - src <= 17; - when "0101000" => - dest <= 40; - src <= 45; - when "0101001" => - dest <= 52; - src <= 52; - when "0101010" => - dest <= 33; - src <= 9; - when "0101011" => - dest <= 20; - src <= 59; - when "0101100" => - dest <= 32; - src <= 26; - when "0101101" => - dest <= 11; - src <= 0; - when "0101110" => - dest <= 33; - src <= 15; - when "0101111" => - dest <= 33; - src <= 34; - when "0110000" => - dest <= 0; - src <= 22; - when "0110001" => - dest <= 33; - src <= 33; - when "0110010" => - dest <= 45; - src <= 54; - when "0110011" => - dest <= 33; - src <= 33; - when "0110100" => - dest <= 24; - src <= 63; - when "0110101" => - dest <= 28; - src <= 33; - when "0110110" => - dest <= 33; - src <= 33; - when "0110111" => - dest <= 19; - src <= 2; - when "0111000" => - dest <= 33; - src <= 42; - when "0111001" => - dest <= 10; - src <= 29; - when "0111010" => - dest <= 33; - src <= 33; - when "0111011" => - dest <= 58; - src <= 46; - when "0111100" => - dest <= 8; - src <= 33; - when "0111101" => - dest <= 51; - src <= 55; - when "0111110" => - dest <= 33; - src <= 33; - when "0111111" => - dest <= 23; - src <= 56; - when "1000000" => - dest <= 44; - src <= 33; - when "1000001" => - dest <= 1; - src <= 8; - when "1000010" => - dest <= 33; - src <= 58; - when "1000011" => - dest <= 37; - src <= 23; - when "1000100" => - dest <= 33; - src <= 33; - when "1000101" => - dest <= 31; - src <= 51; - when "1000110" => - dest <= 27; - src <= 30; - when "1000111" => - dest <= 18; - src <= 1; - when "1001000" => - dest <= 33; - src <= 33; - when "1001001" => - dest <= 16; - src <= 37; - when "1001010" => - dest <= 50; - src <= 43; - when "1001011" => - dest <= 9; - src <= 47; - when "1001100" => - dest <= 43; - src <= 48; - when "1001101" => - dest <= 33; - src <= 33; - when "1001110" => - dest <= 36; - src <= 50; - when "1001111" => - dest <= 33; - src <= 16; - when "1010000" => - dest <= 26; - src <= 57; - when "1010001" => - dest <= 17; - src <= 31; - when "1010010" => - dest <= 35; - src <= 33; - when "1010011" => - dest <= 33; - src <= 38; - when "1010100" => - dest <= 33; - src <= 40; - when "1010101" => - dest <= 5; - src <= 49; - when "1010110" => - dest <= 60; - src <= 39; - when others => - dest <= 33; - src <= 33; - - end case; - end process; - - end generate NI_NUM33; - - NI_NUM34 : if NI_NUM = 34 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 47; - src <= 34; - when "0000001" => - dest <= 42; - src <= 34; - when "0000010" => - dest <= 26; - src <= 34; - when "0000011" => - dest <= 14; - src <= 34; - when "0000100" => - dest <= 7; - src <= 26; - when "0000101" => - dest <= 34; - src <= 42; - when "0000110" => - dest <= 63; - src <= 29; - when "0000111" => - dest <= 32; - src <= 15; - when "0001000" => - dest <= 34; - src <= 6; - when "0001001" => - dest <= 56; - src <= 34; - when "0001010" => - dest <= 62; - src <= 34; - when "0001011" => - dest <= 5; - src <= 36; - when "0001100" => - dest <= 48; - src <= 62; - when "0001101" => - dest <= 34; - src <= 5; - when "0001110" => - dest <= 35; - src <= 13; - when "0001111" => - dest <= 31; - src <= 34; - when "0010000" => - dest <= 22; - src <= 12; - when "0010001" => - dest <= 49; - src <= 33; - when "0010010" => - dest <= 34; - src <= 20; - when "0010011" => - dest <= 13; - src <= 14; - when "0010100" => - dest <= 0; - src <= 7; - when "0010101" => - dest <= 40; - src <= 45; - when "0010110" => - dest <= 55; - src <= 19; - when "0010111" => - dest <= 34; - src <= 34; - when "0011000" => - dest <= 57; - src <= 54; - when "0011001" => - dest <= 34; - src <= 34; - when "0011010" => - dest <= 15; - src <= 28; - when "0011011" => - dest <= 4; - src <= 63; - when "0011100" => - dest <= 54; - src <= 4; - when "0011101" => - dest <= 43; - src <= 21; - when "0011110" => - dest <= 34; - src <= 11; - when "0011111" => - dest <= 34; - src <= 34; - when "0100000" => - dest <= 39; - src <= 34; - when "0100001" => - dest <= 30; - src <= 25; - when "0100010" => - dest <= 23; - src <= 61; - when "0100011" => - dest <= 50; - src <= 0; - when "0100100" => - dest <= 8; - src <= 22; - when "0100101" => - dest <= 58; - src <= 37; - when "0100110" => - dest <= 3; - src <= 34; - when "0100111" => - dest <= 60; - src <= 18; - when "0101000" => - dest <= 41; - src <= 46; - when "0101001" => - dest <= 53; - src <= 53; - when "0101010" => - dest <= 34; - src <= 10; - when "0101011" => - dest <= 21; - src <= 60; - when "0101100" => - dest <= 33; - src <= 27; - when "0101101" => - dest <= 12; - src <= 1; - when "0101110" => - dest <= 34; - src <= 8; - when "0101111" => - dest <= 34; - src <= 35; - when "0110000" => - dest <= 1; - src <= 23; - when "0110001" => - dest <= 34; - src <= 34; - when "0110010" => - dest <= 46; - src <= 55; - when "0110011" => - dest <= 34; - src <= 34; - when "0110100" => - dest <= 25; - src <= 56; - when "0110101" => - dest <= 29; - src <= 34; - when "0110110" => - dest <= 34; - src <= 34; - when "0110111" => - dest <= 20; - src <= 3; - when "0111000" => - dest <= 34; - src <= 43; - when "0111001" => - dest <= 11; - src <= 30; - when "0111010" => - dest <= 34; - src <= 34; - when "0111011" => - dest <= 59; - src <= 47; - when "0111100" => - dest <= 9; - src <= 34; - when "0111101" => - dest <= 52; - src <= 48; - when "0111110" => - dest <= 34; - src <= 34; - when "0111111" => - dest <= 16; - src <= 57; - when "1000000" => - dest <= 45; - src <= 34; - when "1000001" => - dest <= 2; - src <= 9; - when "1000010" => - dest <= 34; - src <= 59; - when "1000011" => - dest <= 38; - src <= 16; - when "1000100" => - dest <= 34; - src <= 34; - when "1000101" => - dest <= 24; - src <= 52; - when "1000110" => - dest <= 28; - src <= 31; - when "1000111" => - dest <= 19; - src <= 2; - when "1001000" => - dest <= 34; - src <= 34; - when "1001001" => - dest <= 17; - src <= 38; - when "1001010" => - dest <= 51; - src <= 44; - when "1001011" => - dest <= 10; - src <= 40; - when "1001100" => - dest <= 44; - src <= 49; - when "1001101" => - dest <= 34; - src <= 34; - when "1001110" => - dest <= 37; - src <= 51; - when "1001111" => - dest <= 34; - src <= 17; - when "1010000" => - dest <= 27; - src <= 58; - when "1010001" => - dest <= 18; - src <= 24; - when "1010010" => - dest <= 36; - src <= 34; - when "1010011" => - dest <= 34; - src <= 39; - when "1010100" => - dest <= 34; - src <= 41; - when "1010101" => - dest <= 6; - src <= 50; - when "1010110" => - dest <= 61; - src <= 32; - when others => - dest <= 34; - src <= 34; - - end case; - end process; - - end generate NI_NUM34; - - NI_NUM35 : if NI_NUM = 35 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 40; - src <= 35; - when "0000001" => - dest <= 43; - src <= 35; - when "0000010" => - dest <= 27; - src <= 35; - when "0000011" => - dest <= 15; - src <= 35; - when "0000100" => - dest <= 0; - src <= 27; - when "0000101" => - dest <= 35; - src <= 43; - when "0000110" => - dest <= 56; - src <= 30; - when "0000111" => - dest <= 33; - src <= 8; - when "0001000" => - dest <= 35; - src <= 7; - when "0001001" => - dest <= 57; - src <= 35; - when "0001010" => - dest <= 63; - src <= 35; - when "0001011" => - dest <= 6; - src <= 37; - when "0001100" => - dest <= 49; - src <= 63; - when "0001101" => - dest <= 35; - src <= 6; - when "0001110" => - dest <= 36; - src <= 14; - when "0001111" => - dest <= 24; - src <= 35; - when "0010000" => - dest <= 23; - src <= 13; - when "0010001" => - dest <= 50; - src <= 34; - when "0010010" => - dest <= 35; - src <= 21; - when "0010011" => - dest <= 14; - src <= 15; - when "0010100" => - dest <= 1; - src <= 0; - when "0010101" => - dest <= 41; - src <= 46; - when "0010110" => - dest <= 48; - src <= 20; - when "0010111" => - dest <= 35; - src <= 35; - when "0011000" => - dest <= 58; - src <= 55; - when "0011001" => - dest <= 35; - src <= 35; - when "0011010" => - dest <= 8; - src <= 29; - when "0011011" => - dest <= 5; - src <= 56; - when "0011100" => - dest <= 55; - src <= 5; - when "0011101" => - dest <= 44; - src <= 22; - when "0011110" => - dest <= 35; - src <= 12; - when "0011111" => - dest <= 35; - src <= 35; - when "0100000" => - dest <= 32; - src <= 35; - when "0100001" => - dest <= 31; - src <= 26; - when "0100010" => - dest <= 16; - src <= 62; - when "0100011" => - dest <= 51; - src <= 1; - when "0100100" => - dest <= 9; - src <= 23; - when "0100101" => - dest <= 59; - src <= 38; - when "0100110" => - dest <= 4; - src <= 35; - when "0100111" => - dest <= 61; - src <= 19; - when "0101000" => - dest <= 42; - src <= 47; - when "0101001" => - dest <= 54; - src <= 54; - when "0101010" => - dest <= 35; - src <= 11; - when "0101011" => - dest <= 22; - src <= 61; - when "0101100" => - dest <= 34; - src <= 28; - when "0101101" => - dest <= 13; - src <= 2; - when "0101110" => - dest <= 35; - src <= 9; - when "0101111" => - dest <= 35; - src <= 36; - when "0110000" => - dest <= 2; - src <= 16; - when "0110001" => - dest <= 35; - src <= 35; - when "0110010" => - dest <= 47; - src <= 48; - when "0110011" => - dest <= 35; - src <= 35; - when "0110100" => - dest <= 26; - src <= 57; - when "0110101" => - dest <= 30; - src <= 35; - when "0110110" => - dest <= 35; - src <= 35; - when "0110111" => - dest <= 21; - src <= 4; - when "0111000" => - dest <= 35; - src <= 44; - when "0111001" => - dest <= 12; - src <= 31; - when "0111010" => - dest <= 35; - src <= 35; - when "0111011" => - dest <= 60; - src <= 40; - when "0111100" => - dest <= 10; - src <= 35; - when "0111101" => - dest <= 53; - src <= 49; - when "0111110" => - dest <= 35; - src <= 35; - when "0111111" => - dest <= 17; - src <= 58; - when "1000000" => - dest <= 46; - src <= 35; - when "1000001" => - dest <= 3; - src <= 10; - when "1000010" => - dest <= 35; - src <= 60; - when "1000011" => - dest <= 39; - src <= 17; - when "1000100" => - dest <= 35; - src <= 35; - when "1000101" => - dest <= 25; - src <= 53; - when "1000110" => - dest <= 29; - src <= 24; - when "1000111" => - dest <= 20; - src <= 3; - when "1001000" => - dest <= 35; - src <= 35; - when "1001001" => - dest <= 18; - src <= 39; - when "1001010" => - dest <= 52; - src <= 45; - when "1001011" => - dest <= 11; - src <= 41; - when "1001100" => - dest <= 45; - src <= 50; - when "1001101" => - dest <= 35; - src <= 35; - when "1001110" => - dest <= 38; - src <= 52; - when "1001111" => - dest <= 35; - src <= 18; - when "1010000" => - dest <= 28; - src <= 59; - when "1010001" => - dest <= 19; - src <= 25; - when "1010010" => - dest <= 37; - src <= 35; - when "1010011" => - dest <= 35; - src <= 32; - when "1010100" => - dest <= 35; - src <= 42; - when "1010101" => - dest <= 7; - src <= 51; - when "1010110" => - dest <= 62; - src <= 33; - when others => - dest <= 35; - src <= 35; - - end case; - end process; - - end generate NI_NUM35; - - NI_NUM36 : if NI_NUM = 36 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 41; - src <= 36; - when "0000001" => - dest <= 44; - src <= 36; - when "0000010" => - dest <= 28; - src <= 36; - when "0000011" => - dest <= 8; - src <= 36; - when "0000100" => - dest <= 1; - src <= 28; - when "0000101" => - dest <= 36; - src <= 44; - when "0000110" => - dest <= 57; - src <= 31; - when "0000111" => - dest <= 34; - src <= 9; - when "0001000" => - dest <= 36; - src <= 0; - when "0001001" => - dest <= 58; - src <= 36; - when "0001010" => - dest <= 56; - src <= 36; - when "0001011" => - dest <= 7; - src <= 38; - when "0001100" => - dest <= 50; - src <= 56; - when "0001101" => - dest <= 36; - src <= 7; - when "0001110" => - dest <= 37; - src <= 15; - when "0001111" => - dest <= 25; - src <= 36; - when "0010000" => - dest <= 16; - src <= 14; - when "0010001" => - dest <= 51; - src <= 35; - when "0010010" => - dest <= 36; - src <= 22; - when "0010011" => - dest <= 15; - src <= 8; - when "0010100" => - dest <= 2; - src <= 1; - when "0010101" => - dest <= 42; - src <= 47; - when "0010110" => - dest <= 49; - src <= 21; - when "0010111" => - dest <= 36; - src <= 36; - when "0011000" => - dest <= 59; - src <= 48; - when "0011001" => - dest <= 36; - src <= 36; - when "0011010" => - dest <= 9; - src <= 30; - when "0011011" => - dest <= 6; - src <= 57; - when "0011100" => - dest <= 48; - src <= 6; - when "0011101" => - dest <= 45; - src <= 23; - when "0011110" => - dest <= 36; - src <= 13; - when "0011111" => - dest <= 36; - src <= 36; - when "0100000" => - dest <= 33; - src <= 36; - when "0100001" => - dest <= 24; - src <= 27; - when "0100010" => - dest <= 17; - src <= 63; - when "0100011" => - dest <= 52; - src <= 2; - when "0100100" => - dest <= 10; - src <= 16; - when "0100101" => - dest <= 60; - src <= 39; - when "0100110" => - dest <= 5; - src <= 36; - when "0100111" => - dest <= 62; - src <= 20; - when "0101000" => - dest <= 43; - src <= 40; - when "0101001" => - dest <= 55; - src <= 55; - when "0101010" => - dest <= 36; - src <= 12; - when "0101011" => - dest <= 23; - src <= 62; - when "0101100" => - dest <= 35; - src <= 29; - when "0101101" => - dest <= 14; - src <= 3; - when "0101110" => - dest <= 36; - src <= 10; - when "0101111" => - dest <= 36; - src <= 37; - when "0110000" => - dest <= 3; - src <= 17; - when "0110001" => - dest <= 36; - src <= 36; - when "0110010" => - dest <= 40; - src <= 49; - when "0110011" => - dest <= 36; - src <= 36; - when "0110100" => - dest <= 27; - src <= 58; - when "0110101" => - dest <= 31; - src <= 36; - when "0110110" => - dest <= 36; - src <= 36; - when "0110111" => - dest <= 22; - src <= 5; - when "0111000" => - dest <= 36; - src <= 45; - when "0111001" => - dest <= 13; - src <= 24; - when "0111010" => - dest <= 36; - src <= 36; - when "0111011" => - dest <= 61; - src <= 41; - when "0111100" => - dest <= 11; - src <= 36; - when "0111101" => - dest <= 54; - src <= 50; - when "0111110" => - dest <= 36; - src <= 36; - when "0111111" => - dest <= 18; - src <= 59; - when "1000000" => - dest <= 47; - src <= 36; - when "1000001" => - dest <= 4; - src <= 11; - when "1000010" => - dest <= 36; - src <= 61; - when "1000011" => - dest <= 32; - src <= 18; - when "1000100" => - dest <= 36; - src <= 36; - when "1000101" => - dest <= 26; - src <= 54; - when "1000110" => - dest <= 30; - src <= 25; - when "1000111" => - dest <= 21; - src <= 4; - when "1001000" => - dest <= 36; - src <= 36; - when "1001001" => - dest <= 19; - src <= 32; - when "1001010" => - dest <= 53; - src <= 46; - when "1001011" => - dest <= 12; - src <= 42; - when "1001100" => - dest <= 46; - src <= 51; - when "1001101" => - dest <= 36; - src <= 36; - when "1001110" => - dest <= 39; - src <= 53; - when "1001111" => - dest <= 36; - src <= 19; - when "1010000" => - dest <= 29; - src <= 60; - when "1010001" => - dest <= 20; - src <= 26; - when "1010010" => - dest <= 38; - src <= 36; - when "1010011" => - dest <= 36; - src <= 33; - when "1010100" => - dest <= 36; - src <= 43; - when "1010101" => - dest <= 0; - src <= 52; - when "1010110" => - dest <= 63; - src <= 34; - when others => - dest <= 36; - src <= 36; - - end case; - end process; - - end generate NI_NUM36; - - NI_NUM37 : if NI_NUM = 37 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 42; - src <= 37; - when "0000001" => - dest <= 45; - src <= 37; - when "0000010" => - dest <= 29; - src <= 37; - when "0000011" => - dest <= 9; - src <= 37; - when "0000100" => - dest <= 2; - src <= 29; - when "0000101" => - dest <= 37; - src <= 45; - when "0000110" => - dest <= 58; - src <= 24; - when "0000111" => - dest <= 35; - src <= 10; - when "0001000" => - dest <= 37; - src <= 1; - when "0001001" => - dest <= 59; - src <= 37; - when "0001010" => - dest <= 57; - src <= 37; - when "0001011" => - dest <= 0; - src <= 39; - when "0001100" => - dest <= 51; - src <= 57; - when "0001101" => - dest <= 37; - src <= 0; - when "0001110" => - dest <= 38; - src <= 8; - when "0001111" => - dest <= 26; - src <= 37; - when "0010000" => - dest <= 17; - src <= 15; - when "0010001" => - dest <= 52; - src <= 36; - when "0010010" => - dest <= 37; - src <= 23; - when "0010011" => - dest <= 8; - src <= 9; - when "0010100" => - dest <= 3; - src <= 2; - when "0010101" => - dest <= 43; - src <= 40; - when "0010110" => - dest <= 50; - src <= 22; - when "0010111" => - dest <= 37; - src <= 37; - when "0011000" => - dest <= 60; - src <= 49; - when "0011001" => - dest <= 37; - src <= 37; - when "0011010" => - dest <= 10; - src <= 31; - when "0011011" => - dest <= 7; - src <= 58; - when "0011100" => - dest <= 49; - src <= 7; - when "0011101" => - dest <= 46; - src <= 16; - when "0011110" => - dest <= 37; - src <= 14; - when "0011111" => - dest <= 37; - src <= 37; - when "0100000" => - dest <= 34; - src <= 37; - when "0100001" => - dest <= 25; - src <= 28; - when "0100010" => - dest <= 18; - src <= 56; - when "0100011" => - dest <= 53; - src <= 3; - when "0100100" => - dest <= 11; - src <= 17; - when "0100101" => - dest <= 61; - src <= 32; - when "0100110" => - dest <= 6; - src <= 37; - when "0100111" => - dest <= 63; - src <= 21; - when "0101000" => - dest <= 44; - src <= 41; - when "0101001" => - dest <= 48; - src <= 48; - when "0101010" => - dest <= 37; - src <= 13; - when "0101011" => - dest <= 16; - src <= 63; - when "0101100" => - dest <= 36; - src <= 30; - when "0101101" => - dest <= 15; - src <= 4; - when "0101110" => - dest <= 37; - src <= 11; - when "0101111" => - dest <= 37; - src <= 38; - when "0110000" => - dest <= 4; - src <= 18; - when "0110001" => - dest <= 37; - src <= 37; - when "0110010" => - dest <= 41; - src <= 50; - when "0110011" => - dest <= 37; - src <= 37; - when "0110100" => - dest <= 28; - src <= 59; - when "0110101" => - dest <= 24; - src <= 37; - when "0110110" => - dest <= 37; - src <= 37; - when "0110111" => - dest <= 23; - src <= 6; - when "0111000" => - dest <= 37; - src <= 46; - when "0111001" => - dest <= 14; - src <= 25; - when "0111010" => - dest <= 37; - src <= 37; - when "0111011" => - dest <= 62; - src <= 42; - when "0111100" => - dest <= 12; - src <= 37; - when "0111101" => - dest <= 55; - src <= 51; - when "0111110" => - dest <= 37; - src <= 37; - when "0111111" => - dest <= 19; - src <= 60; - when "1000000" => - dest <= 40; - src <= 37; - when "1000001" => - dest <= 5; - src <= 12; - when "1000010" => - dest <= 37; - src <= 62; - when "1000011" => - dest <= 33; - src <= 19; - when "1000100" => - dest <= 37; - src <= 37; - when "1000101" => - dest <= 27; - src <= 55; - when "1000110" => - dest <= 31; - src <= 26; - when "1000111" => - dest <= 22; - src <= 5; - when "1001000" => - dest <= 37; - src <= 37; - when "1001001" => - dest <= 20; - src <= 33; - when "1001010" => - dest <= 54; - src <= 47; - when "1001011" => - dest <= 13; - src <= 43; - when "1001100" => - dest <= 47; - src <= 52; - when "1001101" => - dest <= 37; - src <= 37; - when "1001110" => - dest <= 32; - src <= 54; - when "1001111" => - dest <= 37; - src <= 20; - when "1010000" => - dest <= 30; - src <= 61; - when "1010001" => - dest <= 21; - src <= 27; - when "1010010" => - dest <= 39; - src <= 37; - when "1010011" => - dest <= 37; - src <= 34; - when "1010100" => - dest <= 37; - src <= 44; - when "1010101" => - dest <= 1; - src <= 53; - when "1010110" => - dest <= 56; - src <= 35; - when others => - dest <= 37; - src <= 37; - - end case; - end process; - - end generate NI_NUM37; - - NI_NUM38 : if NI_NUM = 38 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 43; - src <= 38; - when "0000001" => - dest <= 46; - src <= 38; - when "0000010" => - dest <= 30; - src <= 38; - when "0000011" => - dest <= 10; - src <= 38; - when "0000100" => - dest <= 3; - src <= 30; - when "0000101" => - dest <= 38; - src <= 46; - when "0000110" => - dest <= 59; - src <= 25; - when "0000111" => - dest <= 36; - src <= 11; - when "0001000" => - dest <= 38; - src <= 2; - when "0001001" => - dest <= 60; - src <= 38; - when "0001010" => - dest <= 58; - src <= 38; - when "0001011" => - dest <= 1; - src <= 32; - when "0001100" => - dest <= 52; - src <= 58; - when "0001101" => - dest <= 38; - src <= 1; - when "0001110" => - dest <= 39; - src <= 9; - when "0001111" => - dest <= 27; - src <= 38; - when "0010000" => - dest <= 18; - src <= 8; - when "0010001" => - dest <= 53; - src <= 37; - when "0010010" => - dest <= 38; - src <= 16; - when "0010011" => - dest <= 9; - src <= 10; - when "0010100" => - dest <= 4; - src <= 3; - when "0010101" => - dest <= 44; - src <= 41; - when "0010110" => - dest <= 51; - src <= 23; - when "0010111" => - dest <= 38; - src <= 38; - when "0011000" => - dest <= 61; - src <= 50; - when "0011001" => - dest <= 38; - src <= 38; - when "0011010" => - dest <= 11; - src <= 24; - when "0011011" => - dest <= 0; - src <= 59; - when "0011100" => - dest <= 50; - src <= 0; - when "0011101" => - dest <= 47; - src <= 17; - when "0011110" => - dest <= 38; - src <= 15; - when "0011111" => - dest <= 38; - src <= 38; - when "0100000" => - dest <= 35; - src <= 38; - when "0100001" => - dest <= 26; - src <= 29; - when "0100010" => - dest <= 19; - src <= 57; - when "0100011" => - dest <= 54; - src <= 4; - when "0100100" => - dest <= 12; - src <= 18; - when "0100101" => - dest <= 62; - src <= 33; - when "0100110" => - dest <= 7; - src <= 38; - when "0100111" => - dest <= 56; - src <= 22; - when "0101000" => - dest <= 45; - src <= 42; - when "0101001" => - dest <= 49; - src <= 49; - when "0101010" => - dest <= 38; - src <= 14; - when "0101011" => - dest <= 17; - src <= 56; - when "0101100" => - dest <= 37; - src <= 31; - when "0101101" => - dest <= 8; - src <= 5; - when "0101110" => - dest <= 38; - src <= 12; - when "0101111" => - dest <= 38; - src <= 39; - when "0110000" => - dest <= 5; - src <= 19; - when "0110001" => - dest <= 38; - src <= 38; - when "0110010" => - dest <= 42; - src <= 51; - when "0110011" => - dest <= 38; - src <= 38; - when "0110100" => - dest <= 29; - src <= 60; - when "0110101" => - dest <= 25; - src <= 38; - when "0110110" => - dest <= 38; - src <= 38; - when "0110111" => - dest <= 16; - src <= 7; - when "0111000" => - dest <= 38; - src <= 47; - when "0111001" => - dest <= 15; - src <= 26; - when "0111010" => - dest <= 38; - src <= 38; - when "0111011" => - dest <= 63; - src <= 43; - when "0111100" => - dest <= 13; - src <= 38; - when "0111101" => - dest <= 48; - src <= 52; - when "0111110" => - dest <= 38; - src <= 38; - when "0111111" => - dest <= 20; - src <= 61; - when "1000000" => - dest <= 41; - src <= 38; - when "1000001" => - dest <= 6; - src <= 13; - when "1000010" => - dest <= 38; - src <= 63; - when "1000011" => - dest <= 34; - src <= 20; - when "1000100" => - dest <= 38; - src <= 38; - when "1000101" => - dest <= 28; - src <= 48; - when "1000110" => - dest <= 24; - src <= 27; - when "1000111" => - dest <= 23; - src <= 6; - when "1001000" => - dest <= 38; - src <= 38; - when "1001001" => - dest <= 21; - src <= 34; - when "1001010" => - dest <= 55; - src <= 40; - when "1001011" => - dest <= 14; - src <= 44; - when "1001100" => - dest <= 40; - src <= 53; - when "1001101" => - dest <= 38; - src <= 38; - when "1001110" => - dest <= 33; - src <= 55; - when "1001111" => - dest <= 38; - src <= 21; - when "1010000" => - dest <= 31; - src <= 62; - when "1010001" => - dest <= 22; - src <= 28; - when "1010010" => - dest <= 32; - src <= 38; - when "1010011" => - dest <= 38; - src <= 35; - when "1010100" => - dest <= 38; - src <= 45; - when "1010101" => - dest <= 2; - src <= 54; - when "1010110" => - dest <= 57; - src <= 36; - when others => - dest <= 38; - src <= 38; - - end case; - end process; - - end generate NI_NUM38; - - NI_NUM39 : if NI_NUM = 39 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 44; - src <= 39; - when "0000001" => - dest <= 47; - src <= 39; - when "0000010" => - dest <= 31; - src <= 39; - when "0000011" => - dest <= 11; - src <= 39; - when "0000100" => - dest <= 4; - src <= 31; - when "0000101" => - dest <= 39; - src <= 47; - when "0000110" => - dest <= 60; - src <= 26; - when "0000111" => - dest <= 37; - src <= 12; - when "0001000" => - dest <= 39; - src <= 3; - when "0001001" => - dest <= 61; - src <= 39; - when "0001010" => - dest <= 59; - src <= 39; - when "0001011" => - dest <= 2; - src <= 33; - when "0001100" => - dest <= 53; - src <= 59; - when "0001101" => - dest <= 39; - src <= 2; - when "0001110" => - dest <= 32; - src <= 10; - when "0001111" => - dest <= 28; - src <= 39; - when "0010000" => - dest <= 19; - src <= 9; - when "0010001" => - dest <= 54; - src <= 38; - when "0010010" => - dest <= 39; - src <= 17; - when "0010011" => - dest <= 10; - src <= 11; - when "0010100" => - dest <= 5; - src <= 4; - when "0010101" => - dest <= 45; - src <= 42; - when "0010110" => - dest <= 52; - src <= 16; - when "0010111" => - dest <= 39; - src <= 39; - when "0011000" => - dest <= 62; - src <= 51; - when "0011001" => - dest <= 39; - src <= 39; - when "0011010" => - dest <= 12; - src <= 25; - when "0011011" => - dest <= 1; - src <= 60; - when "0011100" => - dest <= 51; - src <= 1; - when "0011101" => - dest <= 40; - src <= 18; - when "0011110" => - dest <= 39; - src <= 8; - when "0011111" => - dest <= 39; - src <= 39; - when "0100000" => - dest <= 36; - src <= 39; - when "0100001" => - dest <= 27; - src <= 30; - when "0100010" => - dest <= 20; - src <= 58; - when "0100011" => - dest <= 55; - src <= 5; - when "0100100" => - dest <= 13; - src <= 19; - when "0100101" => - dest <= 63; - src <= 34; - when "0100110" => - dest <= 0; - src <= 39; - when "0100111" => - dest <= 57; - src <= 23; - when "0101000" => - dest <= 46; - src <= 43; - when "0101001" => - dest <= 50; - src <= 50; - when "0101010" => - dest <= 39; - src <= 15; - when "0101011" => - dest <= 18; - src <= 57; - when "0101100" => - dest <= 38; - src <= 24; - when "0101101" => - dest <= 9; - src <= 6; - when "0101110" => - dest <= 39; - src <= 13; - when "0101111" => - dest <= 39; - src <= 32; - when "0110000" => - dest <= 6; - src <= 20; - when "0110001" => - dest <= 39; - src <= 39; - when "0110010" => - dest <= 43; - src <= 52; - when "0110011" => - dest <= 39; - src <= 39; - when "0110100" => - dest <= 30; - src <= 61; - when "0110101" => - dest <= 26; - src <= 39; - when "0110110" => - dest <= 39; - src <= 39; - when "0110111" => - dest <= 17; - src <= 0; - when "0111000" => - dest <= 39; - src <= 40; - when "0111001" => - dest <= 8; - src <= 27; - when "0111010" => - dest <= 39; - src <= 39; - when "0111011" => - dest <= 56; - src <= 44; - when "0111100" => - dest <= 14; - src <= 39; - when "0111101" => - dest <= 49; - src <= 53; - when "0111110" => - dest <= 39; - src <= 39; - when "0111111" => - dest <= 21; - src <= 62; - when "1000000" => - dest <= 42; - src <= 39; - when "1000001" => - dest <= 7; - src <= 14; - when "1000010" => - dest <= 39; - src <= 56; - when "1000011" => - dest <= 35; - src <= 21; - when "1000100" => - dest <= 39; - src <= 39; - when "1000101" => - dest <= 29; - src <= 49; - when "1000110" => - dest <= 25; - src <= 28; - when "1000111" => - dest <= 16; - src <= 7; - when "1001000" => - dest <= 39; - src <= 39; - when "1001001" => - dest <= 22; - src <= 35; - when "1001010" => - dest <= 48; - src <= 41; - when "1001011" => - dest <= 15; - src <= 45; - when "1001100" => - dest <= 41; - src <= 54; - when "1001101" => - dest <= 39; - src <= 39; - when "1001110" => - dest <= 34; - src <= 48; - when "1001111" => - dest <= 39; - src <= 22; - when "1010000" => - dest <= 24; - src <= 63; - when "1010001" => - dest <= 23; - src <= 29; - when "1010010" => - dest <= 33; - src <= 39; - when "1010011" => - dest <= 39; - src <= 36; - when "1010100" => - dest <= 39; - src <= 46; - when "1010101" => - dest <= 3; - src <= 55; - when "1010110" => - dest <= 58; - src <= 37; - when others => - dest <= 39; - src <= 39; - - end case; - end process; - - end generate NI_NUM39; - - NI_NUM40 : if NI_NUM = 40 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 53; - src <= 40; - when "0000001" => - dest <= 48; - src <= 40; - when "0000010" => - dest <= 32; - src <= 40; - when "0000011" => - dest <= 20; - src <= 40; - when "0000100" => - dest <= 13; - src <= 32; - when "0000101" => - dest <= 40; - src <= 48; - when "0000110" => - dest <= 5; - src <= 35; - when "0000111" => - dest <= 46; - src <= 21; - when "0001000" => - dest <= 40; - src <= 12; - when "0001001" => - dest <= 6; - src <= 40; - when "0001010" => - dest <= 4; - src <= 40; - when "0001011" => - dest <= 11; - src <= 42; - when "0001100" => - dest <= 62; - src <= 4; - when "0001101" => - dest <= 40; - src <= 11; - when "0001110" => - dest <= 41; - src <= 19; - when "0001111" => - dest <= 37; - src <= 40; - when "0010000" => - dest <= 28; - src <= 18; - when "0010001" => - dest <= 63; - src <= 47; - when "0010010" => - dest <= 40; - src <= 26; - when "0010011" => - dest <= 19; - src <= 20; - when "0010100" => - dest <= 14; - src <= 13; - when "0010101" => - dest <= 54; - src <= 51; - when "0010110" => - dest <= 61; - src <= 25; - when "0010111" => - dest <= 40; - src <= 40; - when "0011000" => - dest <= 7; - src <= 60; - when "0011001" => - dest <= 40; - src <= 40; - when "0011010" => - dest <= 21; - src <= 34; - when "0011011" => - dest <= 10; - src <= 5; - when "0011100" => - dest <= 60; - src <= 10; - when "0011101" => - dest <= 49; - src <= 27; - when "0011110" => - dest <= 40; - src <= 17; - when "0011111" => - dest <= 40; - src <= 40; - when "0100000" => - dest <= 45; - src <= 40; - when "0100001" => - dest <= 36; - src <= 39; - when "0100010" => - dest <= 29; - src <= 3; - when "0100011" => - dest <= 56; - src <= 14; - when "0100100" => - dest <= 22; - src <= 28; - when "0100101" => - dest <= 0; - src <= 43; - when "0100110" => - dest <= 9; - src <= 40; - when "0100111" => - dest <= 2; - src <= 24; - when "0101000" => - dest <= 55; - src <= 52; - when "0101001" => - dest <= 59; - src <= 59; - when "0101010" => - dest <= 40; - src <= 16; - when "0101011" => - dest <= 27; - src <= 2; - when "0101100" => - dest <= 47; - src <= 33; - when "0101101" => - dest <= 18; - src <= 15; - when "0101110" => - dest <= 40; - src <= 22; - when "0101111" => - dest <= 40; - src <= 41; - when "0110000" => - dest <= 15; - src <= 29; - when "0110001" => - dest <= 40; - src <= 40; - when "0110010" => - dest <= 52; - src <= 61; - when "0110011" => - dest <= 40; - src <= 40; - when "0110100" => - dest <= 39; - src <= 6; - when "0110101" => - dest <= 35; - src <= 40; - when "0110110" => - dest <= 40; - src <= 40; - when "0110111" => - dest <= 26; - src <= 9; - when "0111000" => - dest <= 40; - src <= 49; - when "0111001" => - dest <= 17; - src <= 36; - when "0111010" => - dest <= 40; - src <= 40; - when "0111011" => - dest <= 1; - src <= 53; - when "0111100" => - dest <= 23; - src <= 40; - when "0111101" => - dest <= 58; - src <= 62; - when "0111110" => - dest <= 40; - src <= 40; - when "0111111" => - dest <= 30; - src <= 7; - when "1000000" => - dest <= 51; - src <= 40; - when "1000001" => - dest <= 8; - src <= 23; - when "1000010" => - dest <= 40; - src <= 1; - when "1000011" => - dest <= 44; - src <= 30; - when "1000100" => - dest <= 40; - src <= 40; - when "1000101" => - dest <= 38; - src <= 58; - when "1000110" => - dest <= 34; - src <= 37; - when "1000111" => - dest <= 25; - src <= 8; - when "1001000" => - dest <= 40; - src <= 40; - when "1001001" => - dest <= 31; - src <= 44; - when "1001010" => - dest <= 57; - src <= 50; - when "1001011" => - dest <= 16; - src <= 54; - when "1001100" => - dest <= 50; - src <= 63; - when "1001101" => - dest <= 40; - src <= 40; - when "1001110" => - dest <= 43; - src <= 57; - when "1001111" => - dest <= 40; - src <= 31; - when "1010000" => - dest <= 33; - src <= 0; - when "1010001" => - dest <= 24; - src <= 38; - when "1010010" => - dest <= 42; - src <= 40; - when "1010011" => - dest <= 40; - src <= 45; - when "1010100" => - dest <= 40; - src <= 55; - when "1010101" => - dest <= 12; - src <= 56; - when "1010110" => - dest <= 3; - src <= 46; - when others => - dest <= 40; - src <= 40; - - end case; - end process; - - end generate NI_NUM40; - - NI_NUM41 : if NI_NUM = 41 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 54; - src <= 41; - when "0000001" => - dest <= 49; - src <= 41; - when "0000010" => - dest <= 33; - src <= 41; - when "0000011" => - dest <= 21; - src <= 41; - when "0000100" => - dest <= 14; - src <= 33; - when "0000101" => - dest <= 41; - src <= 49; - when "0000110" => - dest <= 6; - src <= 36; - when "0000111" => - dest <= 47; - src <= 22; - when "0001000" => - dest <= 41; - src <= 13; - when "0001001" => - dest <= 7; - src <= 41; - when "0001010" => - dest <= 5; - src <= 41; - when "0001011" => - dest <= 12; - src <= 43; - when "0001100" => - dest <= 63; - src <= 5; - when "0001101" => - dest <= 41; - src <= 12; - when "0001110" => - dest <= 42; - src <= 20; - when "0001111" => - dest <= 38; - src <= 41; - when "0010000" => - dest <= 29; - src <= 19; - when "0010001" => - dest <= 56; - src <= 40; - when "0010010" => - dest <= 41; - src <= 27; - when "0010011" => - dest <= 20; - src <= 21; - when "0010100" => - dest <= 15; - src <= 14; - when "0010101" => - dest <= 55; - src <= 52; - when "0010110" => - dest <= 62; - src <= 26; - when "0010111" => - dest <= 41; - src <= 41; - when "0011000" => - dest <= 0; - src <= 61; - when "0011001" => - dest <= 41; - src <= 41; - when "0011010" => - dest <= 22; - src <= 35; - when "0011011" => - dest <= 11; - src <= 6; - when "0011100" => - dest <= 61; - src <= 11; - when "0011101" => - dest <= 50; - src <= 28; - when "0011110" => - dest <= 41; - src <= 18; - when "0011111" => - dest <= 41; - src <= 41; - when "0100000" => - dest <= 46; - src <= 41; - when "0100001" => - dest <= 37; - src <= 32; - when "0100010" => - dest <= 30; - src <= 4; - when "0100011" => - dest <= 57; - src <= 15; - when "0100100" => - dest <= 23; - src <= 29; - when "0100101" => - dest <= 1; - src <= 44; - when "0100110" => - dest <= 10; - src <= 41; - when "0100111" => - dest <= 3; - src <= 25; - when "0101000" => - dest <= 48; - src <= 53; - when "0101001" => - dest <= 60; - src <= 60; - when "0101010" => - dest <= 41; - src <= 17; - when "0101011" => - dest <= 28; - src <= 3; - when "0101100" => - dest <= 40; - src <= 34; - when "0101101" => - dest <= 19; - src <= 8; - when "0101110" => - dest <= 41; - src <= 23; - when "0101111" => - dest <= 41; - src <= 42; - when "0110000" => - dest <= 8; - src <= 30; - when "0110001" => - dest <= 41; - src <= 41; - when "0110010" => - dest <= 53; - src <= 62; - when "0110011" => - dest <= 41; - src <= 41; - when "0110100" => - dest <= 32; - src <= 7; - when "0110101" => - dest <= 36; - src <= 41; - when "0110110" => - dest <= 41; - src <= 41; - when "0110111" => - dest <= 27; - src <= 10; - when "0111000" => - dest <= 41; - src <= 50; - when "0111001" => - dest <= 18; - src <= 37; - when "0111010" => - dest <= 41; - src <= 41; - when "0111011" => - dest <= 2; - src <= 54; - when "0111100" => - dest <= 16; - src <= 41; - when "0111101" => - dest <= 59; - src <= 63; - when "0111110" => - dest <= 41; - src <= 41; - when "0111111" => - dest <= 31; - src <= 0; - when "1000000" => - dest <= 52; - src <= 41; - when "1000001" => - dest <= 9; - src <= 16; - when "1000010" => - dest <= 41; - src <= 2; - when "1000011" => - dest <= 45; - src <= 31; - when "1000100" => - dest <= 41; - src <= 41; - when "1000101" => - dest <= 39; - src <= 59; - when "1000110" => - dest <= 35; - src <= 38; - when "1000111" => - dest <= 26; - src <= 9; - when "1001000" => - dest <= 41; - src <= 41; - when "1001001" => - dest <= 24; - src <= 45; - when "1001010" => - dest <= 58; - src <= 51; - when "1001011" => - dest <= 17; - src <= 55; - when "1001100" => - dest <= 51; - src <= 56; - when "1001101" => - dest <= 41; - src <= 41; - when "1001110" => - dest <= 44; - src <= 58; - when "1001111" => - dest <= 41; - src <= 24; - when "1010000" => - dest <= 34; - src <= 1; - when "1010001" => - dest <= 25; - src <= 39; - when "1010010" => - dest <= 43; - src <= 41; - when "1010011" => - dest <= 41; - src <= 46; - when "1010100" => - dest <= 41; - src <= 48; - when "1010101" => - dest <= 13; - src <= 57; - when "1010110" => - dest <= 4; - src <= 47; - when others => - dest <= 41; - src <= 41; - - end case; - end process; - - end generate NI_NUM41; - - NI_NUM42 : if NI_NUM = 42 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 55; - src <= 42; - when "0000001" => - dest <= 50; - src <= 42; - when "0000010" => - dest <= 34; - src <= 42; - when "0000011" => - dest <= 22; - src <= 42; - when "0000100" => - dest <= 15; - src <= 34; - when "0000101" => - dest <= 42; - src <= 50; - when "0000110" => - dest <= 7; - src <= 37; - when "0000111" => - dest <= 40; - src <= 23; - when "0001000" => - dest <= 42; - src <= 14; - when "0001001" => - dest <= 0; - src <= 42; - when "0001010" => - dest <= 6; - src <= 42; - when "0001011" => - dest <= 13; - src <= 44; - when "0001100" => - dest <= 56; - src <= 6; - when "0001101" => - dest <= 42; - src <= 13; - when "0001110" => - dest <= 43; - src <= 21; - when "0001111" => - dest <= 39; - src <= 42; - when "0010000" => - dest <= 30; - src <= 20; - when "0010001" => - dest <= 57; - src <= 41; - when "0010010" => - dest <= 42; - src <= 28; - when "0010011" => - dest <= 21; - src <= 22; - when "0010100" => - dest <= 8; - src <= 15; - when "0010101" => - dest <= 48; - src <= 53; - when "0010110" => - dest <= 63; - src <= 27; - when "0010111" => - dest <= 42; - src <= 42; - when "0011000" => - dest <= 1; - src <= 62; - when "0011001" => - dest <= 42; - src <= 42; - when "0011010" => - dest <= 23; - src <= 36; - when "0011011" => - dest <= 12; - src <= 7; - when "0011100" => - dest <= 62; - src <= 12; - when "0011101" => - dest <= 51; - src <= 29; - when "0011110" => - dest <= 42; - src <= 19; - when "0011111" => - dest <= 42; - src <= 42; - when "0100000" => - dest <= 47; - src <= 42; - when "0100001" => - dest <= 38; - src <= 33; - when "0100010" => - dest <= 31; - src <= 5; - when "0100011" => - dest <= 58; - src <= 8; - when "0100100" => - dest <= 16; - src <= 30; - when "0100101" => - dest <= 2; - src <= 45; - when "0100110" => - dest <= 11; - src <= 42; - when "0100111" => - dest <= 4; - src <= 26; - when "0101000" => - dest <= 49; - src <= 54; - when "0101001" => - dest <= 61; - src <= 61; - when "0101010" => - dest <= 42; - src <= 18; - when "0101011" => - dest <= 29; - src <= 4; - when "0101100" => - dest <= 41; - src <= 35; - when "0101101" => - dest <= 20; - src <= 9; - when "0101110" => - dest <= 42; - src <= 16; - when "0101111" => - dest <= 42; - src <= 43; - when "0110000" => - dest <= 9; - src <= 31; - when "0110001" => - dest <= 42; - src <= 42; - when "0110010" => - dest <= 54; - src <= 63; - when "0110011" => - dest <= 42; - src <= 42; - when "0110100" => - dest <= 33; - src <= 0; - when "0110101" => - dest <= 37; - src <= 42; - when "0110110" => - dest <= 42; - src <= 42; - when "0110111" => - dest <= 28; - src <= 11; - when "0111000" => - dest <= 42; - src <= 51; - when "0111001" => - dest <= 19; - src <= 38; - when "0111010" => - dest <= 42; - src <= 42; - when "0111011" => - dest <= 3; - src <= 55; - when "0111100" => - dest <= 17; - src <= 42; - when "0111101" => - dest <= 60; - src <= 56; - when "0111110" => - dest <= 42; - src <= 42; - when "0111111" => - dest <= 24; - src <= 1; - when "1000000" => - dest <= 53; - src <= 42; - when "1000001" => - dest <= 10; - src <= 17; - when "1000010" => - dest <= 42; - src <= 3; - when "1000011" => - dest <= 46; - src <= 24; - when "1000100" => - dest <= 42; - src <= 42; - when "1000101" => - dest <= 32; - src <= 60; - when "1000110" => - dest <= 36; - src <= 39; - when "1000111" => - dest <= 27; - src <= 10; - when "1001000" => - dest <= 42; - src <= 42; - when "1001001" => - dest <= 25; - src <= 46; - when "1001010" => - dest <= 59; - src <= 52; - when "1001011" => - dest <= 18; - src <= 48; - when "1001100" => - dest <= 52; - src <= 57; - when "1001101" => - dest <= 42; - src <= 42; - when "1001110" => - dest <= 45; - src <= 59; - when "1001111" => - dest <= 42; - src <= 25; - when "1010000" => - dest <= 35; - src <= 2; - when "1010001" => - dest <= 26; - src <= 32; - when "1010010" => - dest <= 44; - src <= 42; - when "1010011" => - dest <= 42; - src <= 47; - when "1010100" => - dest <= 42; - src <= 49; - when "1010101" => - dest <= 14; - src <= 58; - when "1010110" => - dest <= 5; - src <= 40; - when others => - dest <= 42; - src <= 42; - - end case; - end process; - - end generate NI_NUM42; - - NI_NUM43 : if NI_NUM = 43 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 48; - src <= 43; - when "0000001" => - dest <= 51; - src <= 43; - when "0000010" => - dest <= 35; - src <= 43; - when "0000011" => - dest <= 23; - src <= 43; - when "0000100" => - dest <= 8; - src <= 35; - when "0000101" => - dest <= 43; - src <= 51; - when "0000110" => - dest <= 0; - src <= 38; - when "0000111" => - dest <= 41; - src <= 16; - when "0001000" => - dest <= 43; - src <= 15; - when "0001001" => - dest <= 1; - src <= 43; - when "0001010" => - dest <= 7; - src <= 43; - when "0001011" => - dest <= 14; - src <= 45; - when "0001100" => - dest <= 57; - src <= 7; - when "0001101" => - dest <= 43; - src <= 14; - when "0001110" => - dest <= 44; - src <= 22; - when "0001111" => - dest <= 32; - src <= 43; - when "0010000" => - dest <= 31; - src <= 21; - when "0010001" => - dest <= 58; - src <= 42; - when "0010010" => - dest <= 43; - src <= 29; - when "0010011" => - dest <= 22; - src <= 23; - when "0010100" => - dest <= 9; - src <= 8; - when "0010101" => - dest <= 49; - src <= 54; - when "0010110" => - dest <= 56; - src <= 28; - when "0010111" => - dest <= 43; - src <= 43; - when "0011000" => - dest <= 2; - src <= 63; - when "0011001" => - dest <= 43; - src <= 43; - when "0011010" => - dest <= 16; - src <= 37; - when "0011011" => - dest <= 13; - src <= 0; - when "0011100" => - dest <= 63; - src <= 13; - when "0011101" => - dest <= 52; - src <= 30; - when "0011110" => - dest <= 43; - src <= 20; - when "0011111" => - dest <= 43; - src <= 43; - when "0100000" => - dest <= 40; - src <= 43; - when "0100001" => - dest <= 39; - src <= 34; - when "0100010" => - dest <= 24; - src <= 6; - when "0100011" => - dest <= 59; - src <= 9; - when "0100100" => - dest <= 17; - src <= 31; - when "0100101" => - dest <= 3; - src <= 46; - when "0100110" => - dest <= 12; - src <= 43; - when "0100111" => - dest <= 5; - src <= 27; - when "0101000" => - dest <= 50; - src <= 55; - when "0101001" => - dest <= 62; - src <= 62; - when "0101010" => - dest <= 43; - src <= 19; - when "0101011" => - dest <= 30; - src <= 5; - when "0101100" => - dest <= 42; - src <= 36; - when "0101101" => - dest <= 21; - src <= 10; - when "0101110" => - dest <= 43; - src <= 17; - when "0101111" => - dest <= 43; - src <= 44; - when "0110000" => - dest <= 10; - src <= 24; - when "0110001" => - dest <= 43; - src <= 43; - when "0110010" => - dest <= 55; - src <= 56; - when "0110011" => - dest <= 43; - src <= 43; - when "0110100" => - dest <= 34; - src <= 1; - when "0110101" => - dest <= 38; - src <= 43; - when "0110110" => - dest <= 43; - src <= 43; - when "0110111" => - dest <= 29; - src <= 12; - when "0111000" => - dest <= 43; - src <= 52; - when "0111001" => - dest <= 20; - src <= 39; - when "0111010" => - dest <= 43; - src <= 43; - when "0111011" => - dest <= 4; - src <= 48; - when "0111100" => - dest <= 18; - src <= 43; - when "0111101" => - dest <= 61; - src <= 57; - when "0111110" => - dest <= 43; - src <= 43; - when "0111111" => - dest <= 25; - src <= 2; - when "1000000" => - dest <= 54; - src <= 43; - when "1000001" => - dest <= 11; - src <= 18; - when "1000010" => - dest <= 43; - src <= 4; - when "1000011" => - dest <= 47; - src <= 25; - when "1000100" => - dest <= 43; - src <= 43; - when "1000101" => - dest <= 33; - src <= 61; - when "1000110" => - dest <= 37; - src <= 32; - when "1000111" => - dest <= 28; - src <= 11; - when "1001000" => - dest <= 43; - src <= 43; - when "1001001" => - dest <= 26; - src <= 47; - when "1001010" => - dest <= 60; - src <= 53; - when "1001011" => - dest <= 19; - src <= 49; - when "1001100" => - dest <= 53; - src <= 58; - when "1001101" => - dest <= 43; - src <= 43; - when "1001110" => - dest <= 46; - src <= 60; - when "1001111" => - dest <= 43; - src <= 26; - when "1010000" => - dest <= 36; - src <= 3; - when "1010001" => - dest <= 27; - src <= 33; - when "1010010" => - dest <= 45; - src <= 43; - when "1010011" => - dest <= 43; - src <= 40; - when "1010100" => - dest <= 43; - src <= 50; - when "1010101" => - dest <= 15; - src <= 59; - when "1010110" => - dest <= 6; - src <= 41; - when others => - dest <= 43; - src <= 43; - - end case; - end process; - - end generate NI_NUM43; - - NI_NUM44 : if NI_NUM = 44 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 49; - src <= 44; - when "0000001" => - dest <= 52; - src <= 44; - when "0000010" => - dest <= 36; - src <= 44; - when "0000011" => - dest <= 16; - src <= 44; - when "0000100" => - dest <= 9; - src <= 36; - when "0000101" => - dest <= 44; - src <= 52; - when "0000110" => - dest <= 1; - src <= 39; - when "0000111" => - dest <= 42; - src <= 17; - when "0001000" => - dest <= 44; - src <= 8; - when "0001001" => - dest <= 2; - src <= 44; - when "0001010" => - dest <= 0; - src <= 44; - when "0001011" => - dest <= 15; - src <= 46; - when "0001100" => - dest <= 58; - src <= 0; - when "0001101" => - dest <= 44; - src <= 15; - when "0001110" => - dest <= 45; - src <= 23; - when "0001111" => - dest <= 33; - src <= 44; - when "0010000" => - dest <= 24; - src <= 22; - when "0010001" => - dest <= 59; - src <= 43; - when "0010010" => - dest <= 44; - src <= 30; - when "0010011" => - dest <= 23; - src <= 16; - when "0010100" => - dest <= 10; - src <= 9; - when "0010101" => - dest <= 50; - src <= 55; - when "0010110" => - dest <= 57; - src <= 29; - when "0010111" => - dest <= 44; - src <= 44; - when "0011000" => - dest <= 3; - src <= 56; - when "0011001" => - dest <= 44; - src <= 44; - when "0011010" => - dest <= 17; - src <= 38; - when "0011011" => - dest <= 14; - src <= 1; - when "0011100" => - dest <= 56; - src <= 14; - when "0011101" => - dest <= 53; - src <= 31; - when "0011110" => - dest <= 44; - src <= 21; - when "0011111" => - dest <= 44; - src <= 44; - when "0100000" => - dest <= 41; - src <= 44; - when "0100001" => - dest <= 32; - src <= 35; - when "0100010" => - dest <= 25; - src <= 7; - when "0100011" => - dest <= 60; - src <= 10; - when "0100100" => - dest <= 18; - src <= 24; - when "0100101" => - dest <= 4; - src <= 47; - when "0100110" => - dest <= 13; - src <= 44; - when "0100111" => - dest <= 6; - src <= 28; - when "0101000" => - dest <= 51; - src <= 48; - when "0101001" => - dest <= 63; - src <= 63; - when "0101010" => - dest <= 44; - src <= 20; - when "0101011" => - dest <= 31; - src <= 6; - when "0101100" => - dest <= 43; - src <= 37; - when "0101101" => - dest <= 22; - src <= 11; - when "0101110" => - dest <= 44; - src <= 18; - when "0101111" => - dest <= 44; - src <= 45; - when "0110000" => - dest <= 11; - src <= 25; - when "0110001" => - dest <= 44; - src <= 44; - when "0110010" => - dest <= 48; - src <= 57; - when "0110011" => - dest <= 44; - src <= 44; - when "0110100" => - dest <= 35; - src <= 2; - when "0110101" => - dest <= 39; - src <= 44; - when "0110110" => - dest <= 44; - src <= 44; - when "0110111" => - dest <= 30; - src <= 13; - when "0111000" => - dest <= 44; - src <= 53; - when "0111001" => - dest <= 21; - src <= 32; - when "0111010" => - dest <= 44; - src <= 44; - when "0111011" => - dest <= 5; - src <= 49; - when "0111100" => - dest <= 19; - src <= 44; - when "0111101" => - dest <= 62; - src <= 58; - when "0111110" => - dest <= 44; - src <= 44; - when "0111111" => - dest <= 26; - src <= 3; - when "1000000" => - dest <= 55; - src <= 44; - when "1000001" => - dest <= 12; - src <= 19; - when "1000010" => - dest <= 44; - src <= 5; - when "1000011" => - dest <= 40; - src <= 26; - when "1000100" => - dest <= 44; - src <= 44; - when "1000101" => - dest <= 34; - src <= 62; - when "1000110" => - dest <= 38; - src <= 33; - when "1000111" => - dest <= 29; - src <= 12; - when "1001000" => - dest <= 44; - src <= 44; - when "1001001" => - dest <= 27; - src <= 40; - when "1001010" => - dest <= 61; - src <= 54; - when "1001011" => - dest <= 20; - src <= 50; - when "1001100" => - dest <= 54; - src <= 59; - when "1001101" => - dest <= 44; - src <= 44; - when "1001110" => - dest <= 47; - src <= 61; - when "1001111" => - dest <= 44; - src <= 27; - when "1010000" => - dest <= 37; - src <= 4; - when "1010001" => - dest <= 28; - src <= 34; - when "1010010" => - dest <= 46; - src <= 44; - when "1010011" => - dest <= 44; - src <= 41; - when "1010100" => - dest <= 44; - src <= 51; - when "1010101" => - dest <= 8; - src <= 60; - when "1010110" => - dest <= 7; - src <= 42; - when others => - dest <= 44; - src <= 44; - - end case; - end process; - - end generate NI_NUM44; - - NI_NUM45 : if NI_NUM = 45 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 50; - src <= 45; - when "0000001" => - dest <= 53; - src <= 45; - when "0000010" => - dest <= 37; - src <= 45; - when "0000011" => - dest <= 17; - src <= 45; - when "0000100" => - dest <= 10; - src <= 37; - when "0000101" => - dest <= 45; - src <= 53; - when "0000110" => - dest <= 2; - src <= 32; - when "0000111" => - dest <= 43; - src <= 18; - when "0001000" => - dest <= 45; - src <= 9; - when "0001001" => - dest <= 3; - src <= 45; - when "0001010" => - dest <= 1; - src <= 45; - when "0001011" => - dest <= 8; - src <= 47; - when "0001100" => - dest <= 59; - src <= 1; - when "0001101" => - dest <= 45; - src <= 8; - when "0001110" => - dest <= 46; - src <= 16; - when "0001111" => - dest <= 34; - src <= 45; - when "0010000" => - dest <= 25; - src <= 23; - when "0010001" => - dest <= 60; - src <= 44; - when "0010010" => - dest <= 45; - src <= 31; - when "0010011" => - dest <= 16; - src <= 17; - when "0010100" => - dest <= 11; - src <= 10; - when "0010101" => - dest <= 51; - src <= 48; - when "0010110" => - dest <= 58; - src <= 30; - when "0010111" => - dest <= 45; - src <= 45; - when "0011000" => - dest <= 4; - src <= 57; - when "0011001" => - dest <= 45; - src <= 45; - when "0011010" => - dest <= 18; - src <= 39; - when "0011011" => - dest <= 15; - src <= 2; - when "0011100" => - dest <= 57; - src <= 15; - when "0011101" => - dest <= 54; - src <= 24; - when "0011110" => - dest <= 45; - src <= 22; - when "0011111" => - dest <= 45; - src <= 45; - when "0100000" => - dest <= 42; - src <= 45; - when "0100001" => - dest <= 33; - src <= 36; - when "0100010" => - dest <= 26; - src <= 0; - when "0100011" => - dest <= 61; - src <= 11; - when "0100100" => - dest <= 19; - src <= 25; - when "0100101" => - dest <= 5; - src <= 40; - when "0100110" => - dest <= 14; - src <= 45; - when "0100111" => - dest <= 7; - src <= 29; - when "0101000" => - dest <= 52; - src <= 49; - when "0101001" => - dest <= 56; - src <= 56; - when "0101010" => - dest <= 45; - src <= 21; - when "0101011" => - dest <= 24; - src <= 7; - when "0101100" => - dest <= 44; - src <= 38; - when "0101101" => - dest <= 23; - src <= 12; - when "0101110" => - dest <= 45; - src <= 19; - when "0101111" => - dest <= 45; - src <= 46; - when "0110000" => - dest <= 12; - src <= 26; - when "0110001" => - dest <= 45; - src <= 45; - when "0110010" => - dest <= 49; - src <= 58; - when "0110011" => - dest <= 45; - src <= 45; - when "0110100" => - dest <= 36; - src <= 3; - when "0110101" => - dest <= 32; - src <= 45; - when "0110110" => - dest <= 45; - src <= 45; - when "0110111" => - dest <= 31; - src <= 14; - when "0111000" => - dest <= 45; - src <= 54; - when "0111001" => - dest <= 22; - src <= 33; - when "0111010" => - dest <= 45; - src <= 45; - when "0111011" => - dest <= 6; - src <= 50; - when "0111100" => - dest <= 20; - src <= 45; - when "0111101" => - dest <= 63; - src <= 59; - when "0111110" => - dest <= 45; - src <= 45; - when "0111111" => - dest <= 27; - src <= 4; - when "1000000" => - dest <= 48; - src <= 45; - when "1000001" => - dest <= 13; - src <= 20; - when "1000010" => - dest <= 45; - src <= 6; - when "1000011" => - dest <= 41; - src <= 27; - when "1000100" => - dest <= 45; - src <= 45; - when "1000101" => - dest <= 35; - src <= 63; - when "1000110" => - dest <= 39; - src <= 34; - when "1000111" => - dest <= 30; - src <= 13; - when "1001000" => - dest <= 45; - src <= 45; - when "1001001" => - dest <= 28; - src <= 41; - when "1001010" => - dest <= 62; - src <= 55; - when "1001011" => - dest <= 21; - src <= 51; - when "1001100" => - dest <= 55; - src <= 60; - when "1001101" => - dest <= 45; - src <= 45; - when "1001110" => - dest <= 40; - src <= 62; - when "1001111" => - dest <= 45; - src <= 28; - when "1010000" => - dest <= 38; - src <= 5; - when "1010001" => - dest <= 29; - src <= 35; - when "1010010" => - dest <= 47; - src <= 45; - when "1010011" => - dest <= 45; - src <= 42; - when "1010100" => - dest <= 45; - src <= 52; - when "1010101" => - dest <= 9; - src <= 61; - when "1010110" => - dest <= 0; - src <= 43; - when others => - dest <= 45; - src <= 45; - - end case; - end process; - - end generate NI_NUM45; - - NI_NUM46 : if NI_NUM = 46 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 51; - src <= 46; - when "0000001" => - dest <= 54; - src <= 46; - when "0000010" => - dest <= 38; - src <= 46; - when "0000011" => - dest <= 18; - src <= 46; - when "0000100" => - dest <= 11; - src <= 38; - when "0000101" => - dest <= 46; - src <= 54; - when "0000110" => - dest <= 3; - src <= 33; - when "0000111" => - dest <= 44; - src <= 19; - when "0001000" => - dest <= 46; - src <= 10; - when "0001001" => - dest <= 4; - src <= 46; - when "0001010" => - dest <= 2; - src <= 46; - when "0001011" => - dest <= 9; - src <= 40; - when "0001100" => - dest <= 60; - src <= 2; - when "0001101" => - dest <= 46; - src <= 9; - when "0001110" => - dest <= 47; - src <= 17; - when "0001111" => - dest <= 35; - src <= 46; - when "0010000" => - dest <= 26; - src <= 16; - when "0010001" => - dest <= 61; - src <= 45; - when "0010010" => - dest <= 46; - src <= 24; - when "0010011" => - dest <= 17; - src <= 18; - when "0010100" => - dest <= 12; - src <= 11; - when "0010101" => - dest <= 52; - src <= 49; - when "0010110" => - dest <= 59; - src <= 31; - when "0010111" => - dest <= 46; - src <= 46; - when "0011000" => - dest <= 5; - src <= 58; - when "0011001" => - dest <= 46; - src <= 46; - when "0011010" => - dest <= 19; - src <= 32; - when "0011011" => - dest <= 8; - src <= 3; - when "0011100" => - dest <= 58; - src <= 8; - when "0011101" => - dest <= 55; - src <= 25; - when "0011110" => - dest <= 46; - src <= 23; - when "0011111" => - dest <= 46; - src <= 46; - when "0100000" => - dest <= 43; - src <= 46; - when "0100001" => - dest <= 34; - src <= 37; - when "0100010" => - dest <= 27; - src <= 1; - when "0100011" => - dest <= 62; - src <= 12; - when "0100100" => - dest <= 20; - src <= 26; - when "0100101" => - dest <= 6; - src <= 41; - when "0100110" => - dest <= 15; - src <= 46; - when "0100111" => - dest <= 0; - src <= 30; - when "0101000" => - dest <= 53; - src <= 50; - when "0101001" => - dest <= 57; - src <= 57; - when "0101010" => - dest <= 46; - src <= 22; - when "0101011" => - dest <= 25; - src <= 0; - when "0101100" => - dest <= 45; - src <= 39; - when "0101101" => - dest <= 16; - src <= 13; - when "0101110" => - dest <= 46; - src <= 20; - when "0101111" => - dest <= 46; - src <= 47; - when "0110000" => - dest <= 13; - src <= 27; - when "0110001" => - dest <= 46; - src <= 46; - when "0110010" => - dest <= 50; - src <= 59; - when "0110011" => - dest <= 46; - src <= 46; - when "0110100" => - dest <= 37; - src <= 4; - when "0110101" => - dest <= 33; - src <= 46; - when "0110110" => - dest <= 46; - src <= 46; - when "0110111" => - dest <= 24; - src <= 15; - when "0111000" => - dest <= 46; - src <= 55; - when "0111001" => - dest <= 23; - src <= 34; - when "0111010" => - dest <= 46; - src <= 46; - when "0111011" => - dest <= 7; - src <= 51; - when "0111100" => - dest <= 21; - src <= 46; - when "0111101" => - dest <= 56; - src <= 60; - when "0111110" => - dest <= 46; - src <= 46; - when "0111111" => - dest <= 28; - src <= 5; - when "1000000" => - dest <= 49; - src <= 46; - when "1000001" => - dest <= 14; - src <= 21; - when "1000010" => - dest <= 46; - src <= 7; - when "1000011" => - dest <= 42; - src <= 28; - when "1000100" => - dest <= 46; - src <= 46; - when "1000101" => - dest <= 36; - src <= 56; - when "1000110" => - dest <= 32; - src <= 35; - when "1000111" => - dest <= 31; - src <= 14; - when "1001000" => - dest <= 46; - src <= 46; - when "1001001" => - dest <= 29; - src <= 42; - when "1001010" => - dest <= 63; - src <= 48; - when "1001011" => - dest <= 22; - src <= 52; - when "1001100" => - dest <= 48; - src <= 61; - when "1001101" => - dest <= 46; - src <= 46; - when "1001110" => - dest <= 41; - src <= 63; - when "1001111" => - dest <= 46; - src <= 29; - when "1010000" => - dest <= 39; - src <= 6; - when "1010001" => - dest <= 30; - src <= 36; - when "1010010" => - dest <= 40; - src <= 46; - when "1010011" => - dest <= 46; - src <= 43; - when "1010100" => - dest <= 46; - src <= 53; - when "1010101" => - dest <= 10; - src <= 62; - when "1010110" => - dest <= 1; - src <= 44; - when others => - dest <= 46; - src <= 46; - - end case; - end process; - - end generate NI_NUM46; - - NI_NUM47 : if NI_NUM = 47 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 52; - src <= 47; - when "0000001" => - dest <= 55; - src <= 47; - when "0000010" => - dest <= 39; - src <= 47; - when "0000011" => - dest <= 19; - src <= 47; - when "0000100" => - dest <= 12; - src <= 39; - when "0000101" => - dest <= 47; - src <= 55; - when "0000110" => - dest <= 4; - src <= 34; - when "0000111" => - dest <= 45; - src <= 20; - when "0001000" => - dest <= 47; - src <= 11; - when "0001001" => - dest <= 5; - src <= 47; - when "0001010" => - dest <= 3; - src <= 47; - when "0001011" => - dest <= 10; - src <= 41; - when "0001100" => - dest <= 61; - src <= 3; - when "0001101" => - dest <= 47; - src <= 10; - when "0001110" => - dest <= 40; - src <= 18; - when "0001111" => - dest <= 36; - src <= 47; - when "0010000" => - dest <= 27; - src <= 17; - when "0010001" => - dest <= 62; - src <= 46; - when "0010010" => - dest <= 47; - src <= 25; - when "0010011" => - dest <= 18; - src <= 19; - when "0010100" => - dest <= 13; - src <= 12; - when "0010101" => - dest <= 53; - src <= 50; - when "0010110" => - dest <= 60; - src <= 24; - when "0010111" => - dest <= 47; - src <= 47; - when "0011000" => - dest <= 6; - src <= 59; - when "0011001" => - dest <= 47; - src <= 47; - when "0011010" => - dest <= 20; - src <= 33; - when "0011011" => - dest <= 9; - src <= 4; - when "0011100" => - dest <= 59; - src <= 9; - when "0011101" => - dest <= 48; - src <= 26; - when "0011110" => - dest <= 47; - src <= 16; - when "0011111" => - dest <= 47; - src <= 47; - when "0100000" => - dest <= 44; - src <= 47; - when "0100001" => - dest <= 35; - src <= 38; - when "0100010" => - dest <= 28; - src <= 2; - when "0100011" => - dest <= 63; - src <= 13; - when "0100100" => - dest <= 21; - src <= 27; - when "0100101" => - dest <= 7; - src <= 42; - when "0100110" => - dest <= 8; - src <= 47; - when "0100111" => - dest <= 1; - src <= 31; - when "0101000" => - dest <= 54; - src <= 51; - when "0101001" => - dest <= 58; - src <= 58; - when "0101010" => - dest <= 47; - src <= 23; - when "0101011" => - dest <= 26; - src <= 1; - when "0101100" => - dest <= 46; - src <= 32; - when "0101101" => - dest <= 17; - src <= 14; - when "0101110" => - dest <= 47; - src <= 21; - when "0101111" => - dest <= 47; - src <= 40; - when "0110000" => - dest <= 14; - src <= 28; - when "0110001" => - dest <= 47; - src <= 47; - when "0110010" => - dest <= 51; - src <= 60; - when "0110011" => - dest <= 47; - src <= 47; - when "0110100" => - dest <= 38; - src <= 5; - when "0110101" => - dest <= 34; - src <= 47; - when "0110110" => - dest <= 47; - src <= 47; - when "0110111" => - dest <= 25; - src <= 8; - when "0111000" => - dest <= 47; - src <= 48; - when "0111001" => - dest <= 16; - src <= 35; - when "0111010" => - dest <= 47; - src <= 47; - when "0111011" => - dest <= 0; - src <= 52; - when "0111100" => - dest <= 22; - src <= 47; - when "0111101" => - dest <= 57; - src <= 61; - when "0111110" => - dest <= 47; - src <= 47; - when "0111111" => - dest <= 29; - src <= 6; - when "1000000" => - dest <= 50; - src <= 47; - when "1000001" => - dest <= 15; - src <= 22; - when "1000010" => - dest <= 47; - src <= 0; - when "1000011" => - dest <= 43; - src <= 29; - when "1000100" => - dest <= 47; - src <= 47; - when "1000101" => - dest <= 37; - src <= 57; - when "1000110" => - dest <= 33; - src <= 36; - when "1000111" => - dest <= 24; - src <= 15; - when "1001000" => - dest <= 47; - src <= 47; - when "1001001" => - dest <= 30; - src <= 43; - when "1001010" => - dest <= 56; - src <= 49; - when "1001011" => - dest <= 23; - src <= 53; - when "1001100" => - dest <= 49; - src <= 62; - when "1001101" => - dest <= 47; - src <= 47; - when "1001110" => - dest <= 42; - src <= 56; - when "1001111" => - dest <= 47; - src <= 30; - when "1010000" => - dest <= 32; - src <= 7; - when "1010001" => - dest <= 31; - src <= 37; - when "1010010" => - dest <= 41; - src <= 47; - when "1010011" => - dest <= 47; - src <= 44; - when "1010100" => - dest <= 47; - src <= 54; - when "1010101" => - dest <= 11; - src <= 63; - when "1010110" => - dest <= 2; - src <= 45; - when others => - dest <= 47; - src <= 47; - - end case; - end process; - - end generate NI_NUM47; - - NI_NUM48 : if NI_NUM = 48 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 61; - src <= 48; - when "0000001" => - dest <= 56; - src <= 48; - when "0000010" => - dest <= 40; - src <= 48; - when "0000011" => - dest <= 28; - src <= 48; - when "0000100" => - dest <= 21; - src <= 40; - when "0000101" => - dest <= 48; - src <= 56; - when "0000110" => - dest <= 13; - src <= 43; - when "0000111" => - dest <= 54; - src <= 29; - when "0001000" => - dest <= 48; - src <= 20; - when "0001001" => - dest <= 14; - src <= 48; - when "0001010" => - dest <= 12; - src <= 48; - when "0001011" => - dest <= 19; - src <= 50; - when "0001100" => - dest <= 6; - src <= 12; - when "0001101" => - dest <= 48; - src <= 19; - when "0001110" => - dest <= 49; - src <= 27; - when "0001111" => - dest <= 45; - src <= 48; - when "0010000" => - dest <= 36; - src <= 26; - when "0010001" => - dest <= 7; - src <= 55; - when "0010010" => - dest <= 48; - src <= 34; - when "0010011" => - dest <= 27; - src <= 28; - when "0010100" => - dest <= 22; - src <= 21; - when "0010101" => - dest <= 62; - src <= 59; - when "0010110" => - dest <= 5; - src <= 33; - when "0010111" => - dest <= 48; - src <= 48; - when "0011000" => - dest <= 15; - src <= 4; - when "0011001" => - dest <= 48; - src <= 48; - when "0011010" => - dest <= 29; - src <= 42; - when "0011011" => - dest <= 18; - src <= 13; - when "0011100" => - dest <= 4; - src <= 18; - when "0011101" => - dest <= 57; - src <= 35; - when "0011110" => - dest <= 48; - src <= 25; - when "0011111" => - dest <= 48; - src <= 48; - when "0100000" => - dest <= 53; - src <= 48; - when "0100001" => - dest <= 44; - src <= 47; - when "0100010" => - dest <= 37; - src <= 11; - when "0100011" => - dest <= 0; - src <= 22; - when "0100100" => - dest <= 30; - src <= 36; - when "0100101" => - dest <= 8; - src <= 51; - when "0100110" => - dest <= 17; - src <= 48; - when "0100111" => - dest <= 10; - src <= 32; - when "0101000" => - dest <= 63; - src <= 60; - when "0101001" => - dest <= 3; - src <= 3; - when "0101010" => - dest <= 48; - src <= 24; - when "0101011" => - dest <= 35; - src <= 10; - when "0101100" => - dest <= 55; - src <= 41; - when "0101101" => - dest <= 26; - src <= 23; - when "0101110" => - dest <= 48; - src <= 30; - when "0101111" => - dest <= 48; - src <= 49; - when "0110000" => - dest <= 23; - src <= 37; - when "0110001" => - dest <= 48; - src <= 48; - when "0110010" => - dest <= 60; - src <= 5; - when "0110011" => - dest <= 48; - src <= 48; - when "0110100" => - dest <= 47; - src <= 14; - when "0110101" => - dest <= 43; - src <= 48; - when "0110110" => - dest <= 48; - src <= 48; - when "0110111" => - dest <= 34; - src <= 17; - when "0111000" => - dest <= 48; - src <= 57; - when "0111001" => - dest <= 25; - src <= 44; - when "0111010" => - dest <= 48; - src <= 48; - when "0111011" => - dest <= 9; - src <= 61; - when "0111100" => - dest <= 31; - src <= 48; - when "0111101" => - dest <= 2; - src <= 6; - when "0111110" => - dest <= 48; - src <= 48; - when "0111111" => - dest <= 38; - src <= 15; - when "1000000" => - dest <= 59; - src <= 48; - when "1000001" => - dest <= 16; - src <= 31; - when "1000010" => - dest <= 48; - src <= 9; - when "1000011" => - dest <= 52; - src <= 38; - when "1000100" => - dest <= 48; - src <= 48; - when "1000101" => - dest <= 46; - src <= 2; - when "1000110" => - dest <= 42; - src <= 45; - when "1000111" => - dest <= 33; - src <= 16; - when "1001000" => - dest <= 48; - src <= 48; - when "1001001" => - dest <= 39; - src <= 52; - when "1001010" => - dest <= 1; - src <= 58; - when "1001011" => - dest <= 24; - src <= 62; - when "1001100" => - dest <= 58; - src <= 7; - when "1001101" => - dest <= 48; - src <= 48; - when "1001110" => - dest <= 51; - src <= 1; - when "1001111" => - dest <= 48; - src <= 39; - when "1010000" => - dest <= 41; - src <= 8; - when "1010001" => - dest <= 32; - src <= 46; - when "1010010" => - dest <= 50; - src <= 48; - when "1010011" => - dest <= 48; - src <= 53; - when "1010100" => - dest <= 48; - src <= 63; - when "1010101" => - dest <= 20; - src <= 0; - when "1010110" => - dest <= 11; - src <= 54; - when others => - dest <= 48; - src <= 48; - - end case; - end process; - - end generate NI_NUM48; - - NI_NUM49 : if NI_NUM = 49 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 62; - src <= 49; - when "0000001" => - dest <= 57; - src <= 49; - when "0000010" => - dest <= 41; - src <= 49; - when "0000011" => - dest <= 29; - src <= 49; - when "0000100" => - dest <= 22; - src <= 41; - when "0000101" => - dest <= 49; - src <= 57; - when "0000110" => - dest <= 14; - src <= 44; - when "0000111" => - dest <= 55; - src <= 30; - when "0001000" => - dest <= 49; - src <= 21; - when "0001001" => - dest <= 15; - src <= 49; - when "0001010" => - dest <= 13; - src <= 49; - when "0001011" => - dest <= 20; - src <= 51; - when "0001100" => - dest <= 7; - src <= 13; - when "0001101" => - dest <= 49; - src <= 20; - when "0001110" => - dest <= 50; - src <= 28; - when "0001111" => - dest <= 46; - src <= 49; - when "0010000" => - dest <= 37; - src <= 27; - when "0010001" => - dest <= 0; - src <= 48; - when "0010010" => - dest <= 49; - src <= 35; - when "0010011" => - dest <= 28; - src <= 29; - when "0010100" => - dest <= 23; - src <= 22; - when "0010101" => - dest <= 63; - src <= 60; - when "0010110" => - dest <= 6; - src <= 34; - when "0010111" => - dest <= 49; - src <= 49; - when "0011000" => - dest <= 8; - src <= 5; - when "0011001" => - dest <= 49; - src <= 49; - when "0011010" => - dest <= 30; - src <= 43; - when "0011011" => - dest <= 19; - src <= 14; - when "0011100" => - dest <= 5; - src <= 19; - when "0011101" => - dest <= 58; - src <= 36; - when "0011110" => - dest <= 49; - src <= 26; - when "0011111" => - dest <= 49; - src <= 49; - when "0100000" => - dest <= 54; - src <= 49; - when "0100001" => - dest <= 45; - src <= 40; - when "0100010" => - dest <= 38; - src <= 12; - when "0100011" => - dest <= 1; - src <= 23; - when "0100100" => - dest <= 31; - src <= 37; - when "0100101" => - dest <= 9; - src <= 52; - when "0100110" => - dest <= 18; - src <= 49; - when "0100111" => - dest <= 11; - src <= 33; - when "0101000" => - dest <= 56; - src <= 61; - when "0101001" => - dest <= 4; - src <= 4; - when "0101010" => - dest <= 49; - src <= 25; - when "0101011" => - dest <= 36; - src <= 11; - when "0101100" => - dest <= 48; - src <= 42; - when "0101101" => - dest <= 27; - src <= 16; - when "0101110" => - dest <= 49; - src <= 31; - when "0101111" => - dest <= 49; - src <= 50; - when "0110000" => - dest <= 16; - src <= 38; - when "0110001" => - dest <= 49; - src <= 49; - when "0110010" => - dest <= 61; - src <= 6; - when "0110011" => - dest <= 49; - src <= 49; - when "0110100" => - dest <= 40; - src <= 15; - when "0110101" => - dest <= 44; - src <= 49; - when "0110110" => - dest <= 49; - src <= 49; - when "0110111" => - dest <= 35; - src <= 18; - when "0111000" => - dest <= 49; - src <= 58; - when "0111001" => - dest <= 26; - src <= 45; - when "0111010" => - dest <= 49; - src <= 49; - when "0111011" => - dest <= 10; - src <= 62; - when "0111100" => - dest <= 24; - src <= 49; - when "0111101" => - dest <= 3; - src <= 7; - when "0111110" => - dest <= 49; - src <= 49; - when "0111111" => - dest <= 39; - src <= 8; - when "1000000" => - dest <= 60; - src <= 49; - when "1000001" => - dest <= 17; - src <= 24; - when "1000010" => - dest <= 49; - src <= 10; - when "1000011" => - dest <= 53; - src <= 39; - when "1000100" => - dest <= 49; - src <= 49; - when "1000101" => - dest <= 47; - src <= 3; - when "1000110" => - dest <= 43; - src <= 46; - when "1000111" => - dest <= 34; - src <= 17; - when "1001000" => - dest <= 49; - src <= 49; - when "1001001" => - dest <= 32; - src <= 53; - when "1001010" => - dest <= 2; - src <= 59; - when "1001011" => - dest <= 25; - src <= 63; - when "1001100" => - dest <= 59; - src <= 0; - when "1001101" => - dest <= 49; - src <= 49; - when "1001110" => - dest <= 52; - src <= 2; - when "1001111" => - dest <= 49; - src <= 32; - when "1010000" => - dest <= 42; - src <= 9; - when "1010001" => - dest <= 33; - src <= 47; - when "1010010" => - dest <= 51; - src <= 49; - when "1010011" => - dest <= 49; - src <= 54; - when "1010100" => - dest <= 49; - src <= 56; - when "1010101" => - dest <= 21; - src <= 1; - when "1010110" => - dest <= 12; - src <= 55; - when others => - dest <= 49; - src <= 49; - - end case; - end process; - - end generate NI_NUM49; - - NI_NUM50 : if NI_NUM = 50 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 63; - src <= 50; - when "0000001" => - dest <= 58; - src <= 50; - when "0000010" => - dest <= 42; - src <= 50; - when "0000011" => - dest <= 30; - src <= 50; - when "0000100" => - dest <= 23; - src <= 42; - when "0000101" => - dest <= 50; - src <= 58; - when "0000110" => - dest <= 15; - src <= 45; - when "0000111" => - dest <= 48; - src <= 31; - when "0001000" => - dest <= 50; - src <= 22; - when "0001001" => - dest <= 8; - src <= 50; - when "0001010" => - dest <= 14; - src <= 50; - when "0001011" => - dest <= 21; - src <= 52; - when "0001100" => - dest <= 0; - src <= 14; - when "0001101" => - dest <= 50; - src <= 21; - when "0001110" => - dest <= 51; - src <= 29; - when "0001111" => - dest <= 47; - src <= 50; - when "0010000" => - dest <= 38; - src <= 28; - when "0010001" => - dest <= 1; - src <= 49; - when "0010010" => - dest <= 50; - src <= 36; - when "0010011" => - dest <= 29; - src <= 30; - when "0010100" => - dest <= 16; - src <= 23; - when "0010101" => - dest <= 56; - src <= 61; - when "0010110" => - dest <= 7; - src <= 35; - when "0010111" => - dest <= 50; - src <= 50; - when "0011000" => - dest <= 9; - src <= 6; - when "0011001" => - dest <= 50; - src <= 50; - when "0011010" => - dest <= 31; - src <= 44; - when "0011011" => - dest <= 20; - src <= 15; - when "0011100" => - dest <= 6; - src <= 20; - when "0011101" => - dest <= 59; - src <= 37; - when "0011110" => - dest <= 50; - src <= 27; - when "0011111" => - dest <= 50; - src <= 50; - when "0100000" => - dest <= 55; - src <= 50; - when "0100001" => - dest <= 46; - src <= 41; - when "0100010" => - dest <= 39; - src <= 13; - when "0100011" => - dest <= 2; - src <= 16; - when "0100100" => - dest <= 24; - src <= 38; - when "0100101" => - dest <= 10; - src <= 53; - when "0100110" => - dest <= 19; - src <= 50; - when "0100111" => - dest <= 12; - src <= 34; - when "0101000" => - dest <= 57; - src <= 62; - when "0101001" => - dest <= 5; - src <= 5; - when "0101010" => - dest <= 50; - src <= 26; - when "0101011" => - dest <= 37; - src <= 12; - when "0101100" => - dest <= 49; - src <= 43; - when "0101101" => - dest <= 28; - src <= 17; - when "0101110" => - dest <= 50; - src <= 24; - when "0101111" => - dest <= 50; - src <= 51; - when "0110000" => - dest <= 17; - src <= 39; - when "0110001" => - dest <= 50; - src <= 50; - when "0110010" => - dest <= 62; - src <= 7; - when "0110011" => - dest <= 50; - src <= 50; - when "0110100" => - dest <= 41; - src <= 8; - when "0110101" => - dest <= 45; - src <= 50; - when "0110110" => - dest <= 50; - src <= 50; - when "0110111" => - dest <= 36; - src <= 19; - when "0111000" => - dest <= 50; - src <= 59; - when "0111001" => - dest <= 27; - src <= 46; - when "0111010" => - dest <= 50; - src <= 50; - when "0111011" => - dest <= 11; - src <= 63; - when "0111100" => - dest <= 25; - src <= 50; - when "0111101" => - dest <= 4; - src <= 0; - when "0111110" => - dest <= 50; - src <= 50; - when "0111111" => - dest <= 32; - src <= 9; - when "1000000" => - dest <= 61; - src <= 50; - when "1000001" => - dest <= 18; - src <= 25; - when "1000010" => - dest <= 50; - src <= 11; - when "1000011" => - dest <= 54; - src <= 32; - when "1000100" => - dest <= 50; - src <= 50; - when "1000101" => - dest <= 40; - src <= 4; - when "1000110" => - dest <= 44; - src <= 47; - when "1000111" => - dest <= 35; - src <= 18; - when "1001000" => - dest <= 50; - src <= 50; - when "1001001" => - dest <= 33; - src <= 54; - when "1001010" => - dest <= 3; - src <= 60; - when "1001011" => - dest <= 26; - src <= 56; - when "1001100" => - dest <= 60; - src <= 1; - when "1001101" => - dest <= 50; - src <= 50; - when "1001110" => - dest <= 53; - src <= 3; - when "1001111" => - dest <= 50; - src <= 33; - when "1010000" => - dest <= 43; - src <= 10; - when "1010001" => - dest <= 34; - src <= 40; - when "1010010" => - dest <= 52; - src <= 50; - when "1010011" => - dest <= 50; - src <= 55; - when "1010100" => - dest <= 50; - src <= 57; - when "1010101" => - dest <= 22; - src <= 2; - when "1010110" => - dest <= 13; - src <= 48; - when others => - dest <= 50; - src <= 50; - - end case; - end process; - - end generate NI_NUM50; - - NI_NUM51 : if NI_NUM = 51 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 56; - src <= 51; - when "0000001" => - dest <= 59; - src <= 51; - when "0000010" => - dest <= 43; - src <= 51; - when "0000011" => - dest <= 31; - src <= 51; - when "0000100" => - dest <= 16; - src <= 43; - when "0000101" => - dest <= 51; - src <= 59; - when "0000110" => - dest <= 8; - src <= 46; - when "0000111" => - dest <= 49; - src <= 24; - when "0001000" => - dest <= 51; - src <= 23; - when "0001001" => - dest <= 9; - src <= 51; - when "0001010" => - dest <= 15; - src <= 51; - when "0001011" => - dest <= 22; - src <= 53; - when "0001100" => - dest <= 1; - src <= 15; - when "0001101" => - dest <= 51; - src <= 22; - when "0001110" => - dest <= 52; - src <= 30; - when "0001111" => - dest <= 40; - src <= 51; - when "0010000" => - dest <= 39; - src <= 29; - when "0010001" => - dest <= 2; - src <= 50; - when "0010010" => - dest <= 51; - src <= 37; - when "0010011" => - dest <= 30; - src <= 31; - when "0010100" => - dest <= 17; - src <= 16; - when "0010101" => - dest <= 57; - src <= 62; - when "0010110" => - dest <= 0; - src <= 36; - when "0010111" => - dest <= 51; - src <= 51; - when "0011000" => - dest <= 10; - src <= 7; - when "0011001" => - dest <= 51; - src <= 51; - when "0011010" => - dest <= 24; - src <= 45; - when "0011011" => - dest <= 21; - src <= 8; - when "0011100" => - dest <= 7; - src <= 21; - when "0011101" => - dest <= 60; - src <= 38; - when "0011110" => - dest <= 51; - src <= 28; - when "0011111" => - dest <= 51; - src <= 51; - when "0100000" => - dest <= 48; - src <= 51; - when "0100001" => - dest <= 47; - src <= 42; - when "0100010" => - dest <= 32; - src <= 14; - when "0100011" => - dest <= 3; - src <= 17; - when "0100100" => - dest <= 25; - src <= 39; - when "0100101" => - dest <= 11; - src <= 54; - when "0100110" => - dest <= 20; - src <= 51; - when "0100111" => - dest <= 13; - src <= 35; - when "0101000" => - dest <= 58; - src <= 63; - when "0101001" => - dest <= 6; - src <= 6; - when "0101010" => - dest <= 51; - src <= 27; - when "0101011" => - dest <= 38; - src <= 13; - when "0101100" => - dest <= 50; - src <= 44; - when "0101101" => - dest <= 29; - src <= 18; - when "0101110" => - dest <= 51; - src <= 25; - when "0101111" => - dest <= 51; - src <= 52; - when "0110000" => - dest <= 18; - src <= 32; - when "0110001" => - dest <= 51; - src <= 51; - when "0110010" => - dest <= 63; - src <= 0; - when "0110011" => - dest <= 51; - src <= 51; - when "0110100" => - dest <= 42; - src <= 9; - when "0110101" => - dest <= 46; - src <= 51; - when "0110110" => - dest <= 51; - src <= 51; - when "0110111" => - dest <= 37; - src <= 20; - when "0111000" => - dest <= 51; - src <= 60; - when "0111001" => - dest <= 28; - src <= 47; - when "0111010" => - dest <= 51; - src <= 51; - when "0111011" => - dest <= 12; - src <= 56; - when "0111100" => - dest <= 26; - src <= 51; - when "0111101" => - dest <= 5; - src <= 1; - when "0111110" => - dest <= 51; - src <= 51; - when "0111111" => - dest <= 33; - src <= 10; - when "1000000" => - dest <= 62; - src <= 51; - when "1000001" => - dest <= 19; - src <= 26; - when "1000010" => - dest <= 51; - src <= 12; - when "1000011" => - dest <= 55; - src <= 33; - when "1000100" => - dest <= 51; - src <= 51; - when "1000101" => - dest <= 41; - src <= 5; - when "1000110" => - dest <= 45; - src <= 40; - when "1000111" => - dest <= 36; - src <= 19; - when "1001000" => - dest <= 51; - src <= 51; - when "1001001" => - dest <= 34; - src <= 55; - when "1001010" => - dest <= 4; - src <= 61; - when "1001011" => - dest <= 27; - src <= 57; - when "1001100" => - dest <= 61; - src <= 2; - when "1001101" => - dest <= 51; - src <= 51; - when "1001110" => - dest <= 54; - src <= 4; - when "1001111" => - dest <= 51; - src <= 34; - when "1010000" => - dest <= 44; - src <= 11; - when "1010001" => - dest <= 35; - src <= 41; - when "1010010" => - dest <= 53; - src <= 51; - when "1010011" => - dest <= 51; - src <= 48; - when "1010100" => - dest <= 51; - src <= 58; - when "1010101" => - dest <= 23; - src <= 3; - when "1010110" => - dest <= 14; - src <= 49; - when others => - dest <= 51; - src <= 51; - - end case; - end process; - - end generate NI_NUM51; - - NI_NUM52 : if NI_NUM = 52 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 57; - src <= 52; - when "0000001" => - dest <= 60; - src <= 52; - when "0000010" => - dest <= 44; - src <= 52; - when "0000011" => - dest <= 24; - src <= 52; - when "0000100" => - dest <= 17; - src <= 44; - when "0000101" => - dest <= 52; - src <= 60; - when "0000110" => - dest <= 9; - src <= 47; - when "0000111" => - dest <= 50; - src <= 25; - when "0001000" => - dest <= 52; - src <= 16; - when "0001001" => - dest <= 10; - src <= 52; - when "0001010" => - dest <= 8; - src <= 52; - when "0001011" => - dest <= 23; - src <= 54; - when "0001100" => - dest <= 2; - src <= 8; - when "0001101" => - dest <= 52; - src <= 23; - when "0001110" => - dest <= 53; - src <= 31; - when "0001111" => - dest <= 41; - src <= 52; - when "0010000" => - dest <= 32; - src <= 30; - when "0010001" => - dest <= 3; - src <= 51; - when "0010010" => - dest <= 52; - src <= 38; - when "0010011" => - dest <= 31; - src <= 24; - when "0010100" => - dest <= 18; - src <= 17; - when "0010101" => - dest <= 58; - src <= 63; - when "0010110" => - dest <= 1; - src <= 37; - when "0010111" => - dest <= 52; - src <= 52; - when "0011000" => - dest <= 11; - src <= 0; - when "0011001" => - dest <= 52; - src <= 52; - when "0011010" => - dest <= 25; - src <= 46; - when "0011011" => - dest <= 22; - src <= 9; - when "0011100" => - dest <= 0; - src <= 22; - when "0011101" => - dest <= 61; - src <= 39; - when "0011110" => - dest <= 52; - src <= 29; - when "0011111" => - dest <= 52; - src <= 52; - when "0100000" => - dest <= 49; - src <= 52; - when "0100001" => - dest <= 40; - src <= 43; - when "0100010" => - dest <= 33; - src <= 15; - when "0100011" => - dest <= 4; - src <= 18; - when "0100100" => - dest <= 26; - src <= 32; - when "0100101" => - dest <= 12; - src <= 55; - when "0100110" => - dest <= 21; - src <= 52; - when "0100111" => - dest <= 14; - src <= 36; - when "0101000" => - dest <= 59; - src <= 56; - when "0101001" => - dest <= 7; - src <= 7; - when "0101010" => - dest <= 52; - src <= 28; - when "0101011" => - dest <= 39; - src <= 14; - when "0101100" => - dest <= 51; - src <= 45; - when "0101101" => - dest <= 30; - src <= 19; - when "0101110" => - dest <= 52; - src <= 26; - when "0101111" => - dest <= 52; - src <= 53; - when "0110000" => - dest <= 19; - src <= 33; - when "0110001" => - dest <= 52; - src <= 52; - when "0110010" => - dest <= 56; - src <= 1; - when "0110011" => - dest <= 52; - src <= 52; - when "0110100" => - dest <= 43; - src <= 10; - when "0110101" => - dest <= 47; - src <= 52; - when "0110110" => - dest <= 52; - src <= 52; - when "0110111" => - dest <= 38; - src <= 21; - when "0111000" => - dest <= 52; - src <= 61; - when "0111001" => - dest <= 29; - src <= 40; - when "0111010" => - dest <= 52; - src <= 52; - when "0111011" => - dest <= 13; - src <= 57; - when "0111100" => - dest <= 27; - src <= 52; - when "0111101" => - dest <= 6; - src <= 2; - when "0111110" => - dest <= 52; - src <= 52; - when "0111111" => - dest <= 34; - src <= 11; - when "1000000" => - dest <= 63; - src <= 52; - when "1000001" => - dest <= 20; - src <= 27; - when "1000010" => - dest <= 52; - src <= 13; - when "1000011" => - dest <= 48; - src <= 34; - when "1000100" => - dest <= 52; - src <= 52; - when "1000101" => - dest <= 42; - src <= 6; - when "1000110" => - dest <= 46; - src <= 41; - when "1000111" => - dest <= 37; - src <= 20; - when "1001000" => - dest <= 52; - src <= 52; - when "1001001" => - dest <= 35; - src <= 48; - when "1001010" => - dest <= 5; - src <= 62; - when "1001011" => - dest <= 28; - src <= 58; - when "1001100" => - dest <= 62; - src <= 3; - when "1001101" => - dest <= 52; - src <= 52; - when "1001110" => - dest <= 55; - src <= 5; - when "1001111" => - dest <= 52; - src <= 35; - when "1010000" => - dest <= 45; - src <= 12; - when "1010001" => - dest <= 36; - src <= 42; - when "1010010" => - dest <= 54; - src <= 52; - when "1010011" => - dest <= 52; - src <= 49; - when "1010100" => - dest <= 52; - src <= 59; - when "1010101" => - dest <= 16; - src <= 4; - when "1010110" => - dest <= 15; - src <= 50; - when others => - dest <= 52; - src <= 52; - - end case; - end process; - - end generate NI_NUM52; - - NI_NUM53 : if NI_NUM = 53 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 58; - src <= 53; - when "0000001" => - dest <= 61; - src <= 53; - when "0000010" => - dest <= 45; - src <= 53; - when "0000011" => - dest <= 25; - src <= 53; - when "0000100" => - dest <= 18; - src <= 45; - when "0000101" => - dest <= 53; - src <= 61; - when "0000110" => - dest <= 10; - src <= 40; - when "0000111" => - dest <= 51; - src <= 26; - when "0001000" => - dest <= 53; - src <= 17; - when "0001001" => - dest <= 11; - src <= 53; - when "0001010" => - dest <= 9; - src <= 53; - when "0001011" => - dest <= 16; - src <= 55; - when "0001100" => - dest <= 3; - src <= 9; - when "0001101" => - dest <= 53; - src <= 16; - when "0001110" => - dest <= 54; - src <= 24; - when "0001111" => - dest <= 42; - src <= 53; - when "0010000" => - dest <= 33; - src <= 31; - when "0010001" => - dest <= 4; - src <= 52; - when "0010010" => - dest <= 53; - src <= 39; - when "0010011" => - dest <= 24; - src <= 25; - when "0010100" => - dest <= 19; - src <= 18; - when "0010101" => - dest <= 59; - src <= 56; - when "0010110" => - dest <= 2; - src <= 38; - when "0010111" => - dest <= 53; - src <= 53; - when "0011000" => - dest <= 12; - src <= 1; - when "0011001" => - dest <= 53; - src <= 53; - when "0011010" => - dest <= 26; - src <= 47; - when "0011011" => - dest <= 23; - src <= 10; - when "0011100" => - dest <= 1; - src <= 23; - when "0011101" => - dest <= 62; - src <= 32; - when "0011110" => - dest <= 53; - src <= 30; - when "0011111" => - dest <= 53; - src <= 53; - when "0100000" => - dest <= 50; - src <= 53; - when "0100001" => - dest <= 41; - src <= 44; - when "0100010" => - dest <= 34; - src <= 8; - when "0100011" => - dest <= 5; - src <= 19; - when "0100100" => - dest <= 27; - src <= 33; - when "0100101" => - dest <= 13; - src <= 48; - when "0100110" => - dest <= 22; - src <= 53; - when "0100111" => - dest <= 15; - src <= 37; - when "0101000" => - dest <= 60; - src <= 57; - when "0101001" => - dest <= 0; - src <= 0; - when "0101010" => - dest <= 53; - src <= 29; - when "0101011" => - dest <= 32; - src <= 15; - when "0101100" => - dest <= 52; - src <= 46; - when "0101101" => - dest <= 31; - src <= 20; - when "0101110" => - dest <= 53; - src <= 27; - when "0101111" => - dest <= 53; - src <= 54; - when "0110000" => - dest <= 20; - src <= 34; - when "0110001" => - dest <= 53; - src <= 53; - when "0110010" => - dest <= 57; - src <= 2; - when "0110011" => - dest <= 53; - src <= 53; - when "0110100" => - dest <= 44; - src <= 11; - when "0110101" => - dest <= 40; - src <= 53; - when "0110110" => - dest <= 53; - src <= 53; - when "0110111" => - dest <= 39; - src <= 22; - when "0111000" => - dest <= 53; - src <= 62; - when "0111001" => - dest <= 30; - src <= 41; - when "0111010" => - dest <= 53; - src <= 53; - when "0111011" => - dest <= 14; - src <= 58; - when "0111100" => - dest <= 28; - src <= 53; - when "0111101" => - dest <= 7; - src <= 3; - when "0111110" => - dest <= 53; - src <= 53; - when "0111111" => - dest <= 35; - src <= 12; - when "1000000" => - dest <= 56; - src <= 53; - when "1000001" => - dest <= 21; - src <= 28; - when "1000010" => - dest <= 53; - src <= 14; - when "1000011" => - dest <= 49; - src <= 35; - when "1000100" => - dest <= 53; - src <= 53; - when "1000101" => - dest <= 43; - src <= 7; - when "1000110" => - dest <= 47; - src <= 42; - when "1000111" => - dest <= 38; - src <= 21; - when "1001000" => - dest <= 53; - src <= 53; - when "1001001" => - dest <= 36; - src <= 49; - when "1001010" => - dest <= 6; - src <= 63; - when "1001011" => - dest <= 29; - src <= 59; - when "1001100" => - dest <= 63; - src <= 4; - when "1001101" => - dest <= 53; - src <= 53; - when "1001110" => - dest <= 48; - src <= 6; - when "1001111" => - dest <= 53; - src <= 36; - when "1010000" => - dest <= 46; - src <= 13; - when "1010001" => - dest <= 37; - src <= 43; - when "1010010" => - dest <= 55; - src <= 53; - when "1010011" => - dest <= 53; - src <= 50; - when "1010100" => - dest <= 53; - src <= 60; - when "1010101" => - dest <= 17; - src <= 5; - when "1010110" => - dest <= 8; - src <= 51; - when others => - dest <= 53; - src <= 53; - - end case; - end process; - - end generate NI_NUM53; - - NI_NUM54 : if NI_NUM = 54 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 59; - src <= 54; - when "0000001" => - dest <= 62; - src <= 54; - when "0000010" => - dest <= 46; - src <= 54; - when "0000011" => - dest <= 26; - src <= 54; - when "0000100" => - dest <= 19; - src <= 46; - when "0000101" => - dest <= 54; - src <= 62; - when "0000110" => - dest <= 11; - src <= 41; - when "0000111" => - dest <= 52; - src <= 27; - when "0001000" => - dest <= 54; - src <= 18; - when "0001001" => - dest <= 12; - src <= 54; - when "0001010" => - dest <= 10; - src <= 54; - when "0001011" => - dest <= 17; - src <= 48; - when "0001100" => - dest <= 4; - src <= 10; - when "0001101" => - dest <= 54; - src <= 17; - when "0001110" => - dest <= 55; - src <= 25; - when "0001111" => - dest <= 43; - src <= 54; - when "0010000" => - dest <= 34; - src <= 24; - when "0010001" => - dest <= 5; - src <= 53; - when "0010010" => - dest <= 54; - src <= 32; - when "0010011" => - dest <= 25; - src <= 26; - when "0010100" => - dest <= 20; - src <= 19; - when "0010101" => - dest <= 60; - src <= 57; - when "0010110" => - dest <= 3; - src <= 39; - when "0010111" => - dest <= 54; - src <= 54; - when "0011000" => - dest <= 13; - src <= 2; - when "0011001" => - dest <= 54; - src <= 54; - when "0011010" => - dest <= 27; - src <= 40; - when "0011011" => - dest <= 16; - src <= 11; - when "0011100" => - dest <= 2; - src <= 16; - when "0011101" => - dest <= 63; - src <= 33; - when "0011110" => - dest <= 54; - src <= 31; - when "0011111" => - dest <= 54; - src <= 54; - when "0100000" => - dest <= 51; - src <= 54; - when "0100001" => - dest <= 42; - src <= 45; - when "0100010" => - dest <= 35; - src <= 9; - when "0100011" => - dest <= 6; - src <= 20; - when "0100100" => - dest <= 28; - src <= 34; - when "0100101" => - dest <= 14; - src <= 49; - when "0100110" => - dest <= 23; - src <= 54; - when "0100111" => - dest <= 8; - src <= 38; - when "0101000" => - dest <= 61; - src <= 58; - when "0101001" => - dest <= 1; - src <= 1; - when "0101010" => - dest <= 54; - src <= 30; - when "0101011" => - dest <= 33; - src <= 8; - when "0101100" => - dest <= 53; - src <= 47; - when "0101101" => - dest <= 24; - src <= 21; - when "0101110" => - dest <= 54; - src <= 28; - when "0101111" => - dest <= 54; - src <= 55; - when "0110000" => - dest <= 21; - src <= 35; - when "0110001" => - dest <= 54; - src <= 54; - when "0110010" => - dest <= 58; - src <= 3; - when "0110011" => - dest <= 54; - src <= 54; - when "0110100" => - dest <= 45; - src <= 12; - when "0110101" => - dest <= 41; - src <= 54; - when "0110110" => - dest <= 54; - src <= 54; - when "0110111" => - dest <= 32; - src <= 23; - when "0111000" => - dest <= 54; - src <= 63; - when "0111001" => - dest <= 31; - src <= 42; - when "0111010" => - dest <= 54; - src <= 54; - when "0111011" => - dest <= 15; - src <= 59; - when "0111100" => - dest <= 29; - src <= 54; - when "0111101" => - dest <= 0; - src <= 4; - when "0111110" => - dest <= 54; - src <= 54; - when "0111111" => - dest <= 36; - src <= 13; - when "1000000" => - dest <= 57; - src <= 54; - when "1000001" => - dest <= 22; - src <= 29; - when "1000010" => - dest <= 54; - src <= 15; - when "1000011" => - dest <= 50; - src <= 36; - when "1000100" => - dest <= 54; - src <= 54; - when "1000101" => - dest <= 44; - src <= 0; - when "1000110" => - dest <= 40; - src <= 43; - when "1000111" => - dest <= 39; - src <= 22; - when "1001000" => - dest <= 54; - src <= 54; - when "1001001" => - dest <= 37; - src <= 50; - when "1001010" => - dest <= 7; - src <= 56; - when "1001011" => - dest <= 30; - src <= 60; - when "1001100" => - dest <= 56; - src <= 5; - when "1001101" => - dest <= 54; - src <= 54; - when "1001110" => - dest <= 49; - src <= 7; - when "1001111" => - dest <= 54; - src <= 37; - when "1010000" => - dest <= 47; - src <= 14; - when "1010001" => - dest <= 38; - src <= 44; - when "1010010" => - dest <= 48; - src <= 54; - when "1010011" => - dest <= 54; - src <= 51; - when "1010100" => - dest <= 54; - src <= 61; - when "1010101" => - dest <= 18; - src <= 6; - when "1010110" => - dest <= 9; - src <= 52; - when others => - dest <= 54; - src <= 54; - - end case; - end process; - - end generate NI_NUM54; - - NI_NUM55 : if NI_NUM = 55 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 60; - src <= 55; - when "0000001" => - dest <= 63; - src <= 55; - when "0000010" => - dest <= 47; - src <= 55; - when "0000011" => - dest <= 27; - src <= 55; - when "0000100" => - dest <= 20; - src <= 47; - when "0000101" => - dest <= 55; - src <= 63; - when "0000110" => - dest <= 12; - src <= 42; - when "0000111" => - dest <= 53; - src <= 28; - when "0001000" => - dest <= 55; - src <= 19; - when "0001001" => - dest <= 13; - src <= 55; - when "0001010" => - dest <= 11; - src <= 55; - when "0001011" => - dest <= 18; - src <= 49; - when "0001100" => - dest <= 5; - src <= 11; - when "0001101" => - dest <= 55; - src <= 18; - when "0001110" => - dest <= 48; - src <= 26; - when "0001111" => - dest <= 44; - src <= 55; - when "0010000" => - dest <= 35; - src <= 25; - when "0010001" => - dest <= 6; - src <= 54; - when "0010010" => - dest <= 55; - src <= 33; - when "0010011" => - dest <= 26; - src <= 27; - when "0010100" => - dest <= 21; - src <= 20; - when "0010101" => - dest <= 61; - src <= 58; - when "0010110" => - dest <= 4; - src <= 32; - when "0010111" => - dest <= 55; - src <= 55; - when "0011000" => - dest <= 14; - src <= 3; - when "0011001" => - dest <= 55; - src <= 55; - when "0011010" => - dest <= 28; - src <= 41; - when "0011011" => - dest <= 17; - src <= 12; - when "0011100" => - dest <= 3; - src <= 17; - when "0011101" => - dest <= 56; - src <= 34; - when "0011110" => - dest <= 55; - src <= 24; - when "0011111" => - dest <= 55; - src <= 55; - when "0100000" => - dest <= 52; - src <= 55; - when "0100001" => - dest <= 43; - src <= 46; - when "0100010" => - dest <= 36; - src <= 10; - when "0100011" => - dest <= 7; - src <= 21; - when "0100100" => - dest <= 29; - src <= 35; - when "0100101" => - dest <= 15; - src <= 50; - when "0100110" => - dest <= 16; - src <= 55; - when "0100111" => - dest <= 9; - src <= 39; - when "0101000" => - dest <= 62; - src <= 59; - when "0101001" => - dest <= 2; - src <= 2; - when "0101010" => - dest <= 55; - src <= 31; - when "0101011" => - dest <= 34; - src <= 9; - when "0101100" => - dest <= 54; - src <= 40; - when "0101101" => - dest <= 25; - src <= 22; - when "0101110" => - dest <= 55; - src <= 29; - when "0101111" => - dest <= 55; - src <= 48; - when "0110000" => - dest <= 22; - src <= 36; - when "0110001" => - dest <= 55; - src <= 55; - when "0110010" => - dest <= 59; - src <= 4; - when "0110011" => - dest <= 55; - src <= 55; - when "0110100" => - dest <= 46; - src <= 13; - when "0110101" => - dest <= 42; - src <= 55; - when "0110110" => - dest <= 55; - src <= 55; - when "0110111" => - dest <= 33; - src <= 16; - when "0111000" => - dest <= 55; - src <= 56; - when "0111001" => - dest <= 24; - src <= 43; - when "0111010" => - dest <= 55; - src <= 55; - when "0111011" => - dest <= 8; - src <= 60; - when "0111100" => - dest <= 30; - src <= 55; - when "0111101" => - dest <= 1; - src <= 5; - when "0111110" => - dest <= 55; - src <= 55; - when "0111111" => - dest <= 37; - src <= 14; - when "1000000" => - dest <= 58; - src <= 55; - when "1000001" => - dest <= 23; - src <= 30; - when "1000010" => - dest <= 55; - src <= 8; - when "1000011" => - dest <= 51; - src <= 37; - when "1000100" => - dest <= 55; - src <= 55; - when "1000101" => - dest <= 45; - src <= 1; - when "1000110" => - dest <= 41; - src <= 44; - when "1000111" => - dest <= 32; - src <= 23; - when "1001000" => - dest <= 55; - src <= 55; - when "1001001" => - dest <= 38; - src <= 51; - when "1001010" => - dest <= 0; - src <= 57; - when "1001011" => - dest <= 31; - src <= 61; - when "1001100" => - dest <= 57; - src <= 6; - when "1001101" => - dest <= 55; - src <= 55; - when "1001110" => - dest <= 50; - src <= 0; - when "1001111" => - dest <= 55; - src <= 38; - when "1010000" => - dest <= 40; - src <= 15; - when "1010001" => - dest <= 39; - src <= 45; - when "1010010" => - dest <= 49; - src <= 55; - when "1010011" => - dest <= 55; - src <= 52; - when "1010100" => - dest <= 55; - src <= 62; - when "1010101" => - dest <= 19; - src <= 7; - when "1010110" => - dest <= 10; - src <= 53; - when others => - dest <= 55; - src <= 55; - - end case; - end process; - - end generate NI_NUM55; - - NI_NUM56 : if NI_NUM = 56 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 5; - src <= 56; - when "0000001" => - dest <= 0; - src <= 56; - when "0000010" => - dest <= 48; - src <= 56; - when "0000011" => - dest <= 36; - src <= 56; - when "0000100" => - dest <= 29; - src <= 48; - when "0000101" => - dest <= 56; - src <= 0; - when "0000110" => - dest <= 21; - src <= 51; - when "0000111" => - dest <= 62; - src <= 37; - when "0001000" => - dest <= 56; - src <= 28; - when "0001001" => - dest <= 22; - src <= 56; - when "0001010" => - dest <= 20; - src <= 56; - when "0001011" => - dest <= 27; - src <= 58; - when "0001100" => - dest <= 14; - src <= 20; - when "0001101" => - dest <= 56; - src <= 27; - when "0001110" => - dest <= 57; - src <= 35; - when "0001111" => - dest <= 53; - src <= 56; - when "0010000" => - dest <= 44; - src <= 34; - when "0010001" => - dest <= 15; - src <= 63; - when "0010010" => - dest <= 56; - src <= 42; - when "0010011" => - dest <= 35; - src <= 36; - when "0010100" => - dest <= 30; - src <= 29; - when "0010101" => - dest <= 6; - src <= 3; - when "0010110" => - dest <= 13; - src <= 41; - when "0010111" => - dest <= 56; - src <= 56; - when "0011000" => - dest <= 23; - src <= 12; - when "0011001" => - dest <= 56; - src <= 56; - when "0011010" => - dest <= 37; - src <= 50; - when "0011011" => - dest <= 26; - src <= 21; - when "0011100" => - dest <= 12; - src <= 26; - when "0011101" => - dest <= 1; - src <= 43; - when "0011110" => - dest <= 56; - src <= 33; - when "0011111" => - dest <= 56; - src <= 56; - when "0100000" => - dest <= 61; - src <= 56; - when "0100001" => - dest <= 52; - src <= 55; - when "0100010" => - dest <= 45; - src <= 19; - when "0100011" => - dest <= 8; - src <= 30; - when "0100100" => - dest <= 38; - src <= 44; - when "0100101" => - dest <= 16; - src <= 59; - when "0100110" => - dest <= 25; - src <= 56; - when "0100111" => - dest <= 18; - src <= 40; - when "0101000" => - dest <= 7; - src <= 4; - when "0101001" => - dest <= 11; - src <= 11; - when "0101010" => - dest <= 56; - src <= 32; - when "0101011" => - dest <= 43; - src <= 18; - when "0101100" => - dest <= 63; - src <= 49; - when "0101101" => - dest <= 34; - src <= 31; - when "0101110" => - dest <= 56; - src <= 38; - when "0101111" => - dest <= 56; - src <= 57; - when "0110000" => - dest <= 31; - src <= 45; - when "0110001" => - dest <= 56; - src <= 56; - when "0110010" => - dest <= 4; - src <= 13; - when "0110011" => - dest <= 56; - src <= 56; - when "0110100" => - dest <= 55; - src <= 22; - when "0110101" => - dest <= 51; - src <= 56; - when "0110110" => - dest <= 56; - src <= 56; - when "0110111" => - dest <= 42; - src <= 25; - when "0111000" => - dest <= 56; - src <= 1; - when "0111001" => - dest <= 33; - src <= 52; - when "0111010" => - dest <= 56; - src <= 56; - when "0111011" => - dest <= 17; - src <= 5; - when "0111100" => - dest <= 39; - src <= 56; - when "0111101" => - dest <= 10; - src <= 14; - when "0111110" => - dest <= 56; - src <= 56; - when "0111111" => - dest <= 46; - src <= 23; - when "1000000" => - dest <= 3; - src <= 56; - when "1000001" => - dest <= 24; - src <= 39; - when "1000010" => - dest <= 56; - src <= 17; - when "1000011" => - dest <= 60; - src <= 46; - when "1000100" => - dest <= 56; - src <= 56; - when "1000101" => - dest <= 54; - src <= 10; - when "1000110" => - dest <= 50; - src <= 53; - when "1000111" => - dest <= 41; - src <= 24; - when "1001000" => - dest <= 56; - src <= 56; - when "1001001" => - dest <= 47; - src <= 60; - when "1001010" => - dest <= 9; - src <= 2; - when "1001011" => - dest <= 32; - src <= 6; - when "1001100" => - dest <= 2; - src <= 15; - when "1001101" => - dest <= 56; - src <= 56; - when "1001110" => - dest <= 59; - src <= 9; - when "1001111" => - dest <= 56; - src <= 47; - when "1010000" => - dest <= 49; - src <= 16; - when "1010001" => - dest <= 40; - src <= 54; - when "1010010" => - dest <= 58; - src <= 56; - when "1010011" => - dest <= 56; - src <= 61; - when "1010100" => - dest <= 56; - src <= 7; - when "1010101" => - dest <= 28; - src <= 8; - when "1010110" => - dest <= 19; - src <= 62; - when others => - dest <= 56; - src <= 56; - - end case; - end process; - - end generate NI_NUM56; - - NI_NUM57 : if NI_NUM = 57 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 6; - src <= 57; - when "0000001" => - dest <= 1; - src <= 57; - when "0000010" => - dest <= 49; - src <= 57; - when "0000011" => - dest <= 37; - src <= 57; - when "0000100" => - dest <= 30; - src <= 49; - when "0000101" => - dest <= 57; - src <= 1; - when "0000110" => - dest <= 22; - src <= 52; - when "0000111" => - dest <= 63; - src <= 38; - when "0001000" => - dest <= 57; - src <= 29; - when "0001001" => - dest <= 23; - src <= 57; - when "0001010" => - dest <= 21; - src <= 57; - when "0001011" => - dest <= 28; - src <= 59; - when "0001100" => - dest <= 15; - src <= 21; - when "0001101" => - dest <= 57; - src <= 28; - when "0001110" => - dest <= 58; - src <= 36; - when "0001111" => - dest <= 54; - src <= 57; - when "0010000" => - dest <= 45; - src <= 35; - when "0010001" => - dest <= 8; - src <= 56; - when "0010010" => - dest <= 57; - src <= 43; - when "0010011" => - dest <= 36; - src <= 37; - when "0010100" => - dest <= 31; - src <= 30; - when "0010101" => - dest <= 7; - src <= 4; - when "0010110" => - dest <= 14; - src <= 42; - when "0010111" => - dest <= 57; - src <= 57; - when "0011000" => - dest <= 16; - src <= 13; - when "0011001" => - dest <= 57; - src <= 57; - when "0011010" => - dest <= 38; - src <= 51; - when "0011011" => - dest <= 27; - src <= 22; - when "0011100" => - dest <= 13; - src <= 27; - when "0011101" => - dest <= 2; - src <= 44; - when "0011110" => - dest <= 57; - src <= 34; - when "0011111" => - dest <= 57; - src <= 57; - when "0100000" => - dest <= 62; - src <= 57; - when "0100001" => - dest <= 53; - src <= 48; - when "0100010" => - dest <= 46; - src <= 20; - when "0100011" => - dest <= 9; - src <= 31; - when "0100100" => - dest <= 39; - src <= 45; - when "0100101" => - dest <= 17; - src <= 60; - when "0100110" => - dest <= 26; - src <= 57; - when "0100111" => - dest <= 19; - src <= 41; - when "0101000" => - dest <= 0; - src <= 5; - when "0101001" => - dest <= 12; - src <= 12; - when "0101010" => - dest <= 57; - src <= 33; - when "0101011" => - dest <= 44; - src <= 19; - when "0101100" => - dest <= 56; - src <= 50; - when "0101101" => - dest <= 35; - src <= 24; - when "0101110" => - dest <= 57; - src <= 39; - when "0101111" => - dest <= 57; - src <= 58; - when "0110000" => - dest <= 24; - src <= 46; - when "0110001" => - dest <= 57; - src <= 57; - when "0110010" => - dest <= 5; - src <= 14; - when "0110011" => - dest <= 57; - src <= 57; - when "0110100" => - dest <= 48; - src <= 23; - when "0110101" => - dest <= 52; - src <= 57; - when "0110110" => - dest <= 57; - src <= 57; - when "0110111" => - dest <= 43; - src <= 26; - when "0111000" => - dest <= 57; - src <= 2; - when "0111001" => - dest <= 34; - src <= 53; - when "0111010" => - dest <= 57; - src <= 57; - when "0111011" => - dest <= 18; - src <= 6; - when "0111100" => - dest <= 32; - src <= 57; - when "0111101" => - dest <= 11; - src <= 15; - when "0111110" => - dest <= 57; - src <= 57; - when "0111111" => - dest <= 47; - src <= 16; - when "1000000" => - dest <= 4; - src <= 57; - when "1000001" => - dest <= 25; - src <= 32; - when "1000010" => - dest <= 57; - src <= 18; - when "1000011" => - dest <= 61; - src <= 47; - when "1000100" => - dest <= 57; - src <= 57; - when "1000101" => - dest <= 55; - src <= 11; - when "1000110" => - dest <= 51; - src <= 54; - when "1000111" => - dest <= 42; - src <= 25; - when "1001000" => - dest <= 57; - src <= 57; - when "1001001" => - dest <= 40; - src <= 61; - when "1001010" => - dest <= 10; - src <= 3; - when "1001011" => - dest <= 33; - src <= 7; - when "1001100" => - dest <= 3; - src <= 8; - when "1001101" => - dest <= 57; - src <= 57; - when "1001110" => - dest <= 60; - src <= 10; - when "1001111" => - dest <= 57; - src <= 40; - when "1010000" => - dest <= 50; - src <= 17; - when "1010001" => - dest <= 41; - src <= 55; - when "1010010" => - dest <= 59; - src <= 57; - when "1010011" => - dest <= 57; - src <= 62; - when "1010100" => - dest <= 57; - src <= 0; - when "1010101" => - dest <= 29; - src <= 9; - when "1010110" => - dest <= 20; - src <= 63; - when others => - dest <= 57; - src <= 57; - - end case; - end process; - - end generate NI_NUM57; - - NI_NUM58 : if NI_NUM = 58 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 7; - src <= 58; - when "0000001" => - dest <= 2; - src <= 58; - when "0000010" => - dest <= 50; - src <= 58; - when "0000011" => - dest <= 38; - src <= 58; - when "0000100" => - dest <= 31; - src <= 50; - when "0000101" => - dest <= 58; - src <= 2; - when "0000110" => - dest <= 23; - src <= 53; - when "0000111" => - dest <= 56; - src <= 39; - when "0001000" => - dest <= 58; - src <= 30; - when "0001001" => - dest <= 16; - src <= 58; - when "0001010" => - dest <= 22; - src <= 58; - when "0001011" => - dest <= 29; - src <= 60; - when "0001100" => - dest <= 8; - src <= 22; - when "0001101" => - dest <= 58; - src <= 29; - when "0001110" => - dest <= 59; - src <= 37; - when "0001111" => - dest <= 55; - src <= 58; - when "0010000" => - dest <= 46; - src <= 36; - when "0010001" => - dest <= 9; - src <= 57; - when "0010010" => - dest <= 58; - src <= 44; - when "0010011" => - dest <= 37; - src <= 38; - when "0010100" => - dest <= 24; - src <= 31; - when "0010101" => - dest <= 0; - src <= 5; - when "0010110" => - dest <= 15; - src <= 43; - when "0010111" => - dest <= 58; - src <= 58; - when "0011000" => - dest <= 17; - src <= 14; - when "0011001" => - dest <= 58; - src <= 58; - when "0011010" => - dest <= 39; - src <= 52; - when "0011011" => - dest <= 28; - src <= 23; - when "0011100" => - dest <= 14; - src <= 28; - when "0011101" => - dest <= 3; - src <= 45; - when "0011110" => - dest <= 58; - src <= 35; - when "0011111" => - dest <= 58; - src <= 58; - when "0100000" => - dest <= 63; - src <= 58; - when "0100001" => - dest <= 54; - src <= 49; - when "0100010" => - dest <= 47; - src <= 21; - when "0100011" => - dest <= 10; - src <= 24; - when "0100100" => - dest <= 32; - src <= 46; - when "0100101" => - dest <= 18; - src <= 61; - when "0100110" => - dest <= 27; - src <= 58; - when "0100111" => - dest <= 20; - src <= 42; - when "0101000" => - dest <= 1; - src <= 6; - when "0101001" => - dest <= 13; - src <= 13; - when "0101010" => - dest <= 58; - src <= 34; - when "0101011" => - dest <= 45; - src <= 20; - when "0101100" => - dest <= 57; - src <= 51; - when "0101101" => - dest <= 36; - src <= 25; - when "0101110" => - dest <= 58; - src <= 32; - when "0101111" => - dest <= 58; - src <= 59; - when "0110000" => - dest <= 25; - src <= 47; - when "0110001" => - dest <= 58; - src <= 58; - when "0110010" => - dest <= 6; - src <= 15; - when "0110011" => - dest <= 58; - src <= 58; - when "0110100" => - dest <= 49; - src <= 16; - when "0110101" => - dest <= 53; - src <= 58; - when "0110110" => - dest <= 58; - src <= 58; - when "0110111" => - dest <= 44; - src <= 27; - when "0111000" => - dest <= 58; - src <= 3; - when "0111001" => - dest <= 35; - src <= 54; - when "0111010" => - dest <= 58; - src <= 58; - when "0111011" => - dest <= 19; - src <= 7; - when "0111100" => - dest <= 33; - src <= 58; - when "0111101" => - dest <= 12; - src <= 8; - when "0111110" => - dest <= 58; - src <= 58; - when "0111111" => - dest <= 40; - src <= 17; - when "1000000" => - dest <= 5; - src <= 58; - when "1000001" => - dest <= 26; - src <= 33; - when "1000010" => - dest <= 58; - src <= 19; - when "1000011" => - dest <= 62; - src <= 40; - when "1000100" => - dest <= 58; - src <= 58; - when "1000101" => - dest <= 48; - src <= 12; - when "1000110" => - dest <= 52; - src <= 55; - when "1000111" => - dest <= 43; - src <= 26; - when "1001000" => - dest <= 58; - src <= 58; - when "1001001" => - dest <= 41; - src <= 62; - when "1001010" => - dest <= 11; - src <= 4; - when "1001011" => - dest <= 34; - src <= 0; - when "1001100" => - dest <= 4; - src <= 9; - when "1001101" => - dest <= 58; - src <= 58; - when "1001110" => - dest <= 61; - src <= 11; - when "1001111" => - dest <= 58; - src <= 41; - when "1010000" => - dest <= 51; - src <= 18; - when "1010001" => - dest <= 42; - src <= 48; - when "1010010" => - dest <= 60; - src <= 58; - when "1010011" => - dest <= 58; - src <= 63; - when "1010100" => - dest <= 58; - src <= 1; - when "1010101" => - dest <= 30; - src <= 10; - when "1010110" => - dest <= 21; - src <= 56; - when others => - dest <= 58; - src <= 58; - - end case; - end process; - - end generate NI_NUM58; - - NI_NUM59 : if NI_NUM = 59 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 0; - src <= 59; - when "0000001" => - dest <= 3; - src <= 59; - when "0000010" => - dest <= 51; - src <= 59; - when "0000011" => - dest <= 39; - src <= 59; - when "0000100" => - dest <= 24; - src <= 51; - when "0000101" => - dest <= 59; - src <= 3; - when "0000110" => - dest <= 16; - src <= 54; - when "0000111" => - dest <= 57; - src <= 32; - when "0001000" => - dest <= 59; - src <= 31; - when "0001001" => - dest <= 17; - src <= 59; - when "0001010" => - dest <= 23; - src <= 59; - when "0001011" => - dest <= 30; - src <= 61; - when "0001100" => - dest <= 9; - src <= 23; - when "0001101" => - dest <= 59; - src <= 30; - when "0001110" => - dest <= 60; - src <= 38; - when "0001111" => - dest <= 48; - src <= 59; - when "0010000" => - dest <= 47; - src <= 37; - when "0010001" => - dest <= 10; - src <= 58; - when "0010010" => - dest <= 59; - src <= 45; - when "0010011" => - dest <= 38; - src <= 39; - when "0010100" => - dest <= 25; - src <= 24; - when "0010101" => - dest <= 1; - src <= 6; - when "0010110" => - dest <= 8; - src <= 44; - when "0010111" => - dest <= 59; - src <= 59; - when "0011000" => - dest <= 18; - src <= 15; - when "0011001" => - dest <= 59; - src <= 59; - when "0011010" => - dest <= 32; - src <= 53; - when "0011011" => - dest <= 29; - src <= 16; - when "0011100" => - dest <= 15; - src <= 29; - when "0011101" => - dest <= 4; - src <= 46; - when "0011110" => - dest <= 59; - src <= 36; - when "0011111" => - dest <= 59; - src <= 59; - when "0100000" => - dest <= 56; - src <= 59; - when "0100001" => - dest <= 55; - src <= 50; - when "0100010" => - dest <= 40; - src <= 22; - when "0100011" => - dest <= 11; - src <= 25; - when "0100100" => - dest <= 33; - src <= 47; - when "0100101" => - dest <= 19; - src <= 62; - when "0100110" => - dest <= 28; - src <= 59; - when "0100111" => - dest <= 21; - src <= 43; - when "0101000" => - dest <= 2; - src <= 7; - when "0101001" => - dest <= 14; - src <= 14; - when "0101010" => - dest <= 59; - src <= 35; - when "0101011" => - dest <= 46; - src <= 21; - when "0101100" => - dest <= 58; - src <= 52; - when "0101101" => - dest <= 37; - src <= 26; - when "0101110" => - dest <= 59; - src <= 33; - when "0101111" => - dest <= 59; - src <= 60; - when "0110000" => - dest <= 26; - src <= 40; - when "0110001" => - dest <= 59; - src <= 59; - when "0110010" => - dest <= 7; - src <= 8; - when "0110011" => - dest <= 59; - src <= 59; - when "0110100" => - dest <= 50; - src <= 17; - when "0110101" => - dest <= 54; - src <= 59; - when "0110110" => - dest <= 59; - src <= 59; - when "0110111" => - dest <= 45; - src <= 28; - when "0111000" => - dest <= 59; - src <= 4; - when "0111001" => - dest <= 36; - src <= 55; - when "0111010" => - dest <= 59; - src <= 59; - when "0111011" => - dest <= 20; - src <= 0; - when "0111100" => - dest <= 34; - src <= 59; - when "0111101" => - dest <= 13; - src <= 9; - when "0111110" => - dest <= 59; - src <= 59; - when "0111111" => - dest <= 41; - src <= 18; - when "1000000" => - dest <= 6; - src <= 59; - when "1000001" => - dest <= 27; - src <= 34; - when "1000010" => - dest <= 59; - src <= 20; - when "1000011" => - dest <= 63; - src <= 41; - when "1000100" => - dest <= 59; - src <= 59; - when "1000101" => - dest <= 49; - src <= 13; - when "1000110" => - dest <= 53; - src <= 48; - when "1000111" => - dest <= 44; - src <= 27; - when "1001000" => - dest <= 59; - src <= 59; - when "1001001" => - dest <= 42; - src <= 63; - when "1001010" => - dest <= 12; - src <= 5; - when "1001011" => - dest <= 35; - src <= 1; - when "1001100" => - dest <= 5; - src <= 10; - when "1001101" => - dest <= 59; - src <= 59; - when "1001110" => - dest <= 62; - src <= 12; - when "1001111" => - dest <= 59; - src <= 42; - when "1010000" => - dest <= 52; - src <= 19; - when "1010001" => - dest <= 43; - src <= 49; - when "1010010" => - dest <= 61; - src <= 59; - when "1010011" => - dest <= 59; - src <= 56; - when "1010100" => - dest <= 59; - src <= 2; - when "1010101" => - dest <= 31; - src <= 11; - when "1010110" => - dest <= 22; - src <= 57; - when others => - dest <= 59; - src <= 59; - - end case; - end process; - - end generate NI_NUM59; - - NI_NUM60 : if NI_NUM = 60 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 1; - src <= 60; - when "0000001" => - dest <= 4; - src <= 60; - when "0000010" => - dest <= 52; - src <= 60; - when "0000011" => - dest <= 32; - src <= 60; - when "0000100" => - dest <= 25; - src <= 52; - when "0000101" => - dest <= 60; - src <= 4; - when "0000110" => - dest <= 17; - src <= 55; - when "0000111" => - dest <= 58; - src <= 33; - when "0001000" => - dest <= 60; - src <= 24; - when "0001001" => - dest <= 18; - src <= 60; - when "0001010" => - dest <= 16; - src <= 60; - when "0001011" => - dest <= 31; - src <= 62; - when "0001100" => - dest <= 10; - src <= 16; - when "0001101" => - dest <= 60; - src <= 31; - when "0001110" => - dest <= 61; - src <= 39; - when "0001111" => - dest <= 49; - src <= 60; - when "0010000" => - dest <= 40; - src <= 38; - when "0010001" => - dest <= 11; - src <= 59; - when "0010010" => - dest <= 60; - src <= 46; - when "0010011" => - dest <= 39; - src <= 32; - when "0010100" => - dest <= 26; - src <= 25; - when "0010101" => - dest <= 2; - src <= 7; - when "0010110" => - dest <= 9; - src <= 45; - when "0010111" => - dest <= 60; - src <= 60; - when "0011000" => - dest <= 19; - src <= 8; - when "0011001" => - dest <= 60; - src <= 60; - when "0011010" => - dest <= 33; - src <= 54; - when "0011011" => - dest <= 30; - src <= 17; - when "0011100" => - dest <= 8; - src <= 30; - when "0011101" => - dest <= 5; - src <= 47; - when "0011110" => - dest <= 60; - src <= 37; - when "0011111" => - dest <= 60; - src <= 60; - when "0100000" => - dest <= 57; - src <= 60; - when "0100001" => - dest <= 48; - src <= 51; - when "0100010" => - dest <= 41; - src <= 23; - when "0100011" => - dest <= 12; - src <= 26; - when "0100100" => - dest <= 34; - src <= 40; - when "0100101" => - dest <= 20; - src <= 63; - when "0100110" => - dest <= 29; - src <= 60; - when "0100111" => - dest <= 22; - src <= 44; - when "0101000" => - dest <= 3; - src <= 0; - when "0101001" => - dest <= 15; - src <= 15; - when "0101010" => - dest <= 60; - src <= 36; - when "0101011" => - dest <= 47; - src <= 22; - when "0101100" => - dest <= 59; - src <= 53; - when "0101101" => - dest <= 38; - src <= 27; - when "0101110" => - dest <= 60; - src <= 34; - when "0101111" => - dest <= 60; - src <= 61; - when "0110000" => - dest <= 27; - src <= 41; - when "0110001" => - dest <= 60; - src <= 60; - when "0110010" => - dest <= 0; - src <= 9; - when "0110011" => - dest <= 60; - src <= 60; - when "0110100" => - dest <= 51; - src <= 18; - when "0110101" => - dest <= 55; - src <= 60; - when "0110110" => - dest <= 60; - src <= 60; - when "0110111" => - dest <= 46; - src <= 29; - when "0111000" => - dest <= 60; - src <= 5; - when "0111001" => - dest <= 37; - src <= 48; - when "0111010" => - dest <= 60; - src <= 60; - when "0111011" => - dest <= 21; - src <= 1; - when "0111100" => - dest <= 35; - src <= 60; - when "0111101" => - dest <= 14; - src <= 10; - when "0111110" => - dest <= 60; - src <= 60; - when "0111111" => - dest <= 42; - src <= 19; - when "1000000" => - dest <= 7; - src <= 60; - when "1000001" => - dest <= 28; - src <= 35; - when "1000010" => - dest <= 60; - src <= 21; - when "1000011" => - dest <= 56; - src <= 42; - when "1000100" => - dest <= 60; - src <= 60; - when "1000101" => - dest <= 50; - src <= 14; - when "1000110" => - dest <= 54; - src <= 49; - when "1000111" => - dest <= 45; - src <= 28; - when "1001000" => - dest <= 60; - src <= 60; - when "1001001" => - dest <= 43; - src <= 56; - when "1001010" => - dest <= 13; - src <= 6; - when "1001011" => - dest <= 36; - src <= 2; - when "1001100" => - dest <= 6; - src <= 11; - when "1001101" => - dest <= 60; - src <= 60; - when "1001110" => - dest <= 63; - src <= 13; - when "1001111" => - dest <= 60; - src <= 43; - when "1010000" => - dest <= 53; - src <= 20; - when "1010001" => - dest <= 44; - src <= 50; - when "1010010" => - dest <= 62; - src <= 60; - when "1010011" => - dest <= 60; - src <= 57; - when "1010100" => - dest <= 60; - src <= 3; - when "1010101" => - dest <= 24; - src <= 12; - when "1010110" => - dest <= 23; - src <= 58; - when others => - dest <= 60; - src <= 60; - - end case; - end process; - - end generate NI_NUM60; - - NI_NUM61 : if NI_NUM = 61 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 2; - src <= 61; - when "0000001" => - dest <= 5; - src <= 61; - when "0000010" => - dest <= 53; - src <= 61; - when "0000011" => - dest <= 33; - src <= 61; - when "0000100" => - dest <= 26; - src <= 53; - when "0000101" => - dest <= 61; - src <= 5; - when "0000110" => - dest <= 18; - src <= 48; - when "0000111" => - dest <= 59; - src <= 34; - when "0001000" => - dest <= 61; - src <= 25; - when "0001001" => - dest <= 19; - src <= 61; - when "0001010" => - dest <= 17; - src <= 61; - when "0001011" => - dest <= 24; - src <= 63; - when "0001100" => - dest <= 11; - src <= 17; - when "0001101" => - dest <= 61; - src <= 24; - when "0001110" => - dest <= 62; - src <= 32; - when "0001111" => - dest <= 50; - src <= 61; - when "0010000" => - dest <= 41; - src <= 39; - when "0010001" => - dest <= 12; - src <= 60; - when "0010010" => - dest <= 61; - src <= 47; - when "0010011" => - dest <= 32; - src <= 33; - when "0010100" => - dest <= 27; - src <= 26; - when "0010101" => - dest <= 3; - src <= 0; - when "0010110" => - dest <= 10; - src <= 46; - when "0010111" => - dest <= 61; - src <= 61; - when "0011000" => - dest <= 20; - src <= 9; - when "0011001" => - dest <= 61; - src <= 61; - when "0011010" => - dest <= 34; - src <= 55; - when "0011011" => - dest <= 31; - src <= 18; - when "0011100" => - dest <= 9; - src <= 31; - when "0011101" => - dest <= 6; - src <= 40; - when "0011110" => - dest <= 61; - src <= 38; - when "0011111" => - dest <= 61; - src <= 61; - when "0100000" => - dest <= 58; - src <= 61; - when "0100001" => - dest <= 49; - src <= 52; - when "0100010" => - dest <= 42; - src <= 16; - when "0100011" => - dest <= 13; - src <= 27; - when "0100100" => - dest <= 35; - src <= 41; - when "0100101" => - dest <= 21; - src <= 56; - when "0100110" => - dest <= 30; - src <= 61; - when "0100111" => - dest <= 23; - src <= 45; - when "0101000" => - dest <= 4; - src <= 1; - when "0101001" => - dest <= 8; - src <= 8; - when "0101010" => - dest <= 61; - src <= 37; - when "0101011" => - dest <= 40; - src <= 23; - when "0101100" => - dest <= 60; - src <= 54; - when "0101101" => - dest <= 39; - src <= 28; - when "0101110" => - dest <= 61; - src <= 35; - when "0101111" => - dest <= 61; - src <= 62; - when "0110000" => - dest <= 28; - src <= 42; - when "0110001" => - dest <= 61; - src <= 61; - when "0110010" => - dest <= 1; - src <= 10; - when "0110011" => - dest <= 61; - src <= 61; - when "0110100" => - dest <= 52; - src <= 19; - when "0110101" => - dest <= 48; - src <= 61; - when "0110110" => - dest <= 61; - src <= 61; - when "0110111" => - dest <= 47; - src <= 30; - when "0111000" => - dest <= 61; - src <= 6; - when "0111001" => - dest <= 38; - src <= 49; - when "0111010" => - dest <= 61; - src <= 61; - when "0111011" => - dest <= 22; - src <= 2; - when "0111100" => - dest <= 36; - src <= 61; - when "0111101" => - dest <= 15; - src <= 11; - when "0111110" => - dest <= 61; - src <= 61; - when "0111111" => - dest <= 43; - src <= 20; - when "1000000" => - dest <= 0; - src <= 61; - when "1000001" => - dest <= 29; - src <= 36; - when "1000010" => - dest <= 61; - src <= 22; - when "1000011" => - dest <= 57; - src <= 43; - when "1000100" => - dest <= 61; - src <= 61; - when "1000101" => - dest <= 51; - src <= 15; - when "1000110" => - dest <= 55; - src <= 50; - when "1000111" => - dest <= 46; - src <= 29; - when "1001000" => - dest <= 61; - src <= 61; - when "1001001" => - dest <= 44; - src <= 57; - when "1001010" => - dest <= 14; - src <= 7; - when "1001011" => - dest <= 37; - src <= 3; - when "1001100" => - dest <= 7; - src <= 12; - when "1001101" => - dest <= 61; - src <= 61; - when "1001110" => - dest <= 56; - src <= 14; - when "1001111" => - dest <= 61; - src <= 44; - when "1010000" => - dest <= 54; - src <= 21; - when "1010001" => - dest <= 45; - src <= 51; - when "1010010" => - dest <= 63; - src <= 61; - when "1010011" => - dest <= 61; - src <= 58; - when "1010100" => - dest <= 61; - src <= 4; - when "1010101" => - dest <= 25; - src <= 13; - when "1010110" => - dest <= 16; - src <= 59; - when others => - dest <= 61; - src <= 61; - - end case; - end process; - - end generate NI_NUM61; - - NI_NUM62 : if NI_NUM = 62 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 3; - src <= 62; - when "0000001" => - dest <= 6; - src <= 62; - when "0000010" => - dest <= 54; - src <= 62; - when "0000011" => - dest <= 34; - src <= 62; - when "0000100" => - dest <= 27; - src <= 54; - when "0000101" => - dest <= 62; - src <= 6; - when "0000110" => - dest <= 19; - src <= 49; - when "0000111" => - dest <= 60; - src <= 35; - when "0001000" => - dest <= 62; - src <= 26; - when "0001001" => - dest <= 20; - src <= 62; - when "0001010" => - dest <= 18; - src <= 62; - when "0001011" => - dest <= 25; - src <= 56; - when "0001100" => - dest <= 12; - src <= 18; - when "0001101" => - dest <= 62; - src <= 25; - when "0001110" => - dest <= 63; - src <= 33; - when "0001111" => - dest <= 51; - src <= 62; - when "0010000" => - dest <= 42; - src <= 32; - when "0010001" => - dest <= 13; - src <= 61; - when "0010010" => - dest <= 62; - src <= 40; - when "0010011" => - dest <= 33; - src <= 34; - when "0010100" => - dest <= 28; - src <= 27; - when "0010101" => - dest <= 4; - src <= 1; - when "0010110" => - dest <= 11; - src <= 47; - when "0010111" => - dest <= 62; - src <= 62; - when "0011000" => - dest <= 21; - src <= 10; - when "0011001" => - dest <= 62; - src <= 62; - when "0011010" => - dest <= 35; - src <= 48; - when "0011011" => - dest <= 24; - src <= 19; - when "0011100" => - dest <= 10; - src <= 24; - when "0011101" => - dest <= 7; - src <= 41; - when "0011110" => - dest <= 62; - src <= 39; - when "0011111" => - dest <= 62; - src <= 62; - when "0100000" => - dest <= 59; - src <= 62; - when "0100001" => - dest <= 50; - src <= 53; - when "0100010" => - dest <= 43; - src <= 17; - when "0100011" => - dest <= 14; - src <= 28; - when "0100100" => - dest <= 36; - src <= 42; - when "0100101" => - dest <= 22; - src <= 57; - when "0100110" => - dest <= 31; - src <= 62; - when "0100111" => - dest <= 16; - src <= 46; - when "0101000" => - dest <= 5; - src <= 2; - when "0101001" => - dest <= 9; - src <= 9; - when "0101010" => - dest <= 62; - src <= 38; - when "0101011" => - dest <= 41; - src <= 16; - when "0101100" => - dest <= 61; - src <= 55; - when "0101101" => - dest <= 32; - src <= 29; - when "0101110" => - dest <= 62; - src <= 36; - when "0101111" => - dest <= 62; - src <= 63; - when "0110000" => - dest <= 29; - src <= 43; - when "0110001" => - dest <= 62; - src <= 62; - when "0110010" => - dest <= 2; - src <= 11; - when "0110011" => - dest <= 62; - src <= 62; - when "0110100" => - dest <= 53; - src <= 20; - when "0110101" => - dest <= 49; - src <= 62; - when "0110110" => - dest <= 62; - src <= 62; - when "0110111" => - dest <= 40; - src <= 31; - when "0111000" => - dest <= 62; - src <= 7; - when "0111001" => - dest <= 39; - src <= 50; - when "0111010" => - dest <= 62; - src <= 62; - when "0111011" => - dest <= 23; - src <= 3; - when "0111100" => - dest <= 37; - src <= 62; - when "0111101" => - dest <= 8; - src <= 12; - when "0111110" => - dest <= 62; - src <= 62; - when "0111111" => - dest <= 44; - src <= 21; - when "1000000" => - dest <= 1; - src <= 62; - when "1000001" => - dest <= 30; - src <= 37; - when "1000010" => - dest <= 62; - src <= 23; - when "1000011" => - dest <= 58; - src <= 44; - when "1000100" => - dest <= 62; - src <= 62; - when "1000101" => - dest <= 52; - src <= 8; - when "1000110" => - dest <= 48; - src <= 51; - when "1000111" => - dest <= 47; - src <= 30; - when "1001000" => - dest <= 62; - src <= 62; - when "1001001" => - dest <= 45; - src <= 58; - when "1001010" => - dest <= 15; - src <= 0; - when "1001011" => - dest <= 38; - src <= 4; - when "1001100" => - dest <= 0; - src <= 13; - when "1001101" => - dest <= 62; - src <= 62; - when "1001110" => - dest <= 57; - src <= 15; - when "1001111" => - dest <= 62; - src <= 45; - when "1010000" => - dest <= 55; - src <= 22; - when "1010001" => - dest <= 46; - src <= 52; - when "1010010" => - dest <= 56; - src <= 62; - when "1010011" => - dest <= 62; - src <= 59; - when "1010100" => - dest <= 62; - src <= 5; - when "1010101" => - dest <= 26; - src <= 14; - when "1010110" => - dest <= 17; - src <= 60; - when others => - dest <= 62; - src <= 62; - - end case; - end process; - - end generate NI_NUM62; - - NI_NUM63 : if NI_NUM = 63 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 4; - src <= 63; - when "0000001" => - dest <= 7; - src <= 63; - when "0000010" => - dest <= 55; - src <= 63; - when "0000011" => - dest <= 35; - src <= 63; - when "0000100" => - dest <= 28; - src <= 55; - when "0000101" => - dest <= 63; - src <= 7; - when "0000110" => - dest <= 20; - src <= 50; - when "0000111" => - dest <= 61; - src <= 36; - when "0001000" => - dest <= 63; - src <= 27; - when "0001001" => - dest <= 21; - src <= 63; - when "0001010" => - dest <= 19; - src <= 63; - when "0001011" => - dest <= 26; - src <= 57; - when "0001100" => - dest <= 13; - src <= 19; - when "0001101" => - dest <= 63; - src <= 26; - when "0001110" => - dest <= 56; - src <= 34; - when "0001111" => - dest <= 52; - src <= 63; - when "0010000" => - dest <= 43; - src <= 33; - when "0010001" => - dest <= 14; - src <= 62; - when "0010010" => - dest <= 63; - src <= 41; - when "0010011" => - dest <= 34; - src <= 35; - when "0010100" => - dest <= 29; - src <= 28; - when "0010101" => - dest <= 5; - src <= 2; - when "0010110" => - dest <= 12; - src <= 40; - when "0010111" => - dest <= 63; - src <= 63; - when "0011000" => - dest <= 22; - src <= 11; - when "0011001" => - dest <= 63; - src <= 63; - when "0011010" => - dest <= 36; - src <= 49; - when "0011011" => - dest <= 25; - src <= 20; - when "0011100" => - dest <= 11; - src <= 25; - when "0011101" => - dest <= 0; - src <= 42; - when "0011110" => - dest <= 63; - src <= 32; - when "0011111" => - dest <= 63; - src <= 63; - when "0100000" => - dest <= 60; - src <= 63; - when "0100001" => - dest <= 51; - src <= 54; - when "0100010" => - dest <= 44; - src <= 18; - when "0100011" => - dest <= 15; - src <= 29; - when "0100100" => - dest <= 37; - src <= 43; - when "0100101" => - dest <= 23; - src <= 58; - when "0100110" => - dest <= 24; - src <= 63; - when "0100111" => - dest <= 17; - src <= 47; - when "0101000" => - dest <= 6; - src <= 3; - when "0101001" => - dest <= 10; - src <= 10; - when "0101010" => - dest <= 63; - src <= 39; - when "0101011" => - dest <= 42; - src <= 17; - when "0101100" => - dest <= 62; - src <= 48; - when "0101101" => - dest <= 33; - src <= 30; - when "0101110" => - dest <= 63; - src <= 37; - when "0101111" => - dest <= 63; - src <= 56; - when "0110000" => - dest <= 30; - src <= 44; - when "0110001" => - dest <= 63; - src <= 63; - when "0110010" => - dest <= 3; - src <= 12; - when "0110011" => - dest <= 63; - src <= 63; - when "0110100" => - dest <= 54; - src <= 21; - when "0110101" => - dest <= 50; - src <= 63; - when "0110110" => - dest <= 63; - src <= 63; - when "0110111" => - dest <= 41; - src <= 24; - when "0111000" => - dest <= 63; - src <= 0; - when "0111001" => - dest <= 32; - src <= 51; - when "0111010" => - dest <= 63; - src <= 63; - when "0111011" => - dest <= 16; - src <= 4; - when "0111100" => - dest <= 38; - src <= 63; - when "0111101" => - dest <= 9; - src <= 13; - when "0111110" => - dest <= 63; - src <= 63; - when "0111111" => - dest <= 45; - src <= 22; - when "1000000" => - dest <= 2; - src <= 63; - when "1000001" => - dest <= 31; - src <= 38; - when "1000010" => - dest <= 63; - src <= 16; - when "1000011" => - dest <= 59; - src <= 45; - when "1000100" => - dest <= 63; - src <= 63; - when "1000101" => - dest <= 53; - src <= 9; - when "1000110" => - dest <= 49; - src <= 52; - when "1000111" => - dest <= 40; - src <= 31; - when "1001000" => - dest <= 63; - src <= 63; - when "1001001" => - dest <= 46; - src <= 59; - when "1001010" => - dest <= 8; - src <= 1; - when "1001011" => - dest <= 39; - src <= 5; - when "1001100" => - dest <= 1; - src <= 14; - when "1001101" => - dest <= 63; - src <= 63; - when "1001110" => - dest <= 58; - src <= 8; - when "1001111" => - dest <= 63; - src <= 46; - when "1010000" => - dest <= 48; - src <= 23; - when "1010001" => - dest <= 47; - src <= 53; - when "1010010" => - dest <= 57; - src <= 63; - when "1010011" => - dest <= 63; - src <= 60; - when "1010100" => - dest <= 63; - src <= 6; - when "1010101" => - dest <= 27; - src <= 15; - when "1010110" => - dest <= 18; - src <= 61; - when others => - dest <= 63; - src <= 63; - - end case; - end process; - - end generate NI_NUM63; - -end data; +------------------------------------------------------------- +-- ni_ST_64.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity ni_ST_64 is + generic ( + NI_NUM : natural); + port ( + count : in unsigned(6 downto 0); + dest : out integer range 0 to 63; + src : out integer range 0 to 63 + ); +end ni_ST_64; + +architecture data of ni_ST_64 is +begin -- data + + NI_NUM0 : if NI_NUM = 0 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 13; + src <= 0; + when "0000001" => + dest <= 8; + src <= 0; + when "0000010" => + dest <= 56; + src <= 0; + when "0000011" => + dest <= 44; + src <= 0; + when "0000100" => + dest <= 37; + src <= 56; + when "0000101" => + dest <= 0; + src <= 8; + when "0000110" => + dest <= 29; + src <= 59; + when "0000111" => + dest <= 6; + src <= 45; + when "0001000" => + dest <= 0; + src <= 36; + when "0001001" => + dest <= 30; + src <= 0; + when "0001010" => + dest <= 28; + src <= 0; + when "0001011" => + dest <= 35; + src <= 2; + when "0001100" => + dest <= 22; + src <= 28; + when "0001101" => + dest <= 0; + src <= 35; + when "0001110" => + dest <= 1; + src <= 43; + when "0001111" => + dest <= 61; + src <= 0; + when "0010000" => + dest <= 52; + src <= 42; + when "0010001" => + dest <= 23; + src <= 7; + when "0010010" => + dest <= 0; + src <= 50; + when "0010011" => + dest <= 43; + src <= 44; + when "0010100" => + dest <= 38; + src <= 37; + when "0010101" => + dest <= 14; + src <= 11; + when "0010110" => + dest <= 21; + src <= 49; + when "0010111" => + dest <= 0; + src <= 0; + when "0011000" => + dest <= 31; + src <= 20; + when "0011001" => + dest <= 0; + src <= 0; + when "0011010" => + dest <= 45; + src <= 58; + when "0011011" => + dest <= 34; + src <= 29; + when "0011100" => + dest <= 20; + src <= 34; + when "0011101" => + dest <= 9; + src <= 51; + when "0011110" => + dest <= 0; + src <= 41; + when "0011111" => + dest <= 0; + src <= 0; + when "0100000" => + dest <= 5; + src <= 0; + when "0100001" => + dest <= 60; + src <= 63; + when "0100010" => + dest <= 53; + src <= 27; + when "0100011" => + dest <= 16; + src <= 38; + when "0100100" => + dest <= 46; + src <= 52; + when "0100101" => + dest <= 24; + src <= 3; + when "0100110" => + dest <= 33; + src <= 0; + when "0100111" => + dest <= 26; + src <= 48; + when "0101000" => + dest <= 15; + src <= 12; + when "0101001" => + dest <= 19; + src <= 19; + when "0101010" => + dest <= 0; + src <= 40; + when "0101011" => + dest <= 51; + src <= 26; + when "0101100" => + dest <= 7; + src <= 57; + when "0101101" => + dest <= 42; + src <= 39; + when "0101110" => + dest <= 0; + src <= 46; + when "0101111" => + dest <= 0; + src <= 1; + when "0110000" => + dest <= 39; + src <= 53; + when "0110001" => + dest <= 0; + src <= 0; + when "0110010" => + dest <= 12; + src <= 21; + when "0110011" => + dest <= 0; + src <= 0; + when "0110100" => + dest <= 63; + src <= 30; + when "0110101" => + dest <= 59; + src <= 0; + when "0110110" => + dest <= 0; + src <= 0; + when "0110111" => + dest <= 50; + src <= 33; + when "0111000" => + dest <= 0; + src <= 9; + when "0111001" => + dest <= 41; + src <= 60; + when "0111010" => + dest <= 0; + src <= 0; + when "0111011" => + dest <= 25; + src <= 13; + when "0111100" => + dest <= 47; + src <= 0; + when "0111101" => + dest <= 18; + src <= 22; + when "0111110" => + dest <= 0; + src <= 0; + when "0111111" => + dest <= 54; + src <= 31; + when "1000000" => + dest <= 11; + src <= 0; + when "1000001" => + dest <= 32; + src <= 47; + when "1000010" => + dest <= 0; + src <= 25; + when "1000011" => + dest <= 4; + src <= 54; + when "1000100" => + dest <= 0; + src <= 0; + when "1000101" => + dest <= 62; + src <= 18; + when "1000110" => + dest <= 58; + src <= 61; + when "1000111" => + dest <= 49; + src <= 32; + when "1001000" => + dest <= 0; + src <= 0; + when "1001001" => + dest <= 55; + src <= 4; + when "1001010" => + dest <= 17; + src <= 10; + when "1001011" => + dest <= 40; + src <= 14; + when "1001100" => + dest <= 10; + src <= 23; + when "1001101" => + dest <= 0; + src <= 0; + when "1001110" => + dest <= 3; + src <= 17; + when "1001111" => + dest <= 0; + src <= 55; + when "1010000" => + dest <= 57; + src <= 24; + when "1010001" => + dest <= 48; + src <= 62; + when "1010010" => + dest <= 2; + src <= 0; + when "1010011" => + dest <= 0; + src <= 5; + when "1010100" => + dest <= 0; + src <= 15; + when "1010101" => + dest <= 36; + src <= 16; + when "1010110" => + dest <= 27; + src <= 6; + when others => + dest <= 0; + src <= 0; + + end case; + end process; + + end generate NI_NUM0; + + NI_NUM1 : if NI_NUM = 1 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 14; + src <= 1; + when "0000001" => + dest <= 9; + src <= 1; + when "0000010" => + dest <= 57; + src <= 1; + when "0000011" => + dest <= 45; + src <= 1; + when "0000100" => + dest <= 38; + src <= 57; + when "0000101" => + dest <= 1; + src <= 9; + when "0000110" => + dest <= 30; + src <= 60; + when "0000111" => + dest <= 7; + src <= 46; + when "0001000" => + dest <= 1; + src <= 37; + when "0001001" => + dest <= 31; + src <= 1; + when "0001010" => + dest <= 29; + src <= 1; + when "0001011" => + dest <= 36; + src <= 3; + when "0001100" => + dest <= 23; + src <= 29; + when "0001101" => + dest <= 1; + src <= 36; + when "0001110" => + dest <= 2; + src <= 44; + when "0001111" => + dest <= 62; + src <= 1; + when "0010000" => + dest <= 53; + src <= 43; + when "0010001" => + dest <= 16; + src <= 0; + when "0010010" => + dest <= 1; + src <= 51; + when "0010011" => + dest <= 44; + src <= 45; + when "0010100" => + dest <= 39; + src <= 38; + when "0010101" => + dest <= 15; + src <= 12; + when "0010110" => + dest <= 22; + src <= 50; + when "0010111" => + dest <= 1; + src <= 1; + when "0011000" => + dest <= 24; + src <= 21; + when "0011001" => + dest <= 1; + src <= 1; + when "0011010" => + dest <= 46; + src <= 59; + when "0011011" => + dest <= 35; + src <= 30; + when "0011100" => + dest <= 21; + src <= 35; + when "0011101" => + dest <= 10; + src <= 52; + when "0011110" => + dest <= 1; + src <= 42; + when "0011111" => + dest <= 1; + src <= 1; + when "0100000" => + dest <= 6; + src <= 1; + when "0100001" => + dest <= 61; + src <= 56; + when "0100010" => + dest <= 54; + src <= 28; + when "0100011" => + dest <= 17; + src <= 39; + when "0100100" => + dest <= 47; + src <= 53; + when "0100101" => + dest <= 25; + src <= 4; + when "0100110" => + dest <= 34; + src <= 1; + when "0100111" => + dest <= 27; + src <= 49; + when "0101000" => + dest <= 8; + src <= 13; + when "0101001" => + dest <= 20; + src <= 20; + when "0101010" => + dest <= 1; + src <= 41; + when "0101011" => + dest <= 52; + src <= 27; + when "0101100" => + dest <= 0; + src <= 58; + when "0101101" => + dest <= 43; + src <= 32; + when "0101110" => + dest <= 1; + src <= 47; + when "0101111" => + dest <= 1; + src <= 2; + when "0110000" => + dest <= 32; + src <= 54; + when "0110001" => + dest <= 1; + src <= 1; + when "0110010" => + dest <= 13; + src <= 22; + when "0110011" => + dest <= 1; + src <= 1; + when "0110100" => + dest <= 56; + src <= 31; + when "0110101" => + dest <= 60; + src <= 1; + when "0110110" => + dest <= 1; + src <= 1; + when "0110111" => + dest <= 51; + src <= 34; + when "0111000" => + dest <= 1; + src <= 10; + when "0111001" => + dest <= 42; + src <= 61; + when "0111010" => + dest <= 1; + src <= 1; + when "0111011" => + dest <= 26; + src <= 14; + when "0111100" => + dest <= 40; + src <= 1; + when "0111101" => + dest <= 19; + src <= 23; + when "0111110" => + dest <= 1; + src <= 1; + when "0111111" => + dest <= 55; + src <= 24; + when "1000000" => + dest <= 12; + src <= 1; + when "1000001" => + dest <= 33; + src <= 40; + when "1000010" => + dest <= 1; + src <= 26; + when "1000011" => + dest <= 5; + src <= 55; + when "1000100" => + dest <= 1; + src <= 1; + when "1000101" => + dest <= 63; + src <= 19; + when "1000110" => + dest <= 59; + src <= 62; + when "1000111" => + dest <= 50; + src <= 33; + when "1001000" => + dest <= 1; + src <= 1; + when "1001001" => + dest <= 48; + src <= 5; + when "1001010" => + dest <= 18; + src <= 11; + when "1001011" => + dest <= 41; + src <= 15; + when "1001100" => + dest <= 11; + src <= 16; + when "1001101" => + dest <= 1; + src <= 1; + when "1001110" => + dest <= 4; + src <= 18; + when "1001111" => + dest <= 1; + src <= 48; + when "1010000" => + dest <= 58; + src <= 25; + when "1010001" => + dest <= 49; + src <= 63; + when "1010010" => + dest <= 3; + src <= 1; + when "1010011" => + dest <= 1; + src <= 6; + when "1010100" => + dest <= 1; + src <= 8; + when "1010101" => + dest <= 37; + src <= 17; + when "1010110" => + dest <= 28; + src <= 7; + when others => + dest <= 1; + src <= 1; + + end case; + end process; + + end generate NI_NUM1; + + NI_NUM2 : if NI_NUM = 2 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 15; + src <= 2; + when "0000001" => + dest <= 10; + src <= 2; + when "0000010" => + dest <= 58; + src <= 2; + when "0000011" => + dest <= 46; + src <= 2; + when "0000100" => + dest <= 39; + src <= 58; + when "0000101" => + dest <= 2; + src <= 10; + when "0000110" => + dest <= 31; + src <= 61; + when "0000111" => + dest <= 0; + src <= 47; + when "0001000" => + dest <= 2; + src <= 38; + when "0001001" => + dest <= 24; + src <= 2; + when "0001010" => + dest <= 30; + src <= 2; + when "0001011" => + dest <= 37; + src <= 4; + when "0001100" => + dest <= 16; + src <= 30; + when "0001101" => + dest <= 2; + src <= 37; + when "0001110" => + dest <= 3; + src <= 45; + when "0001111" => + dest <= 63; + src <= 2; + when "0010000" => + dest <= 54; + src <= 44; + when "0010001" => + dest <= 17; + src <= 1; + when "0010010" => + dest <= 2; + src <= 52; + when "0010011" => + dest <= 45; + src <= 46; + when "0010100" => + dest <= 32; + src <= 39; + when "0010101" => + dest <= 8; + src <= 13; + when "0010110" => + dest <= 23; + src <= 51; + when "0010111" => + dest <= 2; + src <= 2; + when "0011000" => + dest <= 25; + src <= 22; + when "0011001" => + dest <= 2; + src <= 2; + when "0011010" => + dest <= 47; + src <= 60; + when "0011011" => + dest <= 36; + src <= 31; + when "0011100" => + dest <= 22; + src <= 36; + when "0011101" => + dest <= 11; + src <= 53; + when "0011110" => + dest <= 2; + src <= 43; + when "0011111" => + dest <= 2; + src <= 2; + when "0100000" => + dest <= 7; + src <= 2; + when "0100001" => + dest <= 62; + src <= 57; + when "0100010" => + dest <= 55; + src <= 29; + when "0100011" => + dest <= 18; + src <= 32; + when "0100100" => + dest <= 40; + src <= 54; + when "0100101" => + dest <= 26; + src <= 5; + when "0100110" => + dest <= 35; + src <= 2; + when "0100111" => + dest <= 28; + src <= 50; + when "0101000" => + dest <= 9; + src <= 14; + when "0101001" => + dest <= 21; + src <= 21; + when "0101010" => + dest <= 2; + src <= 42; + when "0101011" => + dest <= 53; + src <= 28; + when "0101100" => + dest <= 1; + src <= 59; + when "0101101" => + dest <= 44; + src <= 33; + when "0101110" => + dest <= 2; + src <= 40; + when "0101111" => + dest <= 2; + src <= 3; + when "0110000" => + dest <= 33; + src <= 55; + when "0110001" => + dest <= 2; + src <= 2; + when "0110010" => + dest <= 14; + src <= 23; + when "0110011" => + dest <= 2; + src <= 2; + when "0110100" => + dest <= 57; + src <= 24; + when "0110101" => + dest <= 61; + src <= 2; + when "0110110" => + dest <= 2; + src <= 2; + when "0110111" => + dest <= 52; + src <= 35; + when "0111000" => + dest <= 2; + src <= 11; + when "0111001" => + dest <= 43; + src <= 62; + when "0111010" => + dest <= 2; + src <= 2; + when "0111011" => + dest <= 27; + src <= 15; + when "0111100" => + dest <= 41; + src <= 2; + when "0111101" => + dest <= 20; + src <= 16; + when "0111110" => + dest <= 2; + src <= 2; + when "0111111" => + dest <= 48; + src <= 25; + when "1000000" => + dest <= 13; + src <= 2; + when "1000001" => + dest <= 34; + src <= 41; + when "1000010" => + dest <= 2; + src <= 27; + when "1000011" => + dest <= 6; + src <= 48; + when "1000100" => + dest <= 2; + src <= 2; + when "1000101" => + dest <= 56; + src <= 20; + when "1000110" => + dest <= 60; + src <= 63; + when "1000111" => + dest <= 51; + src <= 34; + when "1001000" => + dest <= 2; + src <= 2; + when "1001001" => + dest <= 49; + src <= 6; + when "1001010" => + dest <= 19; + src <= 12; + when "1001011" => + dest <= 42; + src <= 8; + when "1001100" => + dest <= 12; + src <= 17; + when "1001101" => + dest <= 2; + src <= 2; + when "1001110" => + dest <= 5; + src <= 19; + when "1001111" => + dest <= 2; + src <= 49; + when "1010000" => + dest <= 59; + src <= 26; + when "1010001" => + dest <= 50; + src <= 56; + when "1010010" => + dest <= 4; + src <= 2; + when "1010011" => + dest <= 2; + src <= 7; + when "1010100" => + dest <= 2; + src <= 9; + when "1010101" => + dest <= 38; + src <= 18; + when "1010110" => + dest <= 29; + src <= 0; + when others => + dest <= 2; + src <= 2; + + end case; + end process; + + end generate NI_NUM2; + + NI_NUM3 : if NI_NUM = 3 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 8; + src <= 3; + when "0000001" => + dest <= 11; + src <= 3; + when "0000010" => + dest <= 59; + src <= 3; + when "0000011" => + dest <= 47; + src <= 3; + when "0000100" => + dest <= 32; + src <= 59; + when "0000101" => + dest <= 3; + src <= 11; + when "0000110" => + dest <= 24; + src <= 62; + when "0000111" => + dest <= 1; + src <= 40; + when "0001000" => + dest <= 3; + src <= 39; + when "0001001" => + dest <= 25; + src <= 3; + when "0001010" => + dest <= 31; + src <= 3; + when "0001011" => + dest <= 38; + src <= 5; + when "0001100" => + dest <= 17; + src <= 31; + when "0001101" => + dest <= 3; + src <= 38; + when "0001110" => + dest <= 4; + src <= 46; + when "0001111" => + dest <= 56; + src <= 3; + when "0010000" => + dest <= 55; + src <= 45; + when "0010001" => + dest <= 18; + src <= 2; + when "0010010" => + dest <= 3; + src <= 53; + when "0010011" => + dest <= 46; + src <= 47; + when "0010100" => + dest <= 33; + src <= 32; + when "0010101" => + dest <= 9; + src <= 14; + when "0010110" => + dest <= 16; + src <= 52; + when "0010111" => + dest <= 3; + src <= 3; + when "0011000" => + dest <= 26; + src <= 23; + when "0011001" => + dest <= 3; + src <= 3; + when "0011010" => + dest <= 40; + src <= 61; + when "0011011" => + dest <= 37; + src <= 24; + when "0011100" => + dest <= 23; + src <= 37; + when "0011101" => + dest <= 12; + src <= 54; + when "0011110" => + dest <= 3; + src <= 44; + when "0011111" => + dest <= 3; + src <= 3; + when "0100000" => + dest <= 0; + src <= 3; + when "0100001" => + dest <= 63; + src <= 58; + when "0100010" => + dest <= 48; + src <= 30; + when "0100011" => + dest <= 19; + src <= 33; + when "0100100" => + dest <= 41; + src <= 55; + when "0100101" => + dest <= 27; + src <= 6; + when "0100110" => + dest <= 36; + src <= 3; + when "0100111" => + dest <= 29; + src <= 51; + when "0101000" => + dest <= 10; + src <= 15; + when "0101001" => + dest <= 22; + src <= 22; + when "0101010" => + dest <= 3; + src <= 43; + when "0101011" => + dest <= 54; + src <= 29; + when "0101100" => + dest <= 2; + src <= 60; + when "0101101" => + dest <= 45; + src <= 34; + when "0101110" => + dest <= 3; + src <= 41; + when "0101111" => + dest <= 3; + src <= 4; + when "0110000" => + dest <= 34; + src <= 48; + when "0110001" => + dest <= 3; + src <= 3; + when "0110010" => + dest <= 15; + src <= 16; + when "0110011" => + dest <= 3; + src <= 3; + when "0110100" => + dest <= 58; + src <= 25; + when "0110101" => + dest <= 62; + src <= 3; + when "0110110" => + dest <= 3; + src <= 3; + when "0110111" => + dest <= 53; + src <= 36; + when "0111000" => + dest <= 3; + src <= 12; + when "0111001" => + dest <= 44; + src <= 63; + when "0111010" => + dest <= 3; + src <= 3; + when "0111011" => + dest <= 28; + src <= 8; + when "0111100" => + dest <= 42; + src <= 3; + when "0111101" => + dest <= 21; + src <= 17; + when "0111110" => + dest <= 3; + src <= 3; + when "0111111" => + dest <= 49; + src <= 26; + when "1000000" => + dest <= 14; + src <= 3; + when "1000001" => + dest <= 35; + src <= 42; + when "1000010" => + dest <= 3; + src <= 28; + when "1000011" => + dest <= 7; + src <= 49; + when "1000100" => + dest <= 3; + src <= 3; + when "1000101" => + dest <= 57; + src <= 21; + when "1000110" => + dest <= 61; + src <= 56; + when "1000111" => + dest <= 52; + src <= 35; + when "1001000" => + dest <= 3; + src <= 3; + when "1001001" => + dest <= 50; + src <= 7; + when "1001010" => + dest <= 20; + src <= 13; + when "1001011" => + dest <= 43; + src <= 9; + when "1001100" => + dest <= 13; + src <= 18; + when "1001101" => + dest <= 3; + src <= 3; + when "1001110" => + dest <= 6; + src <= 20; + when "1001111" => + dest <= 3; + src <= 50; + when "1010000" => + dest <= 60; + src <= 27; + when "1010001" => + dest <= 51; + src <= 57; + when "1010010" => + dest <= 5; + src <= 3; + when "1010011" => + dest <= 3; + src <= 0; + when "1010100" => + dest <= 3; + src <= 10; + when "1010101" => + dest <= 39; + src <= 19; + when "1010110" => + dest <= 30; + src <= 1; + when others => + dest <= 3; + src <= 3; + + end case; + end process; + + end generate NI_NUM3; + + NI_NUM4 : if NI_NUM = 4 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 9; + src <= 4; + when "0000001" => + dest <= 12; + src <= 4; + when "0000010" => + dest <= 60; + src <= 4; + when "0000011" => + dest <= 40; + src <= 4; + when "0000100" => + dest <= 33; + src <= 60; + when "0000101" => + dest <= 4; + src <= 12; + when "0000110" => + dest <= 25; + src <= 63; + when "0000111" => + dest <= 2; + src <= 41; + when "0001000" => + dest <= 4; + src <= 32; + when "0001001" => + dest <= 26; + src <= 4; + when "0001010" => + dest <= 24; + src <= 4; + when "0001011" => + dest <= 39; + src <= 6; + when "0001100" => + dest <= 18; + src <= 24; + when "0001101" => + dest <= 4; + src <= 39; + when "0001110" => + dest <= 5; + src <= 47; + when "0001111" => + dest <= 57; + src <= 4; + when "0010000" => + dest <= 48; + src <= 46; + when "0010001" => + dest <= 19; + src <= 3; + when "0010010" => + dest <= 4; + src <= 54; + when "0010011" => + dest <= 47; + src <= 40; + when "0010100" => + dest <= 34; + src <= 33; + when "0010101" => + dest <= 10; + src <= 15; + when "0010110" => + dest <= 17; + src <= 53; + when "0010111" => + dest <= 4; + src <= 4; + when "0011000" => + dest <= 27; + src <= 16; + when "0011001" => + dest <= 4; + src <= 4; + when "0011010" => + dest <= 41; + src <= 62; + when "0011011" => + dest <= 38; + src <= 25; + when "0011100" => + dest <= 16; + src <= 38; + when "0011101" => + dest <= 13; + src <= 55; + when "0011110" => + dest <= 4; + src <= 45; + when "0011111" => + dest <= 4; + src <= 4; + when "0100000" => + dest <= 1; + src <= 4; + when "0100001" => + dest <= 56; + src <= 59; + when "0100010" => + dest <= 49; + src <= 31; + when "0100011" => + dest <= 20; + src <= 34; + when "0100100" => + dest <= 42; + src <= 48; + when "0100101" => + dest <= 28; + src <= 7; + when "0100110" => + dest <= 37; + src <= 4; + when "0100111" => + dest <= 30; + src <= 52; + when "0101000" => + dest <= 11; + src <= 8; + when "0101001" => + dest <= 23; + src <= 23; + when "0101010" => + dest <= 4; + src <= 44; + when "0101011" => + dest <= 55; + src <= 30; + when "0101100" => + dest <= 3; + src <= 61; + when "0101101" => + dest <= 46; + src <= 35; + when "0101110" => + dest <= 4; + src <= 42; + when "0101111" => + dest <= 4; + src <= 5; + when "0110000" => + dest <= 35; + src <= 49; + when "0110001" => + dest <= 4; + src <= 4; + when "0110010" => + dest <= 8; + src <= 17; + when "0110011" => + dest <= 4; + src <= 4; + when "0110100" => + dest <= 59; + src <= 26; + when "0110101" => + dest <= 63; + src <= 4; + when "0110110" => + dest <= 4; + src <= 4; + when "0110111" => + dest <= 54; + src <= 37; + when "0111000" => + dest <= 4; + src <= 13; + when "0111001" => + dest <= 45; + src <= 56; + when "0111010" => + dest <= 4; + src <= 4; + when "0111011" => + dest <= 29; + src <= 9; + when "0111100" => + dest <= 43; + src <= 4; + when "0111101" => + dest <= 22; + src <= 18; + when "0111110" => + dest <= 4; + src <= 4; + when "0111111" => + dest <= 50; + src <= 27; + when "1000000" => + dest <= 15; + src <= 4; + when "1000001" => + dest <= 36; + src <= 43; + when "1000010" => + dest <= 4; + src <= 29; + when "1000011" => + dest <= 0; + src <= 50; + when "1000100" => + dest <= 4; + src <= 4; + when "1000101" => + dest <= 58; + src <= 22; + when "1000110" => + dest <= 62; + src <= 57; + when "1000111" => + dest <= 53; + src <= 36; + when "1001000" => + dest <= 4; + src <= 4; + when "1001001" => + dest <= 51; + src <= 0; + when "1001010" => + dest <= 21; + src <= 14; + when "1001011" => + dest <= 44; + src <= 10; + when "1001100" => + dest <= 14; + src <= 19; + when "1001101" => + dest <= 4; + src <= 4; + when "1001110" => + dest <= 7; + src <= 21; + when "1001111" => + dest <= 4; + src <= 51; + when "1010000" => + dest <= 61; + src <= 28; + when "1010001" => + dest <= 52; + src <= 58; + when "1010010" => + dest <= 6; + src <= 4; + when "1010011" => + dest <= 4; + src <= 1; + when "1010100" => + dest <= 4; + src <= 11; + when "1010101" => + dest <= 32; + src <= 20; + when "1010110" => + dest <= 31; + src <= 2; + when others => + dest <= 4; + src <= 4; + + end case; + end process; + + end generate NI_NUM4; + + NI_NUM5 : if NI_NUM = 5 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 10; + src <= 5; + when "0000001" => + dest <= 13; + src <= 5; + when "0000010" => + dest <= 61; + src <= 5; + when "0000011" => + dest <= 41; + src <= 5; + when "0000100" => + dest <= 34; + src <= 61; + when "0000101" => + dest <= 5; + src <= 13; + when "0000110" => + dest <= 26; + src <= 56; + when "0000111" => + dest <= 3; + src <= 42; + when "0001000" => + dest <= 5; + src <= 33; + when "0001001" => + dest <= 27; + src <= 5; + when "0001010" => + dest <= 25; + src <= 5; + when "0001011" => + dest <= 32; + src <= 7; + when "0001100" => + dest <= 19; + src <= 25; + when "0001101" => + dest <= 5; + src <= 32; + when "0001110" => + dest <= 6; + src <= 40; + when "0001111" => + dest <= 58; + src <= 5; + when "0010000" => + dest <= 49; + src <= 47; + when "0010001" => + dest <= 20; + src <= 4; + when "0010010" => + dest <= 5; + src <= 55; + when "0010011" => + dest <= 40; + src <= 41; + when "0010100" => + dest <= 35; + src <= 34; + when "0010101" => + dest <= 11; + src <= 8; + when "0010110" => + dest <= 18; + src <= 54; + when "0010111" => + dest <= 5; + src <= 5; + when "0011000" => + dest <= 28; + src <= 17; + when "0011001" => + dest <= 5; + src <= 5; + when "0011010" => + dest <= 42; + src <= 63; + when "0011011" => + dest <= 39; + src <= 26; + when "0011100" => + dest <= 17; + src <= 39; + when "0011101" => + dest <= 14; + src <= 48; + when "0011110" => + dest <= 5; + src <= 46; + when "0011111" => + dest <= 5; + src <= 5; + when "0100000" => + dest <= 2; + src <= 5; + when "0100001" => + dest <= 57; + src <= 60; + when "0100010" => + dest <= 50; + src <= 24; + when "0100011" => + dest <= 21; + src <= 35; + when "0100100" => + dest <= 43; + src <= 49; + when "0100101" => + dest <= 29; + src <= 0; + when "0100110" => + dest <= 38; + src <= 5; + when "0100111" => + dest <= 31; + src <= 53; + when "0101000" => + dest <= 12; + src <= 9; + when "0101001" => + dest <= 16; + src <= 16; + when "0101010" => + dest <= 5; + src <= 45; + when "0101011" => + dest <= 48; + src <= 31; + when "0101100" => + dest <= 4; + src <= 62; + when "0101101" => + dest <= 47; + src <= 36; + when "0101110" => + dest <= 5; + src <= 43; + when "0101111" => + dest <= 5; + src <= 6; + when "0110000" => + dest <= 36; + src <= 50; + when "0110001" => + dest <= 5; + src <= 5; + when "0110010" => + dest <= 9; + src <= 18; + when "0110011" => + dest <= 5; + src <= 5; + when "0110100" => + dest <= 60; + src <= 27; + when "0110101" => + dest <= 56; + src <= 5; + when "0110110" => + dest <= 5; + src <= 5; + when "0110111" => + dest <= 55; + src <= 38; + when "0111000" => + dest <= 5; + src <= 14; + when "0111001" => + dest <= 46; + src <= 57; + when "0111010" => + dest <= 5; + src <= 5; + when "0111011" => + dest <= 30; + src <= 10; + when "0111100" => + dest <= 44; + src <= 5; + when "0111101" => + dest <= 23; + src <= 19; + when "0111110" => + dest <= 5; + src <= 5; + when "0111111" => + dest <= 51; + src <= 28; + when "1000000" => + dest <= 8; + src <= 5; + when "1000001" => + dest <= 37; + src <= 44; + when "1000010" => + dest <= 5; + src <= 30; + when "1000011" => + dest <= 1; + src <= 51; + when "1000100" => + dest <= 5; + src <= 5; + when "1000101" => + dest <= 59; + src <= 23; + when "1000110" => + dest <= 63; + src <= 58; + when "1000111" => + dest <= 54; + src <= 37; + when "1001000" => + dest <= 5; + src <= 5; + when "1001001" => + dest <= 52; + src <= 1; + when "1001010" => + dest <= 22; + src <= 15; + when "1001011" => + dest <= 45; + src <= 11; + when "1001100" => + dest <= 15; + src <= 20; + when "1001101" => + dest <= 5; + src <= 5; + when "1001110" => + dest <= 0; + src <= 22; + when "1001111" => + dest <= 5; + src <= 52; + when "1010000" => + dest <= 62; + src <= 29; + when "1010001" => + dest <= 53; + src <= 59; + when "1010010" => + dest <= 7; + src <= 5; + when "1010011" => + dest <= 5; + src <= 2; + when "1010100" => + dest <= 5; + src <= 12; + when "1010101" => + dest <= 33; + src <= 21; + when "1010110" => + dest <= 24; + src <= 3; + when others => + dest <= 5; + src <= 5; + + end case; + end process; + + end generate NI_NUM5; + + NI_NUM6 : if NI_NUM = 6 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 11; + src <= 6; + when "0000001" => + dest <= 14; + src <= 6; + when "0000010" => + dest <= 62; + src <= 6; + when "0000011" => + dest <= 42; + src <= 6; + when "0000100" => + dest <= 35; + src <= 62; + when "0000101" => + dest <= 6; + src <= 14; + when "0000110" => + dest <= 27; + src <= 57; + when "0000111" => + dest <= 4; + src <= 43; + when "0001000" => + dest <= 6; + src <= 34; + when "0001001" => + dest <= 28; + src <= 6; + when "0001010" => + dest <= 26; + src <= 6; + when "0001011" => + dest <= 33; + src <= 0; + when "0001100" => + dest <= 20; + src <= 26; + when "0001101" => + dest <= 6; + src <= 33; + when "0001110" => + dest <= 7; + src <= 41; + when "0001111" => + dest <= 59; + src <= 6; + when "0010000" => + dest <= 50; + src <= 40; + when "0010001" => + dest <= 21; + src <= 5; + when "0010010" => + dest <= 6; + src <= 48; + when "0010011" => + dest <= 41; + src <= 42; + when "0010100" => + dest <= 36; + src <= 35; + when "0010101" => + dest <= 12; + src <= 9; + when "0010110" => + dest <= 19; + src <= 55; + when "0010111" => + dest <= 6; + src <= 6; + when "0011000" => + dest <= 29; + src <= 18; + when "0011001" => + dest <= 6; + src <= 6; + when "0011010" => + dest <= 43; + src <= 56; + when "0011011" => + dest <= 32; + src <= 27; + when "0011100" => + dest <= 18; + src <= 32; + when "0011101" => + dest <= 15; + src <= 49; + when "0011110" => + dest <= 6; + src <= 47; + when "0011111" => + dest <= 6; + src <= 6; + when "0100000" => + dest <= 3; + src <= 6; + when "0100001" => + dest <= 58; + src <= 61; + when "0100010" => + dest <= 51; + src <= 25; + when "0100011" => + dest <= 22; + src <= 36; + when "0100100" => + dest <= 44; + src <= 50; + when "0100101" => + dest <= 30; + src <= 1; + when "0100110" => + dest <= 39; + src <= 6; + when "0100111" => + dest <= 24; + src <= 54; + when "0101000" => + dest <= 13; + src <= 10; + when "0101001" => + dest <= 17; + src <= 17; + when "0101010" => + dest <= 6; + src <= 46; + when "0101011" => + dest <= 49; + src <= 24; + when "0101100" => + dest <= 5; + src <= 63; + when "0101101" => + dest <= 40; + src <= 37; + when "0101110" => + dest <= 6; + src <= 44; + when "0101111" => + dest <= 6; + src <= 7; + when "0110000" => + dest <= 37; + src <= 51; + when "0110001" => + dest <= 6; + src <= 6; + when "0110010" => + dest <= 10; + src <= 19; + when "0110011" => + dest <= 6; + src <= 6; + when "0110100" => + dest <= 61; + src <= 28; + when "0110101" => + dest <= 57; + src <= 6; + when "0110110" => + dest <= 6; + src <= 6; + when "0110111" => + dest <= 48; + src <= 39; + when "0111000" => + dest <= 6; + src <= 15; + when "0111001" => + dest <= 47; + src <= 58; + when "0111010" => + dest <= 6; + src <= 6; + when "0111011" => + dest <= 31; + src <= 11; + when "0111100" => + dest <= 45; + src <= 6; + when "0111101" => + dest <= 16; + src <= 20; + when "0111110" => + dest <= 6; + src <= 6; + when "0111111" => + dest <= 52; + src <= 29; + when "1000000" => + dest <= 9; + src <= 6; + when "1000001" => + dest <= 38; + src <= 45; + when "1000010" => + dest <= 6; + src <= 31; + when "1000011" => + dest <= 2; + src <= 52; + when "1000100" => + dest <= 6; + src <= 6; + when "1000101" => + dest <= 60; + src <= 16; + when "1000110" => + dest <= 56; + src <= 59; + when "1000111" => + dest <= 55; + src <= 38; + when "1001000" => + dest <= 6; + src <= 6; + when "1001001" => + dest <= 53; + src <= 2; + when "1001010" => + dest <= 23; + src <= 8; + when "1001011" => + dest <= 46; + src <= 12; + when "1001100" => + dest <= 8; + src <= 21; + when "1001101" => + dest <= 6; + src <= 6; + when "1001110" => + dest <= 1; + src <= 23; + when "1001111" => + dest <= 6; + src <= 53; + when "1010000" => + dest <= 63; + src <= 30; + when "1010001" => + dest <= 54; + src <= 60; + when "1010010" => + dest <= 0; + src <= 6; + when "1010011" => + dest <= 6; + src <= 3; + when "1010100" => + dest <= 6; + src <= 13; + when "1010101" => + dest <= 34; + src <= 22; + when "1010110" => + dest <= 25; + src <= 4; + when others => + dest <= 6; + src <= 6; + + end case; + end process; + + end generate NI_NUM6; + + NI_NUM7 : if NI_NUM = 7 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 12; + src <= 7; + when "0000001" => + dest <= 15; + src <= 7; + when "0000010" => + dest <= 63; + src <= 7; + when "0000011" => + dest <= 43; + src <= 7; + when "0000100" => + dest <= 36; + src <= 63; + when "0000101" => + dest <= 7; + src <= 15; + when "0000110" => + dest <= 28; + src <= 58; + when "0000111" => + dest <= 5; + src <= 44; + when "0001000" => + dest <= 7; + src <= 35; + when "0001001" => + dest <= 29; + src <= 7; + when "0001010" => + dest <= 27; + src <= 7; + when "0001011" => + dest <= 34; + src <= 1; + when "0001100" => + dest <= 21; + src <= 27; + when "0001101" => + dest <= 7; + src <= 34; + when "0001110" => + dest <= 0; + src <= 42; + when "0001111" => + dest <= 60; + src <= 7; + when "0010000" => + dest <= 51; + src <= 41; + when "0010001" => + dest <= 22; + src <= 6; + when "0010010" => + dest <= 7; + src <= 49; + when "0010011" => + dest <= 42; + src <= 43; + when "0010100" => + dest <= 37; + src <= 36; + when "0010101" => + dest <= 13; + src <= 10; + when "0010110" => + dest <= 20; + src <= 48; + when "0010111" => + dest <= 7; + src <= 7; + when "0011000" => + dest <= 30; + src <= 19; + when "0011001" => + dest <= 7; + src <= 7; + when "0011010" => + dest <= 44; + src <= 57; + when "0011011" => + dest <= 33; + src <= 28; + when "0011100" => + dest <= 19; + src <= 33; + when "0011101" => + dest <= 8; + src <= 50; + when "0011110" => + dest <= 7; + src <= 40; + when "0011111" => + dest <= 7; + src <= 7; + when "0100000" => + dest <= 4; + src <= 7; + when "0100001" => + dest <= 59; + src <= 62; + when "0100010" => + dest <= 52; + src <= 26; + when "0100011" => + dest <= 23; + src <= 37; + when "0100100" => + dest <= 45; + src <= 51; + when "0100101" => + dest <= 31; + src <= 2; + when "0100110" => + dest <= 32; + src <= 7; + when "0100111" => + dest <= 25; + src <= 55; + when "0101000" => + dest <= 14; + src <= 11; + when "0101001" => + dest <= 18; + src <= 18; + when "0101010" => + dest <= 7; + src <= 47; + when "0101011" => + dest <= 50; + src <= 25; + when "0101100" => + dest <= 6; + src <= 56; + when "0101101" => + dest <= 41; + src <= 38; + when "0101110" => + dest <= 7; + src <= 45; + when "0101111" => + dest <= 7; + src <= 0; + when "0110000" => + dest <= 38; + src <= 52; + when "0110001" => + dest <= 7; + src <= 7; + when "0110010" => + dest <= 11; + src <= 20; + when "0110011" => + dest <= 7; + src <= 7; + when "0110100" => + dest <= 62; + src <= 29; + when "0110101" => + dest <= 58; + src <= 7; + when "0110110" => + dest <= 7; + src <= 7; + when "0110111" => + dest <= 49; + src <= 32; + when "0111000" => + dest <= 7; + src <= 8; + when "0111001" => + dest <= 40; + src <= 59; + when "0111010" => + dest <= 7; + src <= 7; + when "0111011" => + dest <= 24; + src <= 12; + when "0111100" => + dest <= 46; + src <= 7; + when "0111101" => + dest <= 17; + src <= 21; + when "0111110" => + dest <= 7; + src <= 7; + when "0111111" => + dest <= 53; + src <= 30; + when "1000000" => + dest <= 10; + src <= 7; + when "1000001" => + dest <= 39; + src <= 46; + when "1000010" => + dest <= 7; + src <= 24; + when "1000011" => + dest <= 3; + src <= 53; + when "1000100" => + dest <= 7; + src <= 7; + when "1000101" => + dest <= 61; + src <= 17; + when "1000110" => + dest <= 57; + src <= 60; + when "1000111" => + dest <= 48; + src <= 39; + when "1001000" => + dest <= 7; + src <= 7; + when "1001001" => + dest <= 54; + src <= 3; + when "1001010" => + dest <= 16; + src <= 9; + when "1001011" => + dest <= 47; + src <= 13; + when "1001100" => + dest <= 9; + src <= 22; + when "1001101" => + dest <= 7; + src <= 7; + when "1001110" => + dest <= 2; + src <= 16; + when "1001111" => + dest <= 7; + src <= 54; + when "1010000" => + dest <= 56; + src <= 31; + when "1010001" => + dest <= 55; + src <= 61; + when "1010010" => + dest <= 1; + src <= 7; + when "1010011" => + dest <= 7; + src <= 4; + when "1010100" => + dest <= 7; + src <= 14; + when "1010101" => + dest <= 35; + src <= 23; + when "1010110" => + dest <= 26; + src <= 5; + when others => + dest <= 7; + src <= 7; + + end case; + end process; + + end generate NI_NUM7; + + NI_NUM8 : if NI_NUM = 8 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 21; + src <= 8; + when "0000001" => + dest <= 16; + src <= 8; + when "0000010" => + dest <= 0; + src <= 8; + when "0000011" => + dest <= 52; + src <= 8; + when "0000100" => + dest <= 45; + src <= 0; + when "0000101" => + dest <= 8; + src <= 16; + when "0000110" => + dest <= 37; + src <= 3; + when "0000111" => + dest <= 14; + src <= 53; + when "0001000" => + dest <= 8; + src <= 44; + when "0001001" => + dest <= 38; + src <= 8; + when "0001010" => + dest <= 36; + src <= 8; + when "0001011" => + dest <= 43; + src <= 10; + when "0001100" => + dest <= 30; + src <= 36; + when "0001101" => + dest <= 8; + src <= 43; + when "0001110" => + dest <= 9; + src <= 51; + when "0001111" => + dest <= 5; + src <= 8; + when "0010000" => + dest <= 60; + src <= 50; + when "0010001" => + dest <= 31; + src <= 15; + when "0010010" => + dest <= 8; + src <= 58; + when "0010011" => + dest <= 51; + src <= 52; + when "0010100" => + dest <= 46; + src <= 45; + when "0010101" => + dest <= 22; + src <= 19; + when "0010110" => + dest <= 29; + src <= 57; + when "0010111" => + dest <= 8; + src <= 8; + when "0011000" => + dest <= 39; + src <= 28; + when "0011001" => + dest <= 8; + src <= 8; + when "0011010" => + dest <= 53; + src <= 2; + when "0011011" => + dest <= 42; + src <= 37; + when "0011100" => + dest <= 28; + src <= 42; + when "0011101" => + dest <= 17; + src <= 59; + when "0011110" => + dest <= 8; + src <= 49; + when "0011111" => + dest <= 8; + src <= 8; + when "0100000" => + dest <= 13; + src <= 8; + when "0100001" => + dest <= 4; + src <= 7; + when "0100010" => + dest <= 61; + src <= 35; + when "0100011" => + dest <= 24; + src <= 46; + when "0100100" => + dest <= 54; + src <= 60; + when "0100101" => + dest <= 32; + src <= 11; + when "0100110" => + dest <= 41; + src <= 8; + when "0100111" => + dest <= 34; + src <= 56; + when "0101000" => + dest <= 23; + src <= 20; + when "0101001" => + dest <= 27; + src <= 27; + when "0101010" => + dest <= 8; + src <= 48; + when "0101011" => + dest <= 59; + src <= 34; + when "0101100" => + dest <= 15; + src <= 1; + when "0101101" => + dest <= 50; + src <= 47; + when "0101110" => + dest <= 8; + src <= 54; + when "0101111" => + dest <= 8; + src <= 9; + when "0110000" => + dest <= 47; + src <= 61; + when "0110001" => + dest <= 8; + src <= 8; + when "0110010" => + dest <= 20; + src <= 29; + when "0110011" => + dest <= 8; + src <= 8; + when "0110100" => + dest <= 7; + src <= 38; + when "0110101" => + dest <= 3; + src <= 8; + when "0110110" => + dest <= 8; + src <= 8; + when "0110111" => + dest <= 58; + src <= 41; + when "0111000" => + dest <= 8; + src <= 17; + when "0111001" => + dest <= 49; + src <= 4; + when "0111010" => + dest <= 8; + src <= 8; + when "0111011" => + dest <= 33; + src <= 21; + when "0111100" => + dest <= 55; + src <= 8; + when "0111101" => + dest <= 26; + src <= 30; + when "0111110" => + dest <= 8; + src <= 8; + when "0111111" => + dest <= 62; + src <= 39; + when "1000000" => + dest <= 19; + src <= 8; + when "1000001" => + dest <= 40; + src <= 55; + when "1000010" => + dest <= 8; + src <= 33; + when "1000011" => + dest <= 12; + src <= 62; + when "1000100" => + dest <= 8; + src <= 8; + when "1000101" => + dest <= 6; + src <= 26; + when "1000110" => + dest <= 2; + src <= 5; + when "1000111" => + dest <= 57; + src <= 40; + when "1001000" => + dest <= 8; + src <= 8; + when "1001001" => + dest <= 63; + src <= 12; + when "1001010" => + dest <= 25; + src <= 18; + when "1001011" => + dest <= 48; + src <= 22; + when "1001100" => + dest <= 18; + src <= 31; + when "1001101" => + dest <= 8; + src <= 8; + when "1001110" => + dest <= 11; + src <= 25; + when "1001111" => + dest <= 8; + src <= 63; + when "1010000" => + dest <= 1; + src <= 32; + when "1010001" => + dest <= 56; + src <= 6; + when "1010010" => + dest <= 10; + src <= 8; + when "1010011" => + dest <= 8; + src <= 13; + when "1010100" => + dest <= 8; + src <= 23; + when "1010101" => + dest <= 44; + src <= 24; + when "1010110" => + dest <= 35; + src <= 14; + when others => + dest <= 8; + src <= 8; + + end case; + end process; + + end generate NI_NUM8; + + NI_NUM9 : if NI_NUM = 9 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 22; + src <= 9; + when "0000001" => + dest <= 17; + src <= 9; + when "0000010" => + dest <= 1; + src <= 9; + when "0000011" => + dest <= 53; + src <= 9; + when "0000100" => + dest <= 46; + src <= 1; + when "0000101" => + dest <= 9; + src <= 17; + when "0000110" => + dest <= 38; + src <= 4; + when "0000111" => + dest <= 15; + src <= 54; + when "0001000" => + dest <= 9; + src <= 45; + when "0001001" => + dest <= 39; + src <= 9; + when "0001010" => + dest <= 37; + src <= 9; + when "0001011" => + dest <= 44; + src <= 11; + when "0001100" => + dest <= 31; + src <= 37; + when "0001101" => + dest <= 9; + src <= 44; + when "0001110" => + dest <= 10; + src <= 52; + when "0001111" => + dest <= 6; + src <= 9; + when "0010000" => + dest <= 61; + src <= 51; + when "0010001" => + dest <= 24; + src <= 8; + when "0010010" => + dest <= 9; + src <= 59; + when "0010011" => + dest <= 52; + src <= 53; + when "0010100" => + dest <= 47; + src <= 46; + when "0010101" => + dest <= 23; + src <= 20; + when "0010110" => + dest <= 30; + src <= 58; + when "0010111" => + dest <= 9; + src <= 9; + when "0011000" => + dest <= 32; + src <= 29; + when "0011001" => + dest <= 9; + src <= 9; + when "0011010" => + dest <= 54; + src <= 3; + when "0011011" => + dest <= 43; + src <= 38; + when "0011100" => + dest <= 29; + src <= 43; + when "0011101" => + dest <= 18; + src <= 60; + when "0011110" => + dest <= 9; + src <= 50; + when "0011111" => + dest <= 9; + src <= 9; + when "0100000" => + dest <= 14; + src <= 9; + when "0100001" => + dest <= 5; + src <= 0; + when "0100010" => + dest <= 62; + src <= 36; + when "0100011" => + dest <= 25; + src <= 47; + when "0100100" => + dest <= 55; + src <= 61; + when "0100101" => + dest <= 33; + src <= 12; + when "0100110" => + dest <= 42; + src <= 9; + when "0100111" => + dest <= 35; + src <= 57; + when "0101000" => + dest <= 16; + src <= 21; + when "0101001" => + dest <= 28; + src <= 28; + when "0101010" => + dest <= 9; + src <= 49; + when "0101011" => + dest <= 60; + src <= 35; + when "0101100" => + dest <= 8; + src <= 2; + when "0101101" => + dest <= 51; + src <= 40; + when "0101110" => + dest <= 9; + src <= 55; + when "0101111" => + dest <= 9; + src <= 10; + when "0110000" => + dest <= 40; + src <= 62; + when "0110001" => + dest <= 9; + src <= 9; + when "0110010" => + dest <= 21; + src <= 30; + when "0110011" => + dest <= 9; + src <= 9; + when "0110100" => + dest <= 0; + src <= 39; + when "0110101" => + dest <= 4; + src <= 9; + when "0110110" => + dest <= 9; + src <= 9; + when "0110111" => + dest <= 59; + src <= 42; + when "0111000" => + dest <= 9; + src <= 18; + when "0111001" => + dest <= 50; + src <= 5; + when "0111010" => + dest <= 9; + src <= 9; + when "0111011" => + dest <= 34; + src <= 22; + when "0111100" => + dest <= 48; + src <= 9; + when "0111101" => + dest <= 27; + src <= 31; + when "0111110" => + dest <= 9; + src <= 9; + when "0111111" => + dest <= 63; + src <= 32; + when "1000000" => + dest <= 20; + src <= 9; + when "1000001" => + dest <= 41; + src <= 48; + when "1000010" => + dest <= 9; + src <= 34; + when "1000011" => + dest <= 13; + src <= 63; + when "1000100" => + dest <= 9; + src <= 9; + when "1000101" => + dest <= 7; + src <= 27; + when "1000110" => + dest <= 3; + src <= 6; + when "1000111" => + dest <= 58; + src <= 41; + when "1001000" => + dest <= 9; + src <= 9; + when "1001001" => + dest <= 56; + src <= 13; + when "1001010" => + dest <= 26; + src <= 19; + when "1001011" => + dest <= 49; + src <= 23; + when "1001100" => + dest <= 19; + src <= 24; + when "1001101" => + dest <= 9; + src <= 9; + when "1001110" => + dest <= 12; + src <= 26; + when "1001111" => + dest <= 9; + src <= 56; + when "1010000" => + dest <= 2; + src <= 33; + when "1010001" => + dest <= 57; + src <= 7; + when "1010010" => + dest <= 11; + src <= 9; + when "1010011" => + dest <= 9; + src <= 14; + when "1010100" => + dest <= 9; + src <= 16; + when "1010101" => + dest <= 45; + src <= 25; + when "1010110" => + dest <= 36; + src <= 15; + when others => + dest <= 9; + src <= 9; + + end case; + end process; + + end generate NI_NUM9; + + NI_NUM10 : if NI_NUM = 10 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 23; + src <= 10; + when "0000001" => + dest <= 18; + src <= 10; + when "0000010" => + dest <= 2; + src <= 10; + when "0000011" => + dest <= 54; + src <= 10; + when "0000100" => + dest <= 47; + src <= 2; + when "0000101" => + dest <= 10; + src <= 18; + when "0000110" => + dest <= 39; + src <= 5; + when "0000111" => + dest <= 8; + src <= 55; + when "0001000" => + dest <= 10; + src <= 46; + when "0001001" => + dest <= 32; + src <= 10; + when "0001010" => + dest <= 38; + src <= 10; + when "0001011" => + dest <= 45; + src <= 12; + when "0001100" => + dest <= 24; + src <= 38; + when "0001101" => + dest <= 10; + src <= 45; + when "0001110" => + dest <= 11; + src <= 53; + when "0001111" => + dest <= 7; + src <= 10; + when "0010000" => + dest <= 62; + src <= 52; + when "0010001" => + dest <= 25; + src <= 9; + when "0010010" => + dest <= 10; + src <= 60; + when "0010011" => + dest <= 53; + src <= 54; + when "0010100" => + dest <= 40; + src <= 47; + when "0010101" => + dest <= 16; + src <= 21; + when "0010110" => + dest <= 31; + src <= 59; + when "0010111" => + dest <= 10; + src <= 10; + when "0011000" => + dest <= 33; + src <= 30; + when "0011001" => + dest <= 10; + src <= 10; + when "0011010" => + dest <= 55; + src <= 4; + when "0011011" => + dest <= 44; + src <= 39; + when "0011100" => + dest <= 30; + src <= 44; + when "0011101" => + dest <= 19; + src <= 61; + when "0011110" => + dest <= 10; + src <= 51; + when "0011111" => + dest <= 10; + src <= 10; + when "0100000" => + dest <= 15; + src <= 10; + when "0100001" => + dest <= 6; + src <= 1; + when "0100010" => + dest <= 63; + src <= 37; + when "0100011" => + dest <= 26; + src <= 40; + when "0100100" => + dest <= 48; + src <= 62; + when "0100101" => + dest <= 34; + src <= 13; + when "0100110" => + dest <= 43; + src <= 10; + when "0100111" => + dest <= 36; + src <= 58; + when "0101000" => + dest <= 17; + src <= 22; + when "0101001" => + dest <= 29; + src <= 29; + when "0101010" => + dest <= 10; + src <= 50; + when "0101011" => + dest <= 61; + src <= 36; + when "0101100" => + dest <= 9; + src <= 3; + when "0101101" => + dest <= 52; + src <= 41; + when "0101110" => + dest <= 10; + src <= 48; + when "0101111" => + dest <= 10; + src <= 11; + when "0110000" => + dest <= 41; + src <= 63; + when "0110001" => + dest <= 10; + src <= 10; + when "0110010" => + dest <= 22; + src <= 31; + when "0110011" => + dest <= 10; + src <= 10; + when "0110100" => + dest <= 1; + src <= 32; + when "0110101" => + dest <= 5; + src <= 10; + when "0110110" => + dest <= 10; + src <= 10; + when "0110111" => + dest <= 60; + src <= 43; + when "0111000" => + dest <= 10; + src <= 19; + when "0111001" => + dest <= 51; + src <= 6; + when "0111010" => + dest <= 10; + src <= 10; + when "0111011" => + dest <= 35; + src <= 23; + when "0111100" => + dest <= 49; + src <= 10; + when "0111101" => + dest <= 28; + src <= 24; + when "0111110" => + dest <= 10; + src <= 10; + when "0111111" => + dest <= 56; + src <= 33; + when "1000000" => + dest <= 21; + src <= 10; + when "1000001" => + dest <= 42; + src <= 49; + when "1000010" => + dest <= 10; + src <= 35; + when "1000011" => + dest <= 14; + src <= 56; + when "1000100" => + dest <= 10; + src <= 10; + when "1000101" => + dest <= 0; + src <= 28; + when "1000110" => + dest <= 4; + src <= 7; + when "1000111" => + dest <= 59; + src <= 42; + when "1001000" => + dest <= 10; + src <= 10; + when "1001001" => + dest <= 57; + src <= 14; + when "1001010" => + dest <= 27; + src <= 20; + when "1001011" => + dest <= 50; + src <= 16; + when "1001100" => + dest <= 20; + src <= 25; + when "1001101" => + dest <= 10; + src <= 10; + when "1001110" => + dest <= 13; + src <= 27; + when "1001111" => + dest <= 10; + src <= 57; + when "1010000" => + dest <= 3; + src <= 34; + when "1010001" => + dest <= 58; + src <= 0; + when "1010010" => + dest <= 12; + src <= 10; + when "1010011" => + dest <= 10; + src <= 15; + when "1010100" => + dest <= 10; + src <= 17; + when "1010101" => + dest <= 46; + src <= 26; + when "1010110" => + dest <= 37; + src <= 8; + when others => + dest <= 10; + src <= 10; + + end case; + end process; + + end generate NI_NUM10; + + NI_NUM11 : if NI_NUM = 11 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 16; + src <= 11; + when "0000001" => + dest <= 19; + src <= 11; + when "0000010" => + dest <= 3; + src <= 11; + when "0000011" => + dest <= 55; + src <= 11; + when "0000100" => + dest <= 40; + src <= 3; + when "0000101" => + dest <= 11; + src <= 19; + when "0000110" => + dest <= 32; + src <= 6; + when "0000111" => + dest <= 9; + src <= 48; + when "0001000" => + dest <= 11; + src <= 47; + when "0001001" => + dest <= 33; + src <= 11; + when "0001010" => + dest <= 39; + src <= 11; + when "0001011" => + dest <= 46; + src <= 13; + when "0001100" => + dest <= 25; + src <= 39; + when "0001101" => + dest <= 11; + src <= 46; + when "0001110" => + dest <= 12; + src <= 54; + when "0001111" => + dest <= 0; + src <= 11; + when "0010000" => + dest <= 63; + src <= 53; + when "0010001" => + dest <= 26; + src <= 10; + when "0010010" => + dest <= 11; + src <= 61; + when "0010011" => + dest <= 54; + src <= 55; + when "0010100" => + dest <= 41; + src <= 40; + when "0010101" => + dest <= 17; + src <= 22; + when "0010110" => + dest <= 24; + src <= 60; + when "0010111" => + dest <= 11; + src <= 11; + when "0011000" => + dest <= 34; + src <= 31; + when "0011001" => + dest <= 11; + src <= 11; + when "0011010" => + dest <= 48; + src <= 5; + when "0011011" => + dest <= 45; + src <= 32; + when "0011100" => + dest <= 31; + src <= 45; + when "0011101" => + dest <= 20; + src <= 62; + when "0011110" => + dest <= 11; + src <= 52; + when "0011111" => + dest <= 11; + src <= 11; + when "0100000" => + dest <= 8; + src <= 11; + when "0100001" => + dest <= 7; + src <= 2; + when "0100010" => + dest <= 56; + src <= 38; + when "0100011" => + dest <= 27; + src <= 41; + when "0100100" => + dest <= 49; + src <= 63; + when "0100101" => + dest <= 35; + src <= 14; + when "0100110" => + dest <= 44; + src <= 11; + when "0100111" => + dest <= 37; + src <= 59; + when "0101000" => + dest <= 18; + src <= 23; + when "0101001" => + dest <= 30; + src <= 30; + when "0101010" => + dest <= 11; + src <= 51; + when "0101011" => + dest <= 62; + src <= 37; + when "0101100" => + dest <= 10; + src <= 4; + when "0101101" => + dest <= 53; + src <= 42; + when "0101110" => + dest <= 11; + src <= 49; + when "0101111" => + dest <= 11; + src <= 12; + when "0110000" => + dest <= 42; + src <= 56; + when "0110001" => + dest <= 11; + src <= 11; + when "0110010" => + dest <= 23; + src <= 24; + when "0110011" => + dest <= 11; + src <= 11; + when "0110100" => + dest <= 2; + src <= 33; + when "0110101" => + dest <= 6; + src <= 11; + when "0110110" => + dest <= 11; + src <= 11; + when "0110111" => + dest <= 61; + src <= 44; + when "0111000" => + dest <= 11; + src <= 20; + when "0111001" => + dest <= 52; + src <= 7; + when "0111010" => + dest <= 11; + src <= 11; + when "0111011" => + dest <= 36; + src <= 16; + when "0111100" => + dest <= 50; + src <= 11; + when "0111101" => + dest <= 29; + src <= 25; + when "0111110" => + dest <= 11; + src <= 11; + when "0111111" => + dest <= 57; + src <= 34; + when "1000000" => + dest <= 22; + src <= 11; + when "1000001" => + dest <= 43; + src <= 50; + when "1000010" => + dest <= 11; + src <= 36; + when "1000011" => + dest <= 15; + src <= 57; + when "1000100" => + dest <= 11; + src <= 11; + when "1000101" => + dest <= 1; + src <= 29; + when "1000110" => + dest <= 5; + src <= 0; + when "1000111" => + dest <= 60; + src <= 43; + when "1001000" => + dest <= 11; + src <= 11; + when "1001001" => + dest <= 58; + src <= 15; + when "1001010" => + dest <= 28; + src <= 21; + when "1001011" => + dest <= 51; + src <= 17; + when "1001100" => + dest <= 21; + src <= 26; + when "1001101" => + dest <= 11; + src <= 11; + when "1001110" => + dest <= 14; + src <= 28; + when "1001111" => + dest <= 11; + src <= 58; + when "1010000" => + dest <= 4; + src <= 35; + when "1010001" => + dest <= 59; + src <= 1; + when "1010010" => + dest <= 13; + src <= 11; + when "1010011" => + dest <= 11; + src <= 8; + when "1010100" => + dest <= 11; + src <= 18; + when "1010101" => + dest <= 47; + src <= 27; + when "1010110" => + dest <= 38; + src <= 9; + when others => + dest <= 11; + src <= 11; + + end case; + end process; + + end generate NI_NUM11; + + NI_NUM12 : if NI_NUM = 12 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 17; + src <= 12; + when "0000001" => + dest <= 20; + src <= 12; + when "0000010" => + dest <= 4; + src <= 12; + when "0000011" => + dest <= 48; + src <= 12; + when "0000100" => + dest <= 41; + src <= 4; + when "0000101" => + dest <= 12; + src <= 20; + when "0000110" => + dest <= 33; + src <= 7; + when "0000111" => + dest <= 10; + src <= 49; + when "0001000" => + dest <= 12; + src <= 40; + when "0001001" => + dest <= 34; + src <= 12; + when "0001010" => + dest <= 32; + src <= 12; + when "0001011" => + dest <= 47; + src <= 14; + when "0001100" => + dest <= 26; + src <= 32; + when "0001101" => + dest <= 12; + src <= 47; + when "0001110" => + dest <= 13; + src <= 55; + when "0001111" => + dest <= 1; + src <= 12; + when "0010000" => + dest <= 56; + src <= 54; + when "0010001" => + dest <= 27; + src <= 11; + when "0010010" => + dest <= 12; + src <= 62; + when "0010011" => + dest <= 55; + src <= 48; + when "0010100" => + dest <= 42; + src <= 41; + when "0010101" => + dest <= 18; + src <= 23; + when "0010110" => + dest <= 25; + src <= 61; + when "0010111" => + dest <= 12; + src <= 12; + when "0011000" => + dest <= 35; + src <= 24; + when "0011001" => + dest <= 12; + src <= 12; + when "0011010" => + dest <= 49; + src <= 6; + when "0011011" => + dest <= 46; + src <= 33; + when "0011100" => + dest <= 24; + src <= 46; + when "0011101" => + dest <= 21; + src <= 63; + when "0011110" => + dest <= 12; + src <= 53; + when "0011111" => + dest <= 12; + src <= 12; + when "0100000" => + dest <= 9; + src <= 12; + when "0100001" => + dest <= 0; + src <= 3; + when "0100010" => + dest <= 57; + src <= 39; + when "0100011" => + dest <= 28; + src <= 42; + when "0100100" => + dest <= 50; + src <= 56; + when "0100101" => + dest <= 36; + src <= 15; + when "0100110" => + dest <= 45; + src <= 12; + when "0100111" => + dest <= 38; + src <= 60; + when "0101000" => + dest <= 19; + src <= 16; + when "0101001" => + dest <= 31; + src <= 31; + when "0101010" => + dest <= 12; + src <= 52; + when "0101011" => + dest <= 63; + src <= 38; + when "0101100" => + dest <= 11; + src <= 5; + when "0101101" => + dest <= 54; + src <= 43; + when "0101110" => + dest <= 12; + src <= 50; + when "0101111" => + dest <= 12; + src <= 13; + when "0110000" => + dest <= 43; + src <= 57; + when "0110001" => + dest <= 12; + src <= 12; + when "0110010" => + dest <= 16; + src <= 25; + when "0110011" => + dest <= 12; + src <= 12; + when "0110100" => + dest <= 3; + src <= 34; + when "0110101" => + dest <= 7; + src <= 12; + when "0110110" => + dest <= 12; + src <= 12; + when "0110111" => + dest <= 62; + src <= 45; + when "0111000" => + dest <= 12; + src <= 21; + when "0111001" => + dest <= 53; + src <= 0; + when "0111010" => + dest <= 12; + src <= 12; + when "0111011" => + dest <= 37; + src <= 17; + when "0111100" => + dest <= 51; + src <= 12; + when "0111101" => + dest <= 30; + src <= 26; + when "0111110" => + dest <= 12; + src <= 12; + when "0111111" => + dest <= 58; + src <= 35; + when "1000000" => + dest <= 23; + src <= 12; + when "1000001" => + dest <= 44; + src <= 51; + when "1000010" => + dest <= 12; + src <= 37; + when "1000011" => + dest <= 8; + src <= 58; + when "1000100" => + dest <= 12; + src <= 12; + when "1000101" => + dest <= 2; + src <= 30; + when "1000110" => + dest <= 6; + src <= 1; + when "1000111" => + dest <= 61; + src <= 44; + when "1001000" => + dest <= 12; + src <= 12; + when "1001001" => + dest <= 59; + src <= 8; + when "1001010" => + dest <= 29; + src <= 22; + when "1001011" => + dest <= 52; + src <= 18; + when "1001100" => + dest <= 22; + src <= 27; + when "1001101" => + dest <= 12; + src <= 12; + when "1001110" => + dest <= 15; + src <= 29; + when "1001111" => + dest <= 12; + src <= 59; + when "1010000" => + dest <= 5; + src <= 36; + when "1010001" => + dest <= 60; + src <= 2; + when "1010010" => + dest <= 14; + src <= 12; + when "1010011" => + dest <= 12; + src <= 9; + when "1010100" => + dest <= 12; + src <= 19; + when "1010101" => + dest <= 40; + src <= 28; + when "1010110" => + dest <= 39; + src <= 10; + when others => + dest <= 12; + src <= 12; + + end case; + end process; + + end generate NI_NUM12; + + NI_NUM13 : if NI_NUM = 13 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 18; + src <= 13; + when "0000001" => + dest <= 21; + src <= 13; + when "0000010" => + dest <= 5; + src <= 13; + when "0000011" => + dest <= 49; + src <= 13; + when "0000100" => + dest <= 42; + src <= 5; + when "0000101" => + dest <= 13; + src <= 21; + when "0000110" => + dest <= 34; + src <= 0; + when "0000111" => + dest <= 11; + src <= 50; + when "0001000" => + dest <= 13; + src <= 41; + when "0001001" => + dest <= 35; + src <= 13; + when "0001010" => + dest <= 33; + src <= 13; + when "0001011" => + dest <= 40; + src <= 15; + when "0001100" => + dest <= 27; + src <= 33; + when "0001101" => + dest <= 13; + src <= 40; + when "0001110" => + dest <= 14; + src <= 48; + when "0001111" => + dest <= 2; + src <= 13; + when "0010000" => + dest <= 57; + src <= 55; + when "0010001" => + dest <= 28; + src <= 12; + when "0010010" => + dest <= 13; + src <= 63; + when "0010011" => + dest <= 48; + src <= 49; + when "0010100" => + dest <= 43; + src <= 42; + when "0010101" => + dest <= 19; + src <= 16; + when "0010110" => + dest <= 26; + src <= 62; + when "0010111" => + dest <= 13; + src <= 13; + when "0011000" => + dest <= 36; + src <= 25; + when "0011001" => + dest <= 13; + src <= 13; + when "0011010" => + dest <= 50; + src <= 7; + when "0011011" => + dest <= 47; + src <= 34; + when "0011100" => + dest <= 25; + src <= 47; + when "0011101" => + dest <= 22; + src <= 56; + when "0011110" => + dest <= 13; + src <= 54; + when "0011111" => + dest <= 13; + src <= 13; + when "0100000" => + dest <= 10; + src <= 13; + when "0100001" => + dest <= 1; + src <= 4; + when "0100010" => + dest <= 58; + src <= 32; + when "0100011" => + dest <= 29; + src <= 43; + when "0100100" => + dest <= 51; + src <= 57; + when "0100101" => + dest <= 37; + src <= 8; + when "0100110" => + dest <= 46; + src <= 13; + when "0100111" => + dest <= 39; + src <= 61; + when "0101000" => + dest <= 20; + src <= 17; + when "0101001" => + dest <= 24; + src <= 24; + when "0101010" => + dest <= 13; + src <= 53; + when "0101011" => + dest <= 56; + src <= 39; + when "0101100" => + dest <= 12; + src <= 6; + when "0101101" => + dest <= 55; + src <= 44; + when "0101110" => + dest <= 13; + src <= 51; + when "0101111" => + dest <= 13; + src <= 14; + when "0110000" => + dest <= 44; + src <= 58; + when "0110001" => + dest <= 13; + src <= 13; + when "0110010" => + dest <= 17; + src <= 26; + when "0110011" => + dest <= 13; + src <= 13; + when "0110100" => + dest <= 4; + src <= 35; + when "0110101" => + dest <= 0; + src <= 13; + when "0110110" => + dest <= 13; + src <= 13; + when "0110111" => + dest <= 63; + src <= 46; + when "0111000" => + dest <= 13; + src <= 22; + when "0111001" => + dest <= 54; + src <= 1; + when "0111010" => + dest <= 13; + src <= 13; + when "0111011" => + dest <= 38; + src <= 18; + when "0111100" => + dest <= 52; + src <= 13; + when "0111101" => + dest <= 31; + src <= 27; + when "0111110" => + dest <= 13; + src <= 13; + when "0111111" => + dest <= 59; + src <= 36; + when "1000000" => + dest <= 16; + src <= 13; + when "1000001" => + dest <= 45; + src <= 52; + when "1000010" => + dest <= 13; + src <= 38; + when "1000011" => + dest <= 9; + src <= 59; + when "1000100" => + dest <= 13; + src <= 13; + when "1000101" => + dest <= 3; + src <= 31; + when "1000110" => + dest <= 7; + src <= 2; + when "1000111" => + dest <= 62; + src <= 45; + when "1001000" => + dest <= 13; + src <= 13; + when "1001001" => + dest <= 60; + src <= 9; + when "1001010" => + dest <= 30; + src <= 23; + when "1001011" => + dest <= 53; + src <= 19; + when "1001100" => + dest <= 23; + src <= 28; + when "1001101" => + dest <= 13; + src <= 13; + when "1001110" => + dest <= 8; + src <= 30; + when "1001111" => + dest <= 13; + src <= 60; + when "1010000" => + dest <= 6; + src <= 37; + when "1010001" => + dest <= 61; + src <= 3; + when "1010010" => + dest <= 15; + src <= 13; + when "1010011" => + dest <= 13; + src <= 10; + when "1010100" => + dest <= 13; + src <= 20; + when "1010101" => + dest <= 41; + src <= 29; + when "1010110" => + dest <= 32; + src <= 11; + when others => + dest <= 13; + src <= 13; + + end case; + end process; + + end generate NI_NUM13; + + NI_NUM14 : if NI_NUM = 14 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 19; + src <= 14; + when "0000001" => + dest <= 22; + src <= 14; + when "0000010" => + dest <= 6; + src <= 14; + when "0000011" => + dest <= 50; + src <= 14; + when "0000100" => + dest <= 43; + src <= 6; + when "0000101" => + dest <= 14; + src <= 22; + when "0000110" => + dest <= 35; + src <= 1; + when "0000111" => + dest <= 12; + src <= 51; + when "0001000" => + dest <= 14; + src <= 42; + when "0001001" => + dest <= 36; + src <= 14; + when "0001010" => + dest <= 34; + src <= 14; + when "0001011" => + dest <= 41; + src <= 8; + when "0001100" => + dest <= 28; + src <= 34; + when "0001101" => + dest <= 14; + src <= 41; + when "0001110" => + dest <= 15; + src <= 49; + when "0001111" => + dest <= 3; + src <= 14; + when "0010000" => + dest <= 58; + src <= 48; + when "0010001" => + dest <= 29; + src <= 13; + when "0010010" => + dest <= 14; + src <= 56; + when "0010011" => + dest <= 49; + src <= 50; + when "0010100" => + dest <= 44; + src <= 43; + when "0010101" => + dest <= 20; + src <= 17; + when "0010110" => + dest <= 27; + src <= 63; + when "0010111" => + dest <= 14; + src <= 14; + when "0011000" => + dest <= 37; + src <= 26; + when "0011001" => + dest <= 14; + src <= 14; + when "0011010" => + dest <= 51; + src <= 0; + when "0011011" => + dest <= 40; + src <= 35; + when "0011100" => + dest <= 26; + src <= 40; + when "0011101" => + dest <= 23; + src <= 57; + when "0011110" => + dest <= 14; + src <= 55; + when "0011111" => + dest <= 14; + src <= 14; + when "0100000" => + dest <= 11; + src <= 14; + when "0100001" => + dest <= 2; + src <= 5; + when "0100010" => + dest <= 59; + src <= 33; + when "0100011" => + dest <= 30; + src <= 44; + when "0100100" => + dest <= 52; + src <= 58; + when "0100101" => + dest <= 38; + src <= 9; + when "0100110" => + dest <= 47; + src <= 14; + when "0100111" => + dest <= 32; + src <= 62; + when "0101000" => + dest <= 21; + src <= 18; + when "0101001" => + dest <= 25; + src <= 25; + when "0101010" => + dest <= 14; + src <= 54; + when "0101011" => + dest <= 57; + src <= 32; + when "0101100" => + dest <= 13; + src <= 7; + when "0101101" => + dest <= 48; + src <= 45; + when "0101110" => + dest <= 14; + src <= 52; + when "0101111" => + dest <= 14; + src <= 15; + when "0110000" => + dest <= 45; + src <= 59; + when "0110001" => + dest <= 14; + src <= 14; + when "0110010" => + dest <= 18; + src <= 27; + when "0110011" => + dest <= 14; + src <= 14; + when "0110100" => + dest <= 5; + src <= 36; + when "0110101" => + dest <= 1; + src <= 14; + when "0110110" => + dest <= 14; + src <= 14; + when "0110111" => + dest <= 56; + src <= 47; + when "0111000" => + dest <= 14; + src <= 23; + when "0111001" => + dest <= 55; + src <= 2; + when "0111010" => + dest <= 14; + src <= 14; + when "0111011" => + dest <= 39; + src <= 19; + when "0111100" => + dest <= 53; + src <= 14; + when "0111101" => + dest <= 24; + src <= 28; + when "0111110" => + dest <= 14; + src <= 14; + when "0111111" => + dest <= 60; + src <= 37; + when "1000000" => + dest <= 17; + src <= 14; + when "1000001" => + dest <= 46; + src <= 53; + when "1000010" => + dest <= 14; + src <= 39; + when "1000011" => + dest <= 10; + src <= 60; + when "1000100" => + dest <= 14; + src <= 14; + when "1000101" => + dest <= 4; + src <= 24; + when "1000110" => + dest <= 0; + src <= 3; + when "1000111" => + dest <= 63; + src <= 46; + when "1001000" => + dest <= 14; + src <= 14; + when "1001001" => + dest <= 61; + src <= 10; + when "1001010" => + dest <= 31; + src <= 16; + when "1001011" => + dest <= 54; + src <= 20; + when "1001100" => + dest <= 16; + src <= 29; + when "1001101" => + dest <= 14; + src <= 14; + when "1001110" => + dest <= 9; + src <= 31; + when "1001111" => + dest <= 14; + src <= 61; + when "1010000" => + dest <= 7; + src <= 38; + when "1010001" => + dest <= 62; + src <= 4; + when "1010010" => + dest <= 8; + src <= 14; + when "1010011" => + dest <= 14; + src <= 11; + when "1010100" => + dest <= 14; + src <= 21; + when "1010101" => + dest <= 42; + src <= 30; + when "1010110" => + dest <= 33; + src <= 12; + when others => + dest <= 14; + src <= 14; + + end case; + end process; + + end generate NI_NUM14; + + NI_NUM15 : if NI_NUM = 15 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 20; + src <= 15; + when "0000001" => + dest <= 23; + src <= 15; + when "0000010" => + dest <= 7; + src <= 15; + when "0000011" => + dest <= 51; + src <= 15; + when "0000100" => + dest <= 44; + src <= 7; + when "0000101" => + dest <= 15; + src <= 23; + when "0000110" => + dest <= 36; + src <= 2; + when "0000111" => + dest <= 13; + src <= 52; + when "0001000" => + dest <= 15; + src <= 43; + when "0001001" => + dest <= 37; + src <= 15; + when "0001010" => + dest <= 35; + src <= 15; + when "0001011" => + dest <= 42; + src <= 9; + when "0001100" => + dest <= 29; + src <= 35; + when "0001101" => + dest <= 15; + src <= 42; + when "0001110" => + dest <= 8; + src <= 50; + when "0001111" => + dest <= 4; + src <= 15; + when "0010000" => + dest <= 59; + src <= 49; + when "0010001" => + dest <= 30; + src <= 14; + when "0010010" => + dest <= 15; + src <= 57; + when "0010011" => + dest <= 50; + src <= 51; + when "0010100" => + dest <= 45; + src <= 44; + when "0010101" => + dest <= 21; + src <= 18; + when "0010110" => + dest <= 28; + src <= 56; + when "0010111" => + dest <= 15; + src <= 15; + when "0011000" => + dest <= 38; + src <= 27; + when "0011001" => + dest <= 15; + src <= 15; + when "0011010" => + dest <= 52; + src <= 1; + when "0011011" => + dest <= 41; + src <= 36; + when "0011100" => + dest <= 27; + src <= 41; + when "0011101" => + dest <= 16; + src <= 58; + when "0011110" => + dest <= 15; + src <= 48; + when "0011111" => + dest <= 15; + src <= 15; + when "0100000" => + dest <= 12; + src <= 15; + when "0100001" => + dest <= 3; + src <= 6; + when "0100010" => + dest <= 60; + src <= 34; + when "0100011" => + dest <= 31; + src <= 45; + when "0100100" => + dest <= 53; + src <= 59; + when "0100101" => + dest <= 39; + src <= 10; + when "0100110" => + dest <= 40; + src <= 15; + when "0100111" => + dest <= 33; + src <= 63; + when "0101000" => + dest <= 22; + src <= 19; + when "0101001" => + dest <= 26; + src <= 26; + when "0101010" => + dest <= 15; + src <= 55; + when "0101011" => + dest <= 58; + src <= 33; + when "0101100" => + dest <= 14; + src <= 0; + when "0101101" => + dest <= 49; + src <= 46; + when "0101110" => + dest <= 15; + src <= 53; + when "0101111" => + dest <= 15; + src <= 8; + when "0110000" => + dest <= 46; + src <= 60; + when "0110001" => + dest <= 15; + src <= 15; + when "0110010" => + dest <= 19; + src <= 28; + when "0110011" => + dest <= 15; + src <= 15; + when "0110100" => + dest <= 6; + src <= 37; + when "0110101" => + dest <= 2; + src <= 15; + when "0110110" => + dest <= 15; + src <= 15; + when "0110111" => + dest <= 57; + src <= 40; + when "0111000" => + dest <= 15; + src <= 16; + when "0111001" => + dest <= 48; + src <= 3; + when "0111010" => + dest <= 15; + src <= 15; + when "0111011" => + dest <= 32; + src <= 20; + when "0111100" => + dest <= 54; + src <= 15; + when "0111101" => + dest <= 25; + src <= 29; + when "0111110" => + dest <= 15; + src <= 15; + when "0111111" => + dest <= 61; + src <= 38; + when "1000000" => + dest <= 18; + src <= 15; + when "1000001" => + dest <= 47; + src <= 54; + when "1000010" => + dest <= 15; + src <= 32; + when "1000011" => + dest <= 11; + src <= 61; + when "1000100" => + dest <= 15; + src <= 15; + when "1000101" => + dest <= 5; + src <= 25; + when "1000110" => + dest <= 1; + src <= 4; + when "1000111" => + dest <= 56; + src <= 47; + when "1001000" => + dest <= 15; + src <= 15; + when "1001001" => + dest <= 62; + src <= 11; + when "1001010" => + dest <= 24; + src <= 17; + when "1001011" => + dest <= 55; + src <= 21; + when "1001100" => + dest <= 17; + src <= 30; + when "1001101" => + dest <= 15; + src <= 15; + when "1001110" => + dest <= 10; + src <= 24; + when "1001111" => + dest <= 15; + src <= 62; + when "1010000" => + dest <= 0; + src <= 39; + when "1010001" => + dest <= 63; + src <= 5; + when "1010010" => + dest <= 9; + src <= 15; + when "1010011" => + dest <= 15; + src <= 12; + when "1010100" => + dest <= 15; + src <= 22; + when "1010101" => + dest <= 43; + src <= 31; + when "1010110" => + dest <= 34; + src <= 13; + when others => + dest <= 15; + src <= 15; + + end case; + end process; + + end generate NI_NUM15; + + NI_NUM16 : if NI_NUM = 16 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 29; + src <= 16; + when "0000001" => + dest <= 24; + src <= 16; + when "0000010" => + dest <= 8; + src <= 16; + when "0000011" => + dest <= 60; + src <= 16; + when "0000100" => + dest <= 53; + src <= 8; + when "0000101" => + dest <= 16; + src <= 24; + when "0000110" => + dest <= 45; + src <= 11; + when "0000111" => + dest <= 22; + src <= 61; + when "0001000" => + dest <= 16; + src <= 52; + when "0001001" => + dest <= 46; + src <= 16; + when "0001010" => + dest <= 44; + src <= 16; + when "0001011" => + dest <= 51; + src <= 18; + when "0001100" => + dest <= 38; + src <= 44; + when "0001101" => + dest <= 16; + src <= 51; + when "0001110" => + dest <= 17; + src <= 59; + when "0001111" => + dest <= 13; + src <= 16; + when "0010000" => + dest <= 4; + src <= 58; + when "0010001" => + dest <= 39; + src <= 23; + when "0010010" => + dest <= 16; + src <= 2; + when "0010011" => + dest <= 59; + src <= 60; + when "0010100" => + dest <= 54; + src <= 53; + when "0010101" => + dest <= 30; + src <= 27; + when "0010110" => + dest <= 37; + src <= 1; + when "0010111" => + dest <= 16; + src <= 16; + when "0011000" => + dest <= 47; + src <= 36; + when "0011001" => + dest <= 16; + src <= 16; + when "0011010" => + dest <= 61; + src <= 10; + when "0011011" => + dest <= 50; + src <= 45; + when "0011100" => + dest <= 36; + src <= 50; + when "0011101" => + dest <= 25; + src <= 3; + when "0011110" => + dest <= 16; + src <= 57; + when "0011111" => + dest <= 16; + src <= 16; + when "0100000" => + dest <= 21; + src <= 16; + when "0100001" => + dest <= 12; + src <= 15; + when "0100010" => + dest <= 5; + src <= 43; + when "0100011" => + dest <= 32; + src <= 54; + when "0100100" => + dest <= 62; + src <= 4; + when "0100101" => + dest <= 40; + src <= 19; + when "0100110" => + dest <= 49; + src <= 16; + when "0100111" => + dest <= 42; + src <= 0; + when "0101000" => + dest <= 31; + src <= 28; + when "0101001" => + dest <= 35; + src <= 35; + when "0101010" => + dest <= 16; + src <= 56; + when "0101011" => + dest <= 3; + src <= 42; + when "0101100" => + dest <= 23; + src <= 9; + when "0101101" => + dest <= 58; + src <= 55; + when "0101110" => + dest <= 16; + src <= 62; + when "0101111" => + dest <= 16; + src <= 17; + when "0110000" => + dest <= 55; + src <= 5; + when "0110001" => + dest <= 16; + src <= 16; + when "0110010" => + dest <= 28; + src <= 37; + when "0110011" => + dest <= 16; + src <= 16; + when "0110100" => + dest <= 15; + src <= 46; + when "0110101" => + dest <= 11; + src <= 16; + when "0110110" => + dest <= 16; + src <= 16; + when "0110111" => + dest <= 2; + src <= 49; + when "0111000" => + dest <= 16; + src <= 25; + when "0111001" => + dest <= 57; + src <= 12; + when "0111010" => + dest <= 16; + src <= 16; + when "0111011" => + dest <= 41; + src <= 29; + when "0111100" => + dest <= 63; + src <= 16; + when "0111101" => + dest <= 34; + src <= 38; + when "0111110" => + dest <= 16; + src <= 16; + when "0111111" => + dest <= 6; + src <= 47; + when "1000000" => + dest <= 27; + src <= 16; + when "1000001" => + dest <= 48; + src <= 63; + when "1000010" => + dest <= 16; + src <= 41; + when "1000011" => + dest <= 20; + src <= 6; + when "1000100" => + dest <= 16; + src <= 16; + when "1000101" => + dest <= 14; + src <= 34; + when "1000110" => + dest <= 10; + src <= 13; + when "1000111" => + dest <= 1; + src <= 48; + when "1001000" => + dest <= 16; + src <= 16; + when "1001001" => + dest <= 7; + src <= 20; + when "1001010" => + dest <= 33; + src <= 26; + when "1001011" => + dest <= 56; + src <= 30; + when "1001100" => + dest <= 26; + src <= 39; + when "1001101" => + dest <= 16; + src <= 16; + when "1001110" => + dest <= 19; + src <= 33; + when "1001111" => + dest <= 16; + src <= 7; + when "1010000" => + dest <= 9; + src <= 40; + when "1010001" => + dest <= 0; + src <= 14; + when "1010010" => + dest <= 18; + src <= 16; + when "1010011" => + dest <= 16; + src <= 21; + when "1010100" => + dest <= 16; + src <= 31; + when "1010101" => + dest <= 52; + src <= 32; + when "1010110" => + dest <= 43; + src <= 22; + when others => + dest <= 16; + src <= 16; + + end case; + end process; + + end generate NI_NUM16; + + NI_NUM17 : if NI_NUM = 17 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 30; + src <= 17; + when "0000001" => + dest <= 25; + src <= 17; + when "0000010" => + dest <= 9; + src <= 17; + when "0000011" => + dest <= 61; + src <= 17; + when "0000100" => + dest <= 54; + src <= 9; + when "0000101" => + dest <= 17; + src <= 25; + when "0000110" => + dest <= 46; + src <= 12; + when "0000111" => + dest <= 23; + src <= 62; + when "0001000" => + dest <= 17; + src <= 53; + when "0001001" => + dest <= 47; + src <= 17; + when "0001010" => + dest <= 45; + src <= 17; + when "0001011" => + dest <= 52; + src <= 19; + when "0001100" => + dest <= 39; + src <= 45; + when "0001101" => + dest <= 17; + src <= 52; + when "0001110" => + dest <= 18; + src <= 60; + when "0001111" => + dest <= 14; + src <= 17; + when "0010000" => + dest <= 5; + src <= 59; + when "0010001" => + dest <= 32; + src <= 16; + when "0010010" => + dest <= 17; + src <= 3; + when "0010011" => + dest <= 60; + src <= 61; + when "0010100" => + dest <= 55; + src <= 54; + when "0010101" => + dest <= 31; + src <= 28; + when "0010110" => + dest <= 38; + src <= 2; + when "0010111" => + dest <= 17; + src <= 17; + when "0011000" => + dest <= 40; + src <= 37; + when "0011001" => + dest <= 17; + src <= 17; + when "0011010" => + dest <= 62; + src <= 11; + when "0011011" => + dest <= 51; + src <= 46; + when "0011100" => + dest <= 37; + src <= 51; + when "0011101" => + dest <= 26; + src <= 4; + when "0011110" => + dest <= 17; + src <= 58; + when "0011111" => + dest <= 17; + src <= 17; + when "0100000" => + dest <= 22; + src <= 17; + when "0100001" => + dest <= 13; + src <= 8; + when "0100010" => + dest <= 6; + src <= 44; + when "0100011" => + dest <= 33; + src <= 55; + when "0100100" => + dest <= 63; + src <= 5; + when "0100101" => + dest <= 41; + src <= 20; + when "0100110" => + dest <= 50; + src <= 17; + when "0100111" => + dest <= 43; + src <= 1; + when "0101000" => + dest <= 24; + src <= 29; + when "0101001" => + dest <= 36; + src <= 36; + when "0101010" => + dest <= 17; + src <= 57; + when "0101011" => + dest <= 4; + src <= 43; + when "0101100" => + dest <= 16; + src <= 10; + when "0101101" => + dest <= 59; + src <= 48; + when "0101110" => + dest <= 17; + src <= 63; + when "0101111" => + dest <= 17; + src <= 18; + when "0110000" => + dest <= 48; + src <= 6; + when "0110001" => + dest <= 17; + src <= 17; + when "0110010" => + dest <= 29; + src <= 38; + when "0110011" => + dest <= 17; + src <= 17; + when "0110100" => + dest <= 8; + src <= 47; + when "0110101" => + dest <= 12; + src <= 17; + when "0110110" => + dest <= 17; + src <= 17; + when "0110111" => + dest <= 3; + src <= 50; + when "0111000" => + dest <= 17; + src <= 26; + when "0111001" => + dest <= 58; + src <= 13; + when "0111010" => + dest <= 17; + src <= 17; + when "0111011" => + dest <= 42; + src <= 30; + when "0111100" => + dest <= 56; + src <= 17; + when "0111101" => + dest <= 35; + src <= 39; + when "0111110" => + dest <= 17; + src <= 17; + when "0111111" => + dest <= 7; + src <= 40; + when "1000000" => + dest <= 28; + src <= 17; + when "1000001" => + dest <= 49; + src <= 56; + when "1000010" => + dest <= 17; + src <= 42; + when "1000011" => + dest <= 21; + src <= 7; + when "1000100" => + dest <= 17; + src <= 17; + when "1000101" => + dest <= 15; + src <= 35; + when "1000110" => + dest <= 11; + src <= 14; + when "1000111" => + dest <= 2; + src <= 49; + when "1001000" => + dest <= 17; + src <= 17; + when "1001001" => + dest <= 0; + src <= 21; + when "1001010" => + dest <= 34; + src <= 27; + when "1001011" => + dest <= 57; + src <= 31; + when "1001100" => + dest <= 27; + src <= 32; + when "1001101" => + dest <= 17; + src <= 17; + when "1001110" => + dest <= 20; + src <= 34; + when "1001111" => + dest <= 17; + src <= 0; + when "1010000" => + dest <= 10; + src <= 41; + when "1010001" => + dest <= 1; + src <= 15; + when "1010010" => + dest <= 19; + src <= 17; + when "1010011" => + dest <= 17; + src <= 22; + when "1010100" => + dest <= 17; + src <= 24; + when "1010101" => + dest <= 53; + src <= 33; + when "1010110" => + dest <= 44; + src <= 23; + when others => + dest <= 17; + src <= 17; + + end case; + end process; + + end generate NI_NUM17; + + NI_NUM18 : if NI_NUM = 18 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 31; + src <= 18; + when "0000001" => + dest <= 26; + src <= 18; + when "0000010" => + dest <= 10; + src <= 18; + when "0000011" => + dest <= 62; + src <= 18; + when "0000100" => + dest <= 55; + src <= 10; + when "0000101" => + dest <= 18; + src <= 26; + when "0000110" => + dest <= 47; + src <= 13; + when "0000111" => + dest <= 16; + src <= 63; + when "0001000" => + dest <= 18; + src <= 54; + when "0001001" => + dest <= 40; + src <= 18; + when "0001010" => + dest <= 46; + src <= 18; + when "0001011" => + dest <= 53; + src <= 20; + when "0001100" => + dest <= 32; + src <= 46; + when "0001101" => + dest <= 18; + src <= 53; + when "0001110" => + dest <= 19; + src <= 61; + when "0001111" => + dest <= 15; + src <= 18; + when "0010000" => + dest <= 6; + src <= 60; + when "0010001" => + dest <= 33; + src <= 17; + when "0010010" => + dest <= 18; + src <= 4; + when "0010011" => + dest <= 61; + src <= 62; + when "0010100" => + dest <= 48; + src <= 55; + when "0010101" => + dest <= 24; + src <= 29; + when "0010110" => + dest <= 39; + src <= 3; + when "0010111" => + dest <= 18; + src <= 18; + when "0011000" => + dest <= 41; + src <= 38; + when "0011001" => + dest <= 18; + src <= 18; + when "0011010" => + dest <= 63; + src <= 12; + when "0011011" => + dest <= 52; + src <= 47; + when "0011100" => + dest <= 38; + src <= 52; + when "0011101" => + dest <= 27; + src <= 5; + when "0011110" => + dest <= 18; + src <= 59; + when "0011111" => + dest <= 18; + src <= 18; + when "0100000" => + dest <= 23; + src <= 18; + when "0100001" => + dest <= 14; + src <= 9; + when "0100010" => + dest <= 7; + src <= 45; + when "0100011" => + dest <= 34; + src <= 48; + when "0100100" => + dest <= 56; + src <= 6; + when "0100101" => + dest <= 42; + src <= 21; + when "0100110" => + dest <= 51; + src <= 18; + when "0100111" => + dest <= 44; + src <= 2; + when "0101000" => + dest <= 25; + src <= 30; + when "0101001" => + dest <= 37; + src <= 37; + when "0101010" => + dest <= 18; + src <= 58; + when "0101011" => + dest <= 5; + src <= 44; + when "0101100" => + dest <= 17; + src <= 11; + when "0101101" => + dest <= 60; + src <= 49; + when "0101110" => + dest <= 18; + src <= 56; + when "0101111" => + dest <= 18; + src <= 19; + when "0110000" => + dest <= 49; + src <= 7; + when "0110001" => + dest <= 18; + src <= 18; + when "0110010" => + dest <= 30; + src <= 39; + when "0110011" => + dest <= 18; + src <= 18; + when "0110100" => + dest <= 9; + src <= 40; + when "0110101" => + dest <= 13; + src <= 18; + when "0110110" => + dest <= 18; + src <= 18; + when "0110111" => + dest <= 4; + src <= 51; + when "0111000" => + dest <= 18; + src <= 27; + when "0111001" => + dest <= 59; + src <= 14; + when "0111010" => + dest <= 18; + src <= 18; + when "0111011" => + dest <= 43; + src <= 31; + when "0111100" => + dest <= 57; + src <= 18; + when "0111101" => + dest <= 36; + src <= 32; + when "0111110" => + dest <= 18; + src <= 18; + when "0111111" => + dest <= 0; + src <= 41; + when "1000000" => + dest <= 29; + src <= 18; + when "1000001" => + dest <= 50; + src <= 57; + when "1000010" => + dest <= 18; + src <= 43; + when "1000011" => + dest <= 22; + src <= 0; + when "1000100" => + dest <= 18; + src <= 18; + when "1000101" => + dest <= 8; + src <= 36; + when "1000110" => + dest <= 12; + src <= 15; + when "1000111" => + dest <= 3; + src <= 50; + when "1001000" => + dest <= 18; + src <= 18; + when "1001001" => + dest <= 1; + src <= 22; + when "1001010" => + dest <= 35; + src <= 28; + when "1001011" => + dest <= 58; + src <= 24; + when "1001100" => + dest <= 28; + src <= 33; + when "1001101" => + dest <= 18; + src <= 18; + when "1001110" => + dest <= 21; + src <= 35; + when "1001111" => + dest <= 18; + src <= 1; + when "1010000" => + dest <= 11; + src <= 42; + when "1010001" => + dest <= 2; + src <= 8; + when "1010010" => + dest <= 20; + src <= 18; + when "1010011" => + dest <= 18; + src <= 23; + when "1010100" => + dest <= 18; + src <= 25; + when "1010101" => + dest <= 54; + src <= 34; + when "1010110" => + dest <= 45; + src <= 16; + when others => + dest <= 18; + src <= 18; + + end case; + end process; + + end generate NI_NUM18; + + NI_NUM19 : if NI_NUM = 19 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 24; + src <= 19; + when "0000001" => + dest <= 27; + src <= 19; + when "0000010" => + dest <= 11; + src <= 19; + when "0000011" => + dest <= 63; + src <= 19; + when "0000100" => + dest <= 48; + src <= 11; + when "0000101" => + dest <= 19; + src <= 27; + when "0000110" => + dest <= 40; + src <= 14; + when "0000111" => + dest <= 17; + src <= 56; + when "0001000" => + dest <= 19; + src <= 55; + when "0001001" => + dest <= 41; + src <= 19; + when "0001010" => + dest <= 47; + src <= 19; + when "0001011" => + dest <= 54; + src <= 21; + when "0001100" => + dest <= 33; + src <= 47; + when "0001101" => + dest <= 19; + src <= 54; + when "0001110" => + dest <= 20; + src <= 62; + when "0001111" => + dest <= 8; + src <= 19; + when "0010000" => + dest <= 7; + src <= 61; + when "0010001" => + dest <= 34; + src <= 18; + when "0010010" => + dest <= 19; + src <= 5; + when "0010011" => + dest <= 62; + src <= 63; + when "0010100" => + dest <= 49; + src <= 48; + when "0010101" => + dest <= 25; + src <= 30; + when "0010110" => + dest <= 32; + src <= 4; + when "0010111" => + dest <= 19; + src <= 19; + when "0011000" => + dest <= 42; + src <= 39; + when "0011001" => + dest <= 19; + src <= 19; + when "0011010" => + dest <= 56; + src <= 13; + when "0011011" => + dest <= 53; + src <= 40; + when "0011100" => + dest <= 39; + src <= 53; + when "0011101" => + dest <= 28; + src <= 6; + when "0011110" => + dest <= 19; + src <= 60; + when "0011111" => + dest <= 19; + src <= 19; + when "0100000" => + dest <= 16; + src <= 19; + when "0100001" => + dest <= 15; + src <= 10; + when "0100010" => + dest <= 0; + src <= 46; + when "0100011" => + dest <= 35; + src <= 49; + when "0100100" => + dest <= 57; + src <= 7; + when "0100101" => + dest <= 43; + src <= 22; + when "0100110" => + dest <= 52; + src <= 19; + when "0100111" => + dest <= 45; + src <= 3; + when "0101000" => + dest <= 26; + src <= 31; + when "0101001" => + dest <= 38; + src <= 38; + when "0101010" => + dest <= 19; + src <= 59; + when "0101011" => + dest <= 6; + src <= 45; + when "0101100" => + dest <= 18; + src <= 12; + when "0101101" => + dest <= 61; + src <= 50; + when "0101110" => + dest <= 19; + src <= 57; + when "0101111" => + dest <= 19; + src <= 20; + when "0110000" => + dest <= 50; + src <= 0; + when "0110001" => + dest <= 19; + src <= 19; + when "0110010" => + dest <= 31; + src <= 32; + when "0110011" => + dest <= 19; + src <= 19; + when "0110100" => + dest <= 10; + src <= 41; + when "0110101" => + dest <= 14; + src <= 19; + when "0110110" => + dest <= 19; + src <= 19; + when "0110111" => + dest <= 5; + src <= 52; + when "0111000" => + dest <= 19; + src <= 28; + when "0111001" => + dest <= 60; + src <= 15; + when "0111010" => + dest <= 19; + src <= 19; + when "0111011" => + dest <= 44; + src <= 24; + when "0111100" => + dest <= 58; + src <= 19; + when "0111101" => + dest <= 37; + src <= 33; + when "0111110" => + dest <= 19; + src <= 19; + when "0111111" => + dest <= 1; + src <= 42; + when "1000000" => + dest <= 30; + src <= 19; + when "1000001" => + dest <= 51; + src <= 58; + when "1000010" => + dest <= 19; + src <= 44; + when "1000011" => + dest <= 23; + src <= 1; + when "1000100" => + dest <= 19; + src <= 19; + when "1000101" => + dest <= 9; + src <= 37; + when "1000110" => + dest <= 13; + src <= 8; + when "1000111" => + dest <= 4; + src <= 51; + when "1001000" => + dest <= 19; + src <= 19; + when "1001001" => + dest <= 2; + src <= 23; + when "1001010" => + dest <= 36; + src <= 29; + when "1001011" => + dest <= 59; + src <= 25; + when "1001100" => + dest <= 29; + src <= 34; + when "1001101" => + dest <= 19; + src <= 19; + when "1001110" => + dest <= 22; + src <= 36; + when "1001111" => + dest <= 19; + src <= 2; + when "1010000" => + dest <= 12; + src <= 43; + when "1010001" => + dest <= 3; + src <= 9; + when "1010010" => + dest <= 21; + src <= 19; + when "1010011" => + dest <= 19; + src <= 16; + when "1010100" => + dest <= 19; + src <= 26; + when "1010101" => + dest <= 55; + src <= 35; + when "1010110" => + dest <= 46; + src <= 17; + when others => + dest <= 19; + src <= 19; + + end case; + end process; + + end generate NI_NUM19; + + NI_NUM20 : if NI_NUM = 20 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 25; + src <= 20; + when "0000001" => + dest <= 28; + src <= 20; + when "0000010" => + dest <= 12; + src <= 20; + when "0000011" => + dest <= 56; + src <= 20; + when "0000100" => + dest <= 49; + src <= 12; + when "0000101" => + dest <= 20; + src <= 28; + when "0000110" => + dest <= 41; + src <= 15; + when "0000111" => + dest <= 18; + src <= 57; + when "0001000" => + dest <= 20; + src <= 48; + when "0001001" => + dest <= 42; + src <= 20; + when "0001010" => + dest <= 40; + src <= 20; + when "0001011" => + dest <= 55; + src <= 22; + when "0001100" => + dest <= 34; + src <= 40; + when "0001101" => + dest <= 20; + src <= 55; + when "0001110" => + dest <= 21; + src <= 63; + when "0001111" => + dest <= 9; + src <= 20; + when "0010000" => + dest <= 0; + src <= 62; + when "0010001" => + dest <= 35; + src <= 19; + when "0010010" => + dest <= 20; + src <= 6; + when "0010011" => + dest <= 63; + src <= 56; + when "0010100" => + dest <= 50; + src <= 49; + when "0010101" => + dest <= 26; + src <= 31; + when "0010110" => + dest <= 33; + src <= 5; + when "0010111" => + dest <= 20; + src <= 20; + when "0011000" => + dest <= 43; + src <= 32; + when "0011001" => + dest <= 20; + src <= 20; + when "0011010" => + dest <= 57; + src <= 14; + when "0011011" => + dest <= 54; + src <= 41; + when "0011100" => + dest <= 32; + src <= 54; + when "0011101" => + dest <= 29; + src <= 7; + when "0011110" => + dest <= 20; + src <= 61; + when "0011111" => + dest <= 20; + src <= 20; + when "0100000" => + dest <= 17; + src <= 20; + when "0100001" => + dest <= 8; + src <= 11; + when "0100010" => + dest <= 1; + src <= 47; + when "0100011" => + dest <= 36; + src <= 50; + when "0100100" => + dest <= 58; + src <= 0; + when "0100101" => + dest <= 44; + src <= 23; + when "0100110" => + dest <= 53; + src <= 20; + when "0100111" => + dest <= 46; + src <= 4; + when "0101000" => + dest <= 27; + src <= 24; + when "0101001" => + dest <= 39; + src <= 39; + when "0101010" => + dest <= 20; + src <= 60; + when "0101011" => + dest <= 7; + src <= 46; + when "0101100" => + dest <= 19; + src <= 13; + when "0101101" => + dest <= 62; + src <= 51; + when "0101110" => + dest <= 20; + src <= 58; + when "0101111" => + dest <= 20; + src <= 21; + when "0110000" => + dest <= 51; + src <= 1; + when "0110001" => + dest <= 20; + src <= 20; + when "0110010" => + dest <= 24; + src <= 33; + when "0110011" => + dest <= 20; + src <= 20; + when "0110100" => + dest <= 11; + src <= 42; + when "0110101" => + dest <= 15; + src <= 20; + when "0110110" => + dest <= 20; + src <= 20; + when "0110111" => + dest <= 6; + src <= 53; + when "0111000" => + dest <= 20; + src <= 29; + when "0111001" => + dest <= 61; + src <= 8; + when "0111010" => + dest <= 20; + src <= 20; + when "0111011" => + dest <= 45; + src <= 25; + when "0111100" => + dest <= 59; + src <= 20; + when "0111101" => + dest <= 38; + src <= 34; + when "0111110" => + dest <= 20; + src <= 20; + when "0111111" => + dest <= 2; + src <= 43; + when "1000000" => + dest <= 31; + src <= 20; + when "1000001" => + dest <= 52; + src <= 59; + when "1000010" => + dest <= 20; + src <= 45; + when "1000011" => + dest <= 16; + src <= 2; + when "1000100" => + dest <= 20; + src <= 20; + when "1000101" => + dest <= 10; + src <= 38; + when "1000110" => + dest <= 14; + src <= 9; + when "1000111" => + dest <= 5; + src <= 52; + when "1001000" => + dest <= 20; + src <= 20; + when "1001001" => + dest <= 3; + src <= 16; + when "1001010" => + dest <= 37; + src <= 30; + when "1001011" => + dest <= 60; + src <= 26; + when "1001100" => + dest <= 30; + src <= 35; + when "1001101" => + dest <= 20; + src <= 20; + when "1001110" => + dest <= 23; + src <= 37; + when "1001111" => + dest <= 20; + src <= 3; + when "1010000" => + dest <= 13; + src <= 44; + when "1010001" => + dest <= 4; + src <= 10; + when "1010010" => + dest <= 22; + src <= 20; + when "1010011" => + dest <= 20; + src <= 17; + when "1010100" => + dest <= 20; + src <= 27; + when "1010101" => + dest <= 48; + src <= 36; + when "1010110" => + dest <= 47; + src <= 18; + when others => + dest <= 20; + src <= 20; + + end case; + end process; + + end generate NI_NUM20; + + NI_NUM21 : if NI_NUM = 21 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 26; + src <= 21; + when "0000001" => + dest <= 29; + src <= 21; + when "0000010" => + dest <= 13; + src <= 21; + when "0000011" => + dest <= 57; + src <= 21; + when "0000100" => + dest <= 50; + src <= 13; + when "0000101" => + dest <= 21; + src <= 29; + when "0000110" => + dest <= 42; + src <= 8; + when "0000111" => + dest <= 19; + src <= 58; + when "0001000" => + dest <= 21; + src <= 49; + when "0001001" => + dest <= 43; + src <= 21; + when "0001010" => + dest <= 41; + src <= 21; + when "0001011" => + dest <= 48; + src <= 23; + when "0001100" => + dest <= 35; + src <= 41; + when "0001101" => + dest <= 21; + src <= 48; + when "0001110" => + dest <= 22; + src <= 56; + when "0001111" => + dest <= 10; + src <= 21; + when "0010000" => + dest <= 1; + src <= 63; + when "0010001" => + dest <= 36; + src <= 20; + when "0010010" => + dest <= 21; + src <= 7; + when "0010011" => + dest <= 56; + src <= 57; + when "0010100" => + dest <= 51; + src <= 50; + when "0010101" => + dest <= 27; + src <= 24; + when "0010110" => + dest <= 34; + src <= 6; + when "0010111" => + dest <= 21; + src <= 21; + when "0011000" => + dest <= 44; + src <= 33; + when "0011001" => + dest <= 21; + src <= 21; + when "0011010" => + dest <= 58; + src <= 15; + when "0011011" => + dest <= 55; + src <= 42; + when "0011100" => + dest <= 33; + src <= 55; + when "0011101" => + dest <= 30; + src <= 0; + when "0011110" => + dest <= 21; + src <= 62; + when "0011111" => + dest <= 21; + src <= 21; + when "0100000" => + dest <= 18; + src <= 21; + when "0100001" => + dest <= 9; + src <= 12; + when "0100010" => + dest <= 2; + src <= 40; + when "0100011" => + dest <= 37; + src <= 51; + when "0100100" => + dest <= 59; + src <= 1; + when "0100101" => + dest <= 45; + src <= 16; + when "0100110" => + dest <= 54; + src <= 21; + when "0100111" => + dest <= 47; + src <= 5; + when "0101000" => + dest <= 28; + src <= 25; + when "0101001" => + dest <= 32; + src <= 32; + when "0101010" => + dest <= 21; + src <= 61; + when "0101011" => + dest <= 0; + src <= 47; + when "0101100" => + dest <= 20; + src <= 14; + when "0101101" => + dest <= 63; + src <= 52; + when "0101110" => + dest <= 21; + src <= 59; + when "0101111" => + dest <= 21; + src <= 22; + when "0110000" => + dest <= 52; + src <= 2; + when "0110001" => + dest <= 21; + src <= 21; + when "0110010" => + dest <= 25; + src <= 34; + when "0110011" => + dest <= 21; + src <= 21; + when "0110100" => + dest <= 12; + src <= 43; + when "0110101" => + dest <= 8; + src <= 21; + when "0110110" => + dest <= 21; + src <= 21; + when "0110111" => + dest <= 7; + src <= 54; + when "0111000" => + dest <= 21; + src <= 30; + when "0111001" => + dest <= 62; + src <= 9; + when "0111010" => + dest <= 21; + src <= 21; + when "0111011" => + dest <= 46; + src <= 26; + when "0111100" => + dest <= 60; + src <= 21; + when "0111101" => + dest <= 39; + src <= 35; + when "0111110" => + dest <= 21; + src <= 21; + when "0111111" => + dest <= 3; + src <= 44; + when "1000000" => + dest <= 24; + src <= 21; + when "1000001" => + dest <= 53; + src <= 60; + when "1000010" => + dest <= 21; + src <= 46; + when "1000011" => + dest <= 17; + src <= 3; + when "1000100" => + dest <= 21; + src <= 21; + when "1000101" => + dest <= 11; + src <= 39; + when "1000110" => + dest <= 15; + src <= 10; + when "1000111" => + dest <= 6; + src <= 53; + when "1001000" => + dest <= 21; + src <= 21; + when "1001001" => + dest <= 4; + src <= 17; + when "1001010" => + dest <= 38; + src <= 31; + when "1001011" => + dest <= 61; + src <= 27; + when "1001100" => + dest <= 31; + src <= 36; + when "1001101" => + dest <= 21; + src <= 21; + when "1001110" => + dest <= 16; + src <= 38; + when "1001111" => + dest <= 21; + src <= 4; + when "1010000" => + dest <= 14; + src <= 45; + when "1010001" => + dest <= 5; + src <= 11; + when "1010010" => + dest <= 23; + src <= 21; + when "1010011" => + dest <= 21; + src <= 18; + when "1010100" => + dest <= 21; + src <= 28; + when "1010101" => + dest <= 49; + src <= 37; + when "1010110" => + dest <= 40; + src <= 19; + when others => + dest <= 21; + src <= 21; + + end case; + end process; + + end generate NI_NUM21; + + NI_NUM22 : if NI_NUM = 22 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 27; + src <= 22; + when "0000001" => + dest <= 30; + src <= 22; + when "0000010" => + dest <= 14; + src <= 22; + when "0000011" => + dest <= 58; + src <= 22; + when "0000100" => + dest <= 51; + src <= 14; + when "0000101" => + dest <= 22; + src <= 30; + when "0000110" => + dest <= 43; + src <= 9; + when "0000111" => + dest <= 20; + src <= 59; + when "0001000" => + dest <= 22; + src <= 50; + when "0001001" => + dest <= 44; + src <= 22; + when "0001010" => + dest <= 42; + src <= 22; + when "0001011" => + dest <= 49; + src <= 16; + when "0001100" => + dest <= 36; + src <= 42; + when "0001101" => + dest <= 22; + src <= 49; + when "0001110" => + dest <= 23; + src <= 57; + when "0001111" => + dest <= 11; + src <= 22; + when "0010000" => + dest <= 2; + src <= 56; + when "0010001" => + dest <= 37; + src <= 21; + when "0010010" => + dest <= 22; + src <= 0; + when "0010011" => + dest <= 57; + src <= 58; + when "0010100" => + dest <= 52; + src <= 51; + when "0010101" => + dest <= 28; + src <= 25; + when "0010110" => + dest <= 35; + src <= 7; + when "0010111" => + dest <= 22; + src <= 22; + when "0011000" => + dest <= 45; + src <= 34; + when "0011001" => + dest <= 22; + src <= 22; + when "0011010" => + dest <= 59; + src <= 8; + when "0011011" => + dest <= 48; + src <= 43; + when "0011100" => + dest <= 34; + src <= 48; + when "0011101" => + dest <= 31; + src <= 1; + when "0011110" => + dest <= 22; + src <= 63; + when "0011111" => + dest <= 22; + src <= 22; + when "0100000" => + dest <= 19; + src <= 22; + when "0100001" => + dest <= 10; + src <= 13; + when "0100010" => + dest <= 3; + src <= 41; + when "0100011" => + dest <= 38; + src <= 52; + when "0100100" => + dest <= 60; + src <= 2; + when "0100101" => + dest <= 46; + src <= 17; + when "0100110" => + dest <= 55; + src <= 22; + when "0100111" => + dest <= 40; + src <= 6; + when "0101000" => + dest <= 29; + src <= 26; + when "0101001" => + dest <= 33; + src <= 33; + when "0101010" => + dest <= 22; + src <= 62; + when "0101011" => + dest <= 1; + src <= 40; + when "0101100" => + dest <= 21; + src <= 15; + when "0101101" => + dest <= 56; + src <= 53; + when "0101110" => + dest <= 22; + src <= 60; + when "0101111" => + dest <= 22; + src <= 23; + when "0110000" => + dest <= 53; + src <= 3; + when "0110001" => + dest <= 22; + src <= 22; + when "0110010" => + dest <= 26; + src <= 35; + when "0110011" => + dest <= 22; + src <= 22; + when "0110100" => + dest <= 13; + src <= 44; + when "0110101" => + dest <= 9; + src <= 22; + when "0110110" => + dest <= 22; + src <= 22; + when "0110111" => + dest <= 0; + src <= 55; + when "0111000" => + dest <= 22; + src <= 31; + when "0111001" => + dest <= 63; + src <= 10; + when "0111010" => + dest <= 22; + src <= 22; + when "0111011" => + dest <= 47; + src <= 27; + when "0111100" => + dest <= 61; + src <= 22; + when "0111101" => + dest <= 32; + src <= 36; + when "0111110" => + dest <= 22; + src <= 22; + when "0111111" => + dest <= 4; + src <= 45; + when "1000000" => + dest <= 25; + src <= 22; + when "1000001" => + dest <= 54; + src <= 61; + when "1000010" => + dest <= 22; + src <= 47; + when "1000011" => + dest <= 18; + src <= 4; + when "1000100" => + dest <= 22; + src <= 22; + when "1000101" => + dest <= 12; + src <= 32; + when "1000110" => + dest <= 8; + src <= 11; + when "1000111" => + dest <= 7; + src <= 54; + when "1001000" => + dest <= 22; + src <= 22; + when "1001001" => + dest <= 5; + src <= 18; + when "1001010" => + dest <= 39; + src <= 24; + when "1001011" => + dest <= 62; + src <= 28; + when "1001100" => + dest <= 24; + src <= 37; + when "1001101" => + dest <= 22; + src <= 22; + when "1001110" => + dest <= 17; + src <= 39; + when "1001111" => + dest <= 22; + src <= 5; + when "1010000" => + dest <= 15; + src <= 46; + when "1010001" => + dest <= 6; + src <= 12; + when "1010010" => + dest <= 16; + src <= 22; + when "1010011" => + dest <= 22; + src <= 19; + when "1010100" => + dest <= 22; + src <= 29; + when "1010101" => + dest <= 50; + src <= 38; + when "1010110" => + dest <= 41; + src <= 20; + when others => + dest <= 22; + src <= 22; + + end case; + end process; + + end generate NI_NUM22; + + NI_NUM23 : if NI_NUM = 23 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 28; + src <= 23; + when "0000001" => + dest <= 31; + src <= 23; + when "0000010" => + dest <= 15; + src <= 23; + when "0000011" => + dest <= 59; + src <= 23; + when "0000100" => + dest <= 52; + src <= 15; + when "0000101" => + dest <= 23; + src <= 31; + when "0000110" => + dest <= 44; + src <= 10; + when "0000111" => + dest <= 21; + src <= 60; + when "0001000" => + dest <= 23; + src <= 51; + when "0001001" => + dest <= 45; + src <= 23; + when "0001010" => + dest <= 43; + src <= 23; + when "0001011" => + dest <= 50; + src <= 17; + when "0001100" => + dest <= 37; + src <= 43; + when "0001101" => + dest <= 23; + src <= 50; + when "0001110" => + dest <= 16; + src <= 58; + when "0001111" => + dest <= 12; + src <= 23; + when "0010000" => + dest <= 3; + src <= 57; + when "0010001" => + dest <= 38; + src <= 22; + when "0010010" => + dest <= 23; + src <= 1; + when "0010011" => + dest <= 58; + src <= 59; + when "0010100" => + dest <= 53; + src <= 52; + when "0010101" => + dest <= 29; + src <= 26; + when "0010110" => + dest <= 36; + src <= 0; + when "0010111" => + dest <= 23; + src <= 23; + when "0011000" => + dest <= 46; + src <= 35; + when "0011001" => + dest <= 23; + src <= 23; + when "0011010" => + dest <= 60; + src <= 9; + when "0011011" => + dest <= 49; + src <= 44; + when "0011100" => + dest <= 35; + src <= 49; + when "0011101" => + dest <= 24; + src <= 2; + when "0011110" => + dest <= 23; + src <= 56; + when "0011111" => + dest <= 23; + src <= 23; + when "0100000" => + dest <= 20; + src <= 23; + when "0100001" => + dest <= 11; + src <= 14; + when "0100010" => + dest <= 4; + src <= 42; + when "0100011" => + dest <= 39; + src <= 53; + when "0100100" => + dest <= 61; + src <= 3; + when "0100101" => + dest <= 47; + src <= 18; + when "0100110" => + dest <= 48; + src <= 23; + when "0100111" => + dest <= 41; + src <= 7; + when "0101000" => + dest <= 30; + src <= 27; + when "0101001" => + dest <= 34; + src <= 34; + when "0101010" => + dest <= 23; + src <= 63; + when "0101011" => + dest <= 2; + src <= 41; + when "0101100" => + dest <= 22; + src <= 8; + when "0101101" => + dest <= 57; + src <= 54; + when "0101110" => + dest <= 23; + src <= 61; + when "0101111" => + dest <= 23; + src <= 16; + when "0110000" => + dest <= 54; + src <= 4; + when "0110001" => + dest <= 23; + src <= 23; + when "0110010" => + dest <= 27; + src <= 36; + when "0110011" => + dest <= 23; + src <= 23; + when "0110100" => + dest <= 14; + src <= 45; + when "0110101" => + dest <= 10; + src <= 23; + when "0110110" => + dest <= 23; + src <= 23; + when "0110111" => + dest <= 1; + src <= 48; + when "0111000" => + dest <= 23; + src <= 24; + when "0111001" => + dest <= 56; + src <= 11; + when "0111010" => + dest <= 23; + src <= 23; + when "0111011" => + dest <= 40; + src <= 28; + when "0111100" => + dest <= 62; + src <= 23; + when "0111101" => + dest <= 33; + src <= 37; + when "0111110" => + dest <= 23; + src <= 23; + when "0111111" => + dest <= 5; + src <= 46; + when "1000000" => + dest <= 26; + src <= 23; + when "1000001" => + dest <= 55; + src <= 62; + when "1000010" => + dest <= 23; + src <= 40; + when "1000011" => + dest <= 19; + src <= 5; + when "1000100" => + dest <= 23; + src <= 23; + when "1000101" => + dest <= 13; + src <= 33; + when "1000110" => + dest <= 9; + src <= 12; + when "1000111" => + dest <= 0; + src <= 55; + when "1001000" => + dest <= 23; + src <= 23; + when "1001001" => + dest <= 6; + src <= 19; + when "1001010" => + dest <= 32; + src <= 25; + when "1001011" => + dest <= 63; + src <= 29; + when "1001100" => + dest <= 25; + src <= 38; + when "1001101" => + dest <= 23; + src <= 23; + when "1001110" => + dest <= 18; + src <= 32; + when "1001111" => + dest <= 23; + src <= 6; + when "1010000" => + dest <= 8; + src <= 47; + when "1010001" => + dest <= 7; + src <= 13; + when "1010010" => + dest <= 17; + src <= 23; + when "1010011" => + dest <= 23; + src <= 20; + when "1010100" => + dest <= 23; + src <= 30; + when "1010101" => + dest <= 51; + src <= 39; + when "1010110" => + dest <= 42; + src <= 21; + when others => + dest <= 23; + src <= 23; + + end case; + end process; + + end generate NI_NUM23; + + NI_NUM24 : if NI_NUM = 24 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 37; + src <= 24; + when "0000001" => + dest <= 32; + src <= 24; + when "0000010" => + dest <= 16; + src <= 24; + when "0000011" => + dest <= 4; + src <= 24; + when "0000100" => + dest <= 61; + src <= 16; + when "0000101" => + dest <= 24; + src <= 32; + when "0000110" => + dest <= 53; + src <= 19; + when "0000111" => + dest <= 30; + src <= 5; + when "0001000" => + dest <= 24; + src <= 60; + when "0001001" => + dest <= 54; + src <= 24; + when "0001010" => + dest <= 52; + src <= 24; + when "0001011" => + dest <= 59; + src <= 26; + when "0001100" => + dest <= 46; + src <= 52; + when "0001101" => + dest <= 24; + src <= 59; + when "0001110" => + dest <= 25; + src <= 3; + when "0001111" => + dest <= 21; + src <= 24; + when "0010000" => + dest <= 12; + src <= 2; + when "0010001" => + dest <= 47; + src <= 31; + when "0010010" => + dest <= 24; + src <= 10; + when "0010011" => + dest <= 3; + src <= 4; + when "0010100" => + dest <= 62; + src <= 61; + when "0010101" => + dest <= 38; + src <= 35; + when "0010110" => + dest <= 45; + src <= 9; + when "0010111" => + dest <= 24; + src <= 24; + when "0011000" => + dest <= 55; + src <= 44; + when "0011001" => + dest <= 24; + src <= 24; + when "0011010" => + dest <= 5; + src <= 18; + when "0011011" => + dest <= 58; + src <= 53; + when "0011100" => + dest <= 44; + src <= 58; + when "0011101" => + dest <= 33; + src <= 11; + when "0011110" => + dest <= 24; + src <= 1; + when "0011111" => + dest <= 24; + src <= 24; + when "0100000" => + dest <= 29; + src <= 24; + when "0100001" => + dest <= 20; + src <= 23; + when "0100010" => + dest <= 13; + src <= 51; + when "0100011" => + dest <= 40; + src <= 62; + when "0100100" => + dest <= 6; + src <= 12; + when "0100101" => + dest <= 48; + src <= 27; + when "0100110" => + dest <= 57; + src <= 24; + when "0100111" => + dest <= 50; + src <= 8; + when "0101000" => + dest <= 39; + src <= 36; + when "0101001" => + dest <= 43; + src <= 43; + when "0101010" => + dest <= 24; + src <= 0; + when "0101011" => + dest <= 11; + src <= 50; + when "0101100" => + dest <= 31; + src <= 17; + when "0101101" => + dest <= 2; + src <= 63; + when "0101110" => + dest <= 24; + src <= 6; + when "0101111" => + dest <= 24; + src <= 25; + when "0110000" => + dest <= 63; + src <= 13; + when "0110001" => + dest <= 24; + src <= 24; + when "0110010" => + dest <= 36; + src <= 45; + when "0110011" => + dest <= 24; + src <= 24; + when "0110100" => + dest <= 23; + src <= 54; + when "0110101" => + dest <= 19; + src <= 24; + when "0110110" => + dest <= 24; + src <= 24; + when "0110111" => + dest <= 10; + src <= 57; + when "0111000" => + dest <= 24; + src <= 33; + when "0111001" => + dest <= 1; + src <= 20; + when "0111010" => + dest <= 24; + src <= 24; + when "0111011" => + dest <= 49; + src <= 37; + when "0111100" => + dest <= 7; + src <= 24; + when "0111101" => + dest <= 42; + src <= 46; + when "0111110" => + dest <= 24; + src <= 24; + when "0111111" => + dest <= 14; + src <= 55; + when "1000000" => + dest <= 35; + src <= 24; + when "1000001" => + dest <= 56; + src <= 7; + when "1000010" => + dest <= 24; + src <= 49; + when "1000011" => + dest <= 28; + src <= 14; + when "1000100" => + dest <= 24; + src <= 24; + when "1000101" => + dest <= 22; + src <= 42; + when "1000110" => + dest <= 18; + src <= 21; + when "1000111" => + dest <= 9; + src <= 56; + when "1001000" => + dest <= 24; + src <= 24; + when "1001001" => + dest <= 15; + src <= 28; + when "1001010" => + dest <= 41; + src <= 34; + when "1001011" => + dest <= 0; + src <= 38; + when "1001100" => + dest <= 34; + src <= 47; + when "1001101" => + dest <= 24; + src <= 24; + when "1001110" => + dest <= 27; + src <= 41; + when "1001111" => + dest <= 24; + src <= 15; + when "1010000" => + dest <= 17; + src <= 48; + when "1010001" => + dest <= 8; + src <= 22; + when "1010010" => + dest <= 26; + src <= 24; + when "1010011" => + dest <= 24; + src <= 29; + when "1010100" => + dest <= 24; + src <= 39; + when "1010101" => + dest <= 60; + src <= 40; + when "1010110" => + dest <= 51; + src <= 30; + when others => + dest <= 24; + src <= 24; + + end case; + end process; + + end generate NI_NUM24; + + NI_NUM25 : if NI_NUM = 25 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 38; + src <= 25; + when "0000001" => + dest <= 33; + src <= 25; + when "0000010" => + dest <= 17; + src <= 25; + when "0000011" => + dest <= 5; + src <= 25; + when "0000100" => + dest <= 62; + src <= 17; + when "0000101" => + dest <= 25; + src <= 33; + when "0000110" => + dest <= 54; + src <= 20; + when "0000111" => + dest <= 31; + src <= 6; + when "0001000" => + dest <= 25; + src <= 61; + when "0001001" => + dest <= 55; + src <= 25; + when "0001010" => + dest <= 53; + src <= 25; + when "0001011" => + dest <= 60; + src <= 27; + when "0001100" => + dest <= 47; + src <= 53; + when "0001101" => + dest <= 25; + src <= 60; + when "0001110" => + dest <= 26; + src <= 4; + when "0001111" => + dest <= 22; + src <= 25; + when "0010000" => + dest <= 13; + src <= 3; + when "0010001" => + dest <= 40; + src <= 24; + when "0010010" => + dest <= 25; + src <= 11; + when "0010011" => + dest <= 4; + src <= 5; + when "0010100" => + dest <= 63; + src <= 62; + when "0010101" => + dest <= 39; + src <= 36; + when "0010110" => + dest <= 46; + src <= 10; + when "0010111" => + dest <= 25; + src <= 25; + when "0011000" => + dest <= 48; + src <= 45; + when "0011001" => + dest <= 25; + src <= 25; + when "0011010" => + dest <= 6; + src <= 19; + when "0011011" => + dest <= 59; + src <= 54; + when "0011100" => + dest <= 45; + src <= 59; + when "0011101" => + dest <= 34; + src <= 12; + when "0011110" => + dest <= 25; + src <= 2; + when "0011111" => + dest <= 25; + src <= 25; + when "0100000" => + dest <= 30; + src <= 25; + when "0100001" => + dest <= 21; + src <= 16; + when "0100010" => + dest <= 14; + src <= 52; + when "0100011" => + dest <= 41; + src <= 63; + when "0100100" => + dest <= 7; + src <= 13; + when "0100101" => + dest <= 49; + src <= 28; + when "0100110" => + dest <= 58; + src <= 25; + when "0100111" => + dest <= 51; + src <= 9; + when "0101000" => + dest <= 32; + src <= 37; + when "0101001" => + dest <= 44; + src <= 44; + when "0101010" => + dest <= 25; + src <= 1; + when "0101011" => + dest <= 12; + src <= 51; + when "0101100" => + dest <= 24; + src <= 18; + when "0101101" => + dest <= 3; + src <= 56; + when "0101110" => + dest <= 25; + src <= 7; + when "0101111" => + dest <= 25; + src <= 26; + when "0110000" => + dest <= 56; + src <= 14; + when "0110001" => + dest <= 25; + src <= 25; + when "0110010" => + dest <= 37; + src <= 46; + when "0110011" => + dest <= 25; + src <= 25; + when "0110100" => + dest <= 16; + src <= 55; + when "0110101" => + dest <= 20; + src <= 25; + when "0110110" => + dest <= 25; + src <= 25; + when "0110111" => + dest <= 11; + src <= 58; + when "0111000" => + dest <= 25; + src <= 34; + when "0111001" => + dest <= 2; + src <= 21; + when "0111010" => + dest <= 25; + src <= 25; + when "0111011" => + dest <= 50; + src <= 38; + when "0111100" => + dest <= 0; + src <= 25; + when "0111101" => + dest <= 43; + src <= 47; + when "0111110" => + dest <= 25; + src <= 25; + when "0111111" => + dest <= 15; + src <= 48; + when "1000000" => + dest <= 36; + src <= 25; + when "1000001" => + dest <= 57; + src <= 0; + when "1000010" => + dest <= 25; + src <= 50; + when "1000011" => + dest <= 29; + src <= 15; + when "1000100" => + dest <= 25; + src <= 25; + when "1000101" => + dest <= 23; + src <= 43; + when "1000110" => + dest <= 19; + src <= 22; + when "1000111" => + dest <= 10; + src <= 57; + when "1001000" => + dest <= 25; + src <= 25; + when "1001001" => + dest <= 8; + src <= 29; + when "1001010" => + dest <= 42; + src <= 35; + when "1001011" => + dest <= 1; + src <= 39; + when "1001100" => + dest <= 35; + src <= 40; + when "1001101" => + dest <= 25; + src <= 25; + when "1001110" => + dest <= 28; + src <= 42; + when "1001111" => + dest <= 25; + src <= 8; + when "1010000" => + dest <= 18; + src <= 49; + when "1010001" => + dest <= 9; + src <= 23; + when "1010010" => + dest <= 27; + src <= 25; + when "1010011" => + dest <= 25; + src <= 30; + when "1010100" => + dest <= 25; + src <= 32; + when "1010101" => + dest <= 61; + src <= 41; + when "1010110" => + dest <= 52; + src <= 31; + when others => + dest <= 25; + src <= 25; + + end case; + end process; + + end generate NI_NUM25; + + NI_NUM26 : if NI_NUM = 26 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 39; + src <= 26; + when "0000001" => + dest <= 34; + src <= 26; + when "0000010" => + dest <= 18; + src <= 26; + when "0000011" => + dest <= 6; + src <= 26; + when "0000100" => + dest <= 63; + src <= 18; + when "0000101" => + dest <= 26; + src <= 34; + when "0000110" => + dest <= 55; + src <= 21; + when "0000111" => + dest <= 24; + src <= 7; + when "0001000" => + dest <= 26; + src <= 62; + when "0001001" => + dest <= 48; + src <= 26; + when "0001010" => + dest <= 54; + src <= 26; + when "0001011" => + dest <= 61; + src <= 28; + when "0001100" => + dest <= 40; + src <= 54; + when "0001101" => + dest <= 26; + src <= 61; + when "0001110" => + dest <= 27; + src <= 5; + when "0001111" => + dest <= 23; + src <= 26; + when "0010000" => + dest <= 14; + src <= 4; + when "0010001" => + dest <= 41; + src <= 25; + when "0010010" => + dest <= 26; + src <= 12; + when "0010011" => + dest <= 5; + src <= 6; + when "0010100" => + dest <= 56; + src <= 63; + when "0010101" => + dest <= 32; + src <= 37; + when "0010110" => + dest <= 47; + src <= 11; + when "0010111" => + dest <= 26; + src <= 26; + when "0011000" => + dest <= 49; + src <= 46; + when "0011001" => + dest <= 26; + src <= 26; + when "0011010" => + dest <= 7; + src <= 20; + when "0011011" => + dest <= 60; + src <= 55; + when "0011100" => + dest <= 46; + src <= 60; + when "0011101" => + dest <= 35; + src <= 13; + when "0011110" => + dest <= 26; + src <= 3; + when "0011111" => + dest <= 26; + src <= 26; + when "0100000" => + dest <= 31; + src <= 26; + when "0100001" => + dest <= 22; + src <= 17; + when "0100010" => + dest <= 15; + src <= 53; + when "0100011" => + dest <= 42; + src <= 56; + when "0100100" => + dest <= 0; + src <= 14; + when "0100101" => + dest <= 50; + src <= 29; + when "0100110" => + dest <= 59; + src <= 26; + when "0100111" => + dest <= 52; + src <= 10; + when "0101000" => + dest <= 33; + src <= 38; + when "0101001" => + dest <= 45; + src <= 45; + when "0101010" => + dest <= 26; + src <= 2; + when "0101011" => + dest <= 13; + src <= 52; + when "0101100" => + dest <= 25; + src <= 19; + when "0101101" => + dest <= 4; + src <= 57; + when "0101110" => + dest <= 26; + src <= 0; + when "0101111" => + dest <= 26; + src <= 27; + when "0110000" => + dest <= 57; + src <= 15; + when "0110001" => + dest <= 26; + src <= 26; + when "0110010" => + dest <= 38; + src <= 47; + when "0110011" => + dest <= 26; + src <= 26; + when "0110100" => + dest <= 17; + src <= 48; + when "0110101" => + dest <= 21; + src <= 26; + when "0110110" => + dest <= 26; + src <= 26; + when "0110111" => + dest <= 12; + src <= 59; + when "0111000" => + dest <= 26; + src <= 35; + when "0111001" => + dest <= 3; + src <= 22; + when "0111010" => + dest <= 26; + src <= 26; + when "0111011" => + dest <= 51; + src <= 39; + when "0111100" => + dest <= 1; + src <= 26; + when "0111101" => + dest <= 44; + src <= 40; + when "0111110" => + dest <= 26; + src <= 26; + when "0111111" => + dest <= 8; + src <= 49; + when "1000000" => + dest <= 37; + src <= 26; + when "1000001" => + dest <= 58; + src <= 1; + when "1000010" => + dest <= 26; + src <= 51; + when "1000011" => + dest <= 30; + src <= 8; + when "1000100" => + dest <= 26; + src <= 26; + when "1000101" => + dest <= 16; + src <= 44; + when "1000110" => + dest <= 20; + src <= 23; + when "1000111" => + dest <= 11; + src <= 58; + when "1001000" => + dest <= 26; + src <= 26; + when "1001001" => + dest <= 9; + src <= 30; + when "1001010" => + dest <= 43; + src <= 36; + when "1001011" => + dest <= 2; + src <= 32; + when "1001100" => + dest <= 36; + src <= 41; + when "1001101" => + dest <= 26; + src <= 26; + when "1001110" => + dest <= 29; + src <= 43; + when "1001111" => + dest <= 26; + src <= 9; + when "1010000" => + dest <= 19; + src <= 50; + when "1010001" => + dest <= 10; + src <= 16; + when "1010010" => + dest <= 28; + src <= 26; + when "1010011" => + dest <= 26; + src <= 31; + when "1010100" => + dest <= 26; + src <= 33; + when "1010101" => + dest <= 62; + src <= 42; + when "1010110" => + dest <= 53; + src <= 24; + when others => + dest <= 26; + src <= 26; + + end case; + end process; + + end generate NI_NUM26; + + NI_NUM27 : if NI_NUM = 27 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 32; + src <= 27; + when "0000001" => + dest <= 35; + src <= 27; + when "0000010" => + dest <= 19; + src <= 27; + when "0000011" => + dest <= 7; + src <= 27; + when "0000100" => + dest <= 56; + src <= 19; + when "0000101" => + dest <= 27; + src <= 35; + when "0000110" => + dest <= 48; + src <= 22; + when "0000111" => + dest <= 25; + src <= 0; + when "0001000" => + dest <= 27; + src <= 63; + when "0001001" => + dest <= 49; + src <= 27; + when "0001010" => + dest <= 55; + src <= 27; + when "0001011" => + dest <= 62; + src <= 29; + when "0001100" => + dest <= 41; + src <= 55; + when "0001101" => + dest <= 27; + src <= 62; + when "0001110" => + dest <= 28; + src <= 6; + when "0001111" => + dest <= 16; + src <= 27; + when "0010000" => + dest <= 15; + src <= 5; + when "0010001" => + dest <= 42; + src <= 26; + when "0010010" => + dest <= 27; + src <= 13; + when "0010011" => + dest <= 6; + src <= 7; + when "0010100" => + dest <= 57; + src <= 56; + when "0010101" => + dest <= 33; + src <= 38; + when "0010110" => + dest <= 40; + src <= 12; + when "0010111" => + dest <= 27; + src <= 27; + when "0011000" => + dest <= 50; + src <= 47; + when "0011001" => + dest <= 27; + src <= 27; + when "0011010" => + dest <= 0; + src <= 21; + when "0011011" => + dest <= 61; + src <= 48; + when "0011100" => + dest <= 47; + src <= 61; + when "0011101" => + dest <= 36; + src <= 14; + when "0011110" => + dest <= 27; + src <= 4; + when "0011111" => + dest <= 27; + src <= 27; + when "0100000" => + dest <= 24; + src <= 27; + when "0100001" => + dest <= 23; + src <= 18; + when "0100010" => + dest <= 8; + src <= 54; + when "0100011" => + dest <= 43; + src <= 57; + when "0100100" => + dest <= 1; + src <= 15; + when "0100101" => + dest <= 51; + src <= 30; + when "0100110" => + dest <= 60; + src <= 27; + when "0100111" => + dest <= 53; + src <= 11; + when "0101000" => + dest <= 34; + src <= 39; + when "0101001" => + dest <= 46; + src <= 46; + when "0101010" => + dest <= 27; + src <= 3; + when "0101011" => + dest <= 14; + src <= 53; + when "0101100" => + dest <= 26; + src <= 20; + when "0101101" => + dest <= 5; + src <= 58; + when "0101110" => + dest <= 27; + src <= 1; + when "0101111" => + dest <= 27; + src <= 28; + when "0110000" => + dest <= 58; + src <= 8; + when "0110001" => + dest <= 27; + src <= 27; + when "0110010" => + dest <= 39; + src <= 40; + when "0110011" => + dest <= 27; + src <= 27; + when "0110100" => + dest <= 18; + src <= 49; + when "0110101" => + dest <= 22; + src <= 27; + when "0110110" => + dest <= 27; + src <= 27; + when "0110111" => + dest <= 13; + src <= 60; + when "0111000" => + dest <= 27; + src <= 36; + when "0111001" => + dest <= 4; + src <= 23; + when "0111010" => + dest <= 27; + src <= 27; + when "0111011" => + dest <= 52; + src <= 32; + when "0111100" => + dest <= 2; + src <= 27; + when "0111101" => + dest <= 45; + src <= 41; + when "0111110" => + dest <= 27; + src <= 27; + when "0111111" => + dest <= 9; + src <= 50; + when "1000000" => + dest <= 38; + src <= 27; + when "1000001" => + dest <= 59; + src <= 2; + when "1000010" => + dest <= 27; + src <= 52; + when "1000011" => + dest <= 31; + src <= 9; + when "1000100" => + dest <= 27; + src <= 27; + when "1000101" => + dest <= 17; + src <= 45; + when "1000110" => + dest <= 21; + src <= 16; + when "1000111" => + dest <= 12; + src <= 59; + when "1001000" => + dest <= 27; + src <= 27; + when "1001001" => + dest <= 10; + src <= 31; + when "1001010" => + dest <= 44; + src <= 37; + when "1001011" => + dest <= 3; + src <= 33; + when "1001100" => + dest <= 37; + src <= 42; + when "1001101" => + dest <= 27; + src <= 27; + when "1001110" => + dest <= 30; + src <= 44; + when "1001111" => + dest <= 27; + src <= 10; + when "1010000" => + dest <= 20; + src <= 51; + when "1010001" => + dest <= 11; + src <= 17; + when "1010010" => + dest <= 29; + src <= 27; + when "1010011" => + dest <= 27; + src <= 24; + when "1010100" => + dest <= 27; + src <= 34; + when "1010101" => + dest <= 63; + src <= 43; + when "1010110" => + dest <= 54; + src <= 25; + when others => + dest <= 27; + src <= 27; + + end case; + end process; + + end generate NI_NUM27; + + NI_NUM28 : if NI_NUM = 28 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 33; + src <= 28; + when "0000001" => + dest <= 36; + src <= 28; + when "0000010" => + dest <= 20; + src <= 28; + when "0000011" => + dest <= 0; + src <= 28; + when "0000100" => + dest <= 57; + src <= 20; + when "0000101" => + dest <= 28; + src <= 36; + when "0000110" => + dest <= 49; + src <= 23; + when "0000111" => + dest <= 26; + src <= 1; + when "0001000" => + dest <= 28; + src <= 56; + when "0001001" => + dest <= 50; + src <= 28; + when "0001010" => + dest <= 48; + src <= 28; + when "0001011" => + dest <= 63; + src <= 30; + when "0001100" => + dest <= 42; + src <= 48; + when "0001101" => + dest <= 28; + src <= 63; + when "0001110" => + dest <= 29; + src <= 7; + when "0001111" => + dest <= 17; + src <= 28; + when "0010000" => + dest <= 8; + src <= 6; + when "0010001" => + dest <= 43; + src <= 27; + when "0010010" => + dest <= 28; + src <= 14; + when "0010011" => + dest <= 7; + src <= 0; + when "0010100" => + dest <= 58; + src <= 57; + when "0010101" => + dest <= 34; + src <= 39; + when "0010110" => + dest <= 41; + src <= 13; + when "0010111" => + dest <= 28; + src <= 28; + when "0011000" => + dest <= 51; + src <= 40; + when "0011001" => + dest <= 28; + src <= 28; + when "0011010" => + dest <= 1; + src <= 22; + when "0011011" => + dest <= 62; + src <= 49; + when "0011100" => + dest <= 40; + src <= 62; + when "0011101" => + dest <= 37; + src <= 15; + when "0011110" => + dest <= 28; + src <= 5; + when "0011111" => + dest <= 28; + src <= 28; + when "0100000" => + dest <= 25; + src <= 28; + when "0100001" => + dest <= 16; + src <= 19; + when "0100010" => + dest <= 9; + src <= 55; + when "0100011" => + dest <= 44; + src <= 58; + when "0100100" => + dest <= 2; + src <= 8; + when "0100101" => + dest <= 52; + src <= 31; + when "0100110" => + dest <= 61; + src <= 28; + when "0100111" => + dest <= 54; + src <= 12; + when "0101000" => + dest <= 35; + src <= 32; + when "0101001" => + dest <= 47; + src <= 47; + when "0101010" => + dest <= 28; + src <= 4; + when "0101011" => + dest <= 15; + src <= 54; + when "0101100" => + dest <= 27; + src <= 21; + when "0101101" => + dest <= 6; + src <= 59; + when "0101110" => + dest <= 28; + src <= 2; + when "0101111" => + dest <= 28; + src <= 29; + when "0110000" => + dest <= 59; + src <= 9; + when "0110001" => + dest <= 28; + src <= 28; + when "0110010" => + dest <= 32; + src <= 41; + when "0110011" => + dest <= 28; + src <= 28; + when "0110100" => + dest <= 19; + src <= 50; + when "0110101" => + dest <= 23; + src <= 28; + when "0110110" => + dest <= 28; + src <= 28; + when "0110111" => + dest <= 14; + src <= 61; + when "0111000" => + dest <= 28; + src <= 37; + when "0111001" => + dest <= 5; + src <= 16; + when "0111010" => + dest <= 28; + src <= 28; + when "0111011" => + dest <= 53; + src <= 33; + when "0111100" => + dest <= 3; + src <= 28; + when "0111101" => + dest <= 46; + src <= 42; + when "0111110" => + dest <= 28; + src <= 28; + when "0111111" => + dest <= 10; + src <= 51; + when "1000000" => + dest <= 39; + src <= 28; + when "1000001" => + dest <= 60; + src <= 3; + when "1000010" => + dest <= 28; + src <= 53; + when "1000011" => + dest <= 24; + src <= 10; + when "1000100" => + dest <= 28; + src <= 28; + when "1000101" => + dest <= 18; + src <= 46; + when "1000110" => + dest <= 22; + src <= 17; + when "1000111" => + dest <= 13; + src <= 60; + when "1001000" => + dest <= 28; + src <= 28; + when "1001001" => + dest <= 11; + src <= 24; + when "1001010" => + dest <= 45; + src <= 38; + when "1001011" => + dest <= 4; + src <= 34; + when "1001100" => + dest <= 38; + src <= 43; + when "1001101" => + dest <= 28; + src <= 28; + when "1001110" => + dest <= 31; + src <= 45; + when "1001111" => + dest <= 28; + src <= 11; + when "1010000" => + dest <= 21; + src <= 52; + when "1010001" => + dest <= 12; + src <= 18; + when "1010010" => + dest <= 30; + src <= 28; + when "1010011" => + dest <= 28; + src <= 25; + when "1010100" => + dest <= 28; + src <= 35; + when "1010101" => + dest <= 56; + src <= 44; + when "1010110" => + dest <= 55; + src <= 26; + when others => + dest <= 28; + src <= 28; + + end case; + end process; + + end generate NI_NUM28; + + NI_NUM29 : if NI_NUM = 29 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 34; + src <= 29; + when "0000001" => + dest <= 37; + src <= 29; + when "0000010" => + dest <= 21; + src <= 29; + when "0000011" => + dest <= 1; + src <= 29; + when "0000100" => + dest <= 58; + src <= 21; + when "0000101" => + dest <= 29; + src <= 37; + when "0000110" => + dest <= 50; + src <= 16; + when "0000111" => + dest <= 27; + src <= 2; + when "0001000" => + dest <= 29; + src <= 57; + when "0001001" => + dest <= 51; + src <= 29; + when "0001010" => + dest <= 49; + src <= 29; + when "0001011" => + dest <= 56; + src <= 31; + when "0001100" => + dest <= 43; + src <= 49; + when "0001101" => + dest <= 29; + src <= 56; + when "0001110" => + dest <= 30; + src <= 0; + when "0001111" => + dest <= 18; + src <= 29; + when "0010000" => + dest <= 9; + src <= 7; + when "0010001" => + dest <= 44; + src <= 28; + when "0010010" => + dest <= 29; + src <= 15; + when "0010011" => + dest <= 0; + src <= 1; + when "0010100" => + dest <= 59; + src <= 58; + when "0010101" => + dest <= 35; + src <= 32; + when "0010110" => + dest <= 42; + src <= 14; + when "0010111" => + dest <= 29; + src <= 29; + when "0011000" => + dest <= 52; + src <= 41; + when "0011001" => + dest <= 29; + src <= 29; + when "0011010" => + dest <= 2; + src <= 23; + when "0011011" => + dest <= 63; + src <= 50; + when "0011100" => + dest <= 41; + src <= 63; + when "0011101" => + dest <= 38; + src <= 8; + when "0011110" => + dest <= 29; + src <= 6; + when "0011111" => + dest <= 29; + src <= 29; + when "0100000" => + dest <= 26; + src <= 29; + when "0100001" => + dest <= 17; + src <= 20; + when "0100010" => + dest <= 10; + src <= 48; + when "0100011" => + dest <= 45; + src <= 59; + when "0100100" => + dest <= 3; + src <= 9; + when "0100101" => + dest <= 53; + src <= 24; + when "0100110" => + dest <= 62; + src <= 29; + when "0100111" => + dest <= 55; + src <= 13; + when "0101000" => + dest <= 36; + src <= 33; + when "0101001" => + dest <= 40; + src <= 40; + when "0101010" => + dest <= 29; + src <= 5; + when "0101011" => + dest <= 8; + src <= 55; + when "0101100" => + dest <= 28; + src <= 22; + when "0101101" => + dest <= 7; + src <= 60; + when "0101110" => + dest <= 29; + src <= 3; + when "0101111" => + dest <= 29; + src <= 30; + when "0110000" => + dest <= 60; + src <= 10; + when "0110001" => + dest <= 29; + src <= 29; + when "0110010" => + dest <= 33; + src <= 42; + when "0110011" => + dest <= 29; + src <= 29; + when "0110100" => + dest <= 20; + src <= 51; + when "0110101" => + dest <= 16; + src <= 29; + when "0110110" => + dest <= 29; + src <= 29; + when "0110111" => + dest <= 15; + src <= 62; + when "0111000" => + dest <= 29; + src <= 38; + when "0111001" => + dest <= 6; + src <= 17; + when "0111010" => + dest <= 29; + src <= 29; + when "0111011" => + dest <= 54; + src <= 34; + when "0111100" => + dest <= 4; + src <= 29; + when "0111101" => + dest <= 47; + src <= 43; + when "0111110" => + dest <= 29; + src <= 29; + when "0111111" => + dest <= 11; + src <= 52; + when "1000000" => + dest <= 32; + src <= 29; + when "1000001" => + dest <= 61; + src <= 4; + when "1000010" => + dest <= 29; + src <= 54; + when "1000011" => + dest <= 25; + src <= 11; + when "1000100" => + dest <= 29; + src <= 29; + when "1000101" => + dest <= 19; + src <= 47; + when "1000110" => + dest <= 23; + src <= 18; + when "1000111" => + dest <= 14; + src <= 61; + when "1001000" => + dest <= 29; + src <= 29; + when "1001001" => + dest <= 12; + src <= 25; + when "1001010" => + dest <= 46; + src <= 39; + when "1001011" => + dest <= 5; + src <= 35; + when "1001100" => + dest <= 39; + src <= 44; + when "1001101" => + dest <= 29; + src <= 29; + when "1001110" => + dest <= 24; + src <= 46; + when "1001111" => + dest <= 29; + src <= 12; + when "1010000" => + dest <= 22; + src <= 53; + when "1010001" => + dest <= 13; + src <= 19; + when "1010010" => + dest <= 31; + src <= 29; + when "1010011" => + dest <= 29; + src <= 26; + when "1010100" => + dest <= 29; + src <= 36; + when "1010101" => + dest <= 57; + src <= 45; + when "1010110" => + dest <= 48; + src <= 27; + when others => + dest <= 29; + src <= 29; + + end case; + end process; + + end generate NI_NUM29; + + NI_NUM30 : if NI_NUM = 30 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 35; + src <= 30; + when "0000001" => + dest <= 38; + src <= 30; + when "0000010" => + dest <= 22; + src <= 30; + when "0000011" => + dest <= 2; + src <= 30; + when "0000100" => + dest <= 59; + src <= 22; + when "0000101" => + dest <= 30; + src <= 38; + when "0000110" => + dest <= 51; + src <= 17; + when "0000111" => + dest <= 28; + src <= 3; + when "0001000" => + dest <= 30; + src <= 58; + when "0001001" => + dest <= 52; + src <= 30; + when "0001010" => + dest <= 50; + src <= 30; + when "0001011" => + dest <= 57; + src <= 24; + when "0001100" => + dest <= 44; + src <= 50; + when "0001101" => + dest <= 30; + src <= 57; + when "0001110" => + dest <= 31; + src <= 1; + when "0001111" => + dest <= 19; + src <= 30; + when "0010000" => + dest <= 10; + src <= 0; + when "0010001" => + dest <= 45; + src <= 29; + when "0010010" => + dest <= 30; + src <= 8; + when "0010011" => + dest <= 1; + src <= 2; + when "0010100" => + dest <= 60; + src <= 59; + when "0010101" => + dest <= 36; + src <= 33; + when "0010110" => + dest <= 43; + src <= 15; + when "0010111" => + dest <= 30; + src <= 30; + when "0011000" => + dest <= 53; + src <= 42; + when "0011001" => + dest <= 30; + src <= 30; + when "0011010" => + dest <= 3; + src <= 16; + when "0011011" => + dest <= 56; + src <= 51; + when "0011100" => + dest <= 42; + src <= 56; + when "0011101" => + dest <= 39; + src <= 9; + when "0011110" => + dest <= 30; + src <= 7; + when "0011111" => + dest <= 30; + src <= 30; + when "0100000" => + dest <= 27; + src <= 30; + when "0100001" => + dest <= 18; + src <= 21; + when "0100010" => + dest <= 11; + src <= 49; + when "0100011" => + dest <= 46; + src <= 60; + when "0100100" => + dest <= 4; + src <= 10; + when "0100101" => + dest <= 54; + src <= 25; + when "0100110" => + dest <= 63; + src <= 30; + when "0100111" => + dest <= 48; + src <= 14; + when "0101000" => + dest <= 37; + src <= 34; + when "0101001" => + dest <= 41; + src <= 41; + when "0101010" => + dest <= 30; + src <= 6; + when "0101011" => + dest <= 9; + src <= 48; + when "0101100" => + dest <= 29; + src <= 23; + when "0101101" => + dest <= 0; + src <= 61; + when "0101110" => + dest <= 30; + src <= 4; + when "0101111" => + dest <= 30; + src <= 31; + when "0110000" => + dest <= 61; + src <= 11; + when "0110001" => + dest <= 30; + src <= 30; + when "0110010" => + dest <= 34; + src <= 43; + when "0110011" => + dest <= 30; + src <= 30; + when "0110100" => + dest <= 21; + src <= 52; + when "0110101" => + dest <= 17; + src <= 30; + when "0110110" => + dest <= 30; + src <= 30; + when "0110111" => + dest <= 8; + src <= 63; + when "0111000" => + dest <= 30; + src <= 39; + when "0111001" => + dest <= 7; + src <= 18; + when "0111010" => + dest <= 30; + src <= 30; + when "0111011" => + dest <= 55; + src <= 35; + when "0111100" => + dest <= 5; + src <= 30; + when "0111101" => + dest <= 40; + src <= 44; + when "0111110" => + dest <= 30; + src <= 30; + when "0111111" => + dest <= 12; + src <= 53; + when "1000000" => + dest <= 33; + src <= 30; + when "1000001" => + dest <= 62; + src <= 5; + when "1000010" => + dest <= 30; + src <= 55; + when "1000011" => + dest <= 26; + src <= 12; + when "1000100" => + dest <= 30; + src <= 30; + when "1000101" => + dest <= 20; + src <= 40; + when "1000110" => + dest <= 16; + src <= 19; + when "1000111" => + dest <= 15; + src <= 62; + when "1001000" => + dest <= 30; + src <= 30; + when "1001001" => + dest <= 13; + src <= 26; + when "1001010" => + dest <= 47; + src <= 32; + when "1001011" => + dest <= 6; + src <= 36; + when "1001100" => + dest <= 32; + src <= 45; + when "1001101" => + dest <= 30; + src <= 30; + when "1001110" => + dest <= 25; + src <= 47; + when "1001111" => + dest <= 30; + src <= 13; + when "1010000" => + dest <= 23; + src <= 54; + when "1010001" => + dest <= 14; + src <= 20; + when "1010010" => + dest <= 24; + src <= 30; + when "1010011" => + dest <= 30; + src <= 27; + when "1010100" => + dest <= 30; + src <= 37; + when "1010101" => + dest <= 58; + src <= 46; + when "1010110" => + dest <= 49; + src <= 28; + when others => + dest <= 30; + src <= 30; + + end case; + end process; + + end generate NI_NUM30; + + NI_NUM31 : if NI_NUM = 31 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 36; + src <= 31; + when "0000001" => + dest <= 39; + src <= 31; + when "0000010" => + dest <= 23; + src <= 31; + when "0000011" => + dest <= 3; + src <= 31; + when "0000100" => + dest <= 60; + src <= 23; + when "0000101" => + dest <= 31; + src <= 39; + when "0000110" => + dest <= 52; + src <= 18; + when "0000111" => + dest <= 29; + src <= 4; + when "0001000" => + dest <= 31; + src <= 59; + when "0001001" => + dest <= 53; + src <= 31; + when "0001010" => + dest <= 51; + src <= 31; + when "0001011" => + dest <= 58; + src <= 25; + when "0001100" => + dest <= 45; + src <= 51; + when "0001101" => + dest <= 31; + src <= 58; + when "0001110" => + dest <= 24; + src <= 2; + when "0001111" => + dest <= 20; + src <= 31; + when "0010000" => + dest <= 11; + src <= 1; + when "0010001" => + dest <= 46; + src <= 30; + when "0010010" => + dest <= 31; + src <= 9; + when "0010011" => + dest <= 2; + src <= 3; + when "0010100" => + dest <= 61; + src <= 60; + when "0010101" => + dest <= 37; + src <= 34; + when "0010110" => + dest <= 44; + src <= 8; + when "0010111" => + dest <= 31; + src <= 31; + when "0011000" => + dest <= 54; + src <= 43; + when "0011001" => + dest <= 31; + src <= 31; + when "0011010" => + dest <= 4; + src <= 17; + when "0011011" => + dest <= 57; + src <= 52; + when "0011100" => + dest <= 43; + src <= 57; + when "0011101" => + dest <= 32; + src <= 10; + when "0011110" => + dest <= 31; + src <= 0; + when "0011111" => + dest <= 31; + src <= 31; + when "0100000" => + dest <= 28; + src <= 31; + when "0100001" => + dest <= 19; + src <= 22; + when "0100010" => + dest <= 12; + src <= 50; + when "0100011" => + dest <= 47; + src <= 61; + when "0100100" => + dest <= 5; + src <= 11; + when "0100101" => + dest <= 55; + src <= 26; + when "0100110" => + dest <= 56; + src <= 31; + when "0100111" => + dest <= 49; + src <= 15; + when "0101000" => + dest <= 38; + src <= 35; + when "0101001" => + dest <= 42; + src <= 42; + when "0101010" => + dest <= 31; + src <= 7; + when "0101011" => + dest <= 10; + src <= 49; + when "0101100" => + dest <= 30; + src <= 16; + when "0101101" => + dest <= 1; + src <= 62; + when "0101110" => + dest <= 31; + src <= 5; + when "0101111" => + dest <= 31; + src <= 24; + when "0110000" => + dest <= 62; + src <= 12; + when "0110001" => + dest <= 31; + src <= 31; + when "0110010" => + dest <= 35; + src <= 44; + when "0110011" => + dest <= 31; + src <= 31; + when "0110100" => + dest <= 22; + src <= 53; + when "0110101" => + dest <= 18; + src <= 31; + when "0110110" => + dest <= 31; + src <= 31; + when "0110111" => + dest <= 9; + src <= 56; + when "0111000" => + dest <= 31; + src <= 32; + when "0111001" => + dest <= 0; + src <= 19; + when "0111010" => + dest <= 31; + src <= 31; + when "0111011" => + dest <= 48; + src <= 36; + when "0111100" => + dest <= 6; + src <= 31; + when "0111101" => + dest <= 41; + src <= 45; + when "0111110" => + dest <= 31; + src <= 31; + when "0111111" => + dest <= 13; + src <= 54; + when "1000000" => + dest <= 34; + src <= 31; + when "1000001" => + dest <= 63; + src <= 6; + when "1000010" => + dest <= 31; + src <= 48; + when "1000011" => + dest <= 27; + src <= 13; + when "1000100" => + dest <= 31; + src <= 31; + when "1000101" => + dest <= 21; + src <= 41; + when "1000110" => + dest <= 17; + src <= 20; + when "1000111" => + dest <= 8; + src <= 63; + when "1001000" => + dest <= 31; + src <= 31; + when "1001001" => + dest <= 14; + src <= 27; + when "1001010" => + dest <= 40; + src <= 33; + when "1001011" => + dest <= 7; + src <= 37; + when "1001100" => + dest <= 33; + src <= 46; + when "1001101" => + dest <= 31; + src <= 31; + when "1001110" => + dest <= 26; + src <= 40; + when "1001111" => + dest <= 31; + src <= 14; + when "1010000" => + dest <= 16; + src <= 55; + when "1010001" => + dest <= 15; + src <= 21; + when "1010010" => + dest <= 25; + src <= 31; + when "1010011" => + dest <= 31; + src <= 28; + when "1010100" => + dest <= 31; + src <= 38; + when "1010101" => + dest <= 59; + src <= 47; + when "1010110" => + dest <= 50; + src <= 29; + when others => + dest <= 31; + src <= 31; + + end case; + end process; + + end generate NI_NUM31; + + NI_NUM32 : if NI_NUM = 32 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 45; + src <= 32; + when "0000001" => + dest <= 40; + src <= 32; + when "0000010" => + dest <= 24; + src <= 32; + when "0000011" => + dest <= 12; + src <= 32; + when "0000100" => + dest <= 5; + src <= 24; + when "0000101" => + dest <= 32; + src <= 40; + when "0000110" => + dest <= 61; + src <= 27; + when "0000111" => + dest <= 38; + src <= 13; + when "0001000" => + dest <= 32; + src <= 4; + when "0001001" => + dest <= 62; + src <= 32; + when "0001010" => + dest <= 60; + src <= 32; + when "0001011" => + dest <= 3; + src <= 34; + when "0001100" => + dest <= 54; + src <= 60; + when "0001101" => + dest <= 32; + src <= 3; + when "0001110" => + dest <= 33; + src <= 11; + when "0001111" => + dest <= 29; + src <= 32; + when "0010000" => + dest <= 20; + src <= 10; + when "0010001" => + dest <= 55; + src <= 39; + when "0010010" => + dest <= 32; + src <= 18; + when "0010011" => + dest <= 11; + src <= 12; + when "0010100" => + dest <= 6; + src <= 5; + when "0010101" => + dest <= 46; + src <= 43; + when "0010110" => + dest <= 53; + src <= 17; + when "0010111" => + dest <= 32; + src <= 32; + when "0011000" => + dest <= 63; + src <= 52; + when "0011001" => + dest <= 32; + src <= 32; + when "0011010" => + dest <= 13; + src <= 26; + when "0011011" => + dest <= 2; + src <= 61; + when "0011100" => + dest <= 52; + src <= 2; + when "0011101" => + dest <= 41; + src <= 19; + when "0011110" => + dest <= 32; + src <= 9; + when "0011111" => + dest <= 32; + src <= 32; + when "0100000" => + dest <= 37; + src <= 32; + when "0100001" => + dest <= 28; + src <= 31; + when "0100010" => + dest <= 21; + src <= 59; + when "0100011" => + dest <= 48; + src <= 6; + when "0100100" => + dest <= 14; + src <= 20; + when "0100101" => + dest <= 56; + src <= 35; + when "0100110" => + dest <= 1; + src <= 32; + when "0100111" => + dest <= 58; + src <= 16; + when "0101000" => + dest <= 47; + src <= 44; + when "0101001" => + dest <= 51; + src <= 51; + when "0101010" => + dest <= 32; + src <= 8; + when "0101011" => + dest <= 19; + src <= 58; + when "0101100" => + dest <= 39; + src <= 25; + when "0101101" => + dest <= 10; + src <= 7; + when "0101110" => + dest <= 32; + src <= 14; + when "0101111" => + dest <= 32; + src <= 33; + when "0110000" => + dest <= 7; + src <= 21; + when "0110001" => + dest <= 32; + src <= 32; + when "0110010" => + dest <= 44; + src <= 53; + when "0110011" => + dest <= 32; + src <= 32; + when "0110100" => + dest <= 31; + src <= 62; + when "0110101" => + dest <= 27; + src <= 32; + when "0110110" => + dest <= 32; + src <= 32; + when "0110111" => + dest <= 18; + src <= 1; + when "0111000" => + dest <= 32; + src <= 41; + when "0111001" => + dest <= 9; + src <= 28; + when "0111010" => + dest <= 32; + src <= 32; + when "0111011" => + dest <= 57; + src <= 45; + when "0111100" => + dest <= 15; + src <= 32; + when "0111101" => + dest <= 50; + src <= 54; + when "0111110" => + dest <= 32; + src <= 32; + when "0111111" => + dest <= 22; + src <= 63; + when "1000000" => + dest <= 43; + src <= 32; + when "1000001" => + dest <= 0; + src <= 15; + when "1000010" => + dest <= 32; + src <= 57; + when "1000011" => + dest <= 36; + src <= 22; + when "1000100" => + dest <= 32; + src <= 32; + when "1000101" => + dest <= 30; + src <= 50; + when "1000110" => + dest <= 26; + src <= 29; + when "1000111" => + dest <= 17; + src <= 0; + when "1001000" => + dest <= 32; + src <= 32; + when "1001001" => + dest <= 23; + src <= 36; + when "1001010" => + dest <= 49; + src <= 42; + when "1001011" => + dest <= 8; + src <= 46; + when "1001100" => + dest <= 42; + src <= 55; + when "1001101" => + dest <= 32; + src <= 32; + when "1001110" => + dest <= 35; + src <= 49; + when "1001111" => + dest <= 32; + src <= 23; + when "1010000" => + dest <= 25; + src <= 56; + when "1010001" => + dest <= 16; + src <= 30; + when "1010010" => + dest <= 34; + src <= 32; + when "1010011" => + dest <= 32; + src <= 37; + when "1010100" => + dest <= 32; + src <= 47; + when "1010101" => + dest <= 4; + src <= 48; + when "1010110" => + dest <= 59; + src <= 38; + when others => + dest <= 32; + src <= 32; + + end case; + end process; + + end generate NI_NUM32; + + NI_NUM33 : if NI_NUM = 33 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 46; + src <= 33; + when "0000001" => + dest <= 41; + src <= 33; + when "0000010" => + dest <= 25; + src <= 33; + when "0000011" => + dest <= 13; + src <= 33; + when "0000100" => + dest <= 6; + src <= 25; + when "0000101" => + dest <= 33; + src <= 41; + when "0000110" => + dest <= 62; + src <= 28; + when "0000111" => + dest <= 39; + src <= 14; + when "0001000" => + dest <= 33; + src <= 5; + when "0001001" => + dest <= 63; + src <= 33; + when "0001010" => + dest <= 61; + src <= 33; + when "0001011" => + dest <= 4; + src <= 35; + when "0001100" => + dest <= 55; + src <= 61; + when "0001101" => + dest <= 33; + src <= 4; + when "0001110" => + dest <= 34; + src <= 12; + when "0001111" => + dest <= 30; + src <= 33; + when "0010000" => + dest <= 21; + src <= 11; + when "0010001" => + dest <= 48; + src <= 32; + when "0010010" => + dest <= 33; + src <= 19; + when "0010011" => + dest <= 12; + src <= 13; + when "0010100" => + dest <= 7; + src <= 6; + when "0010101" => + dest <= 47; + src <= 44; + when "0010110" => + dest <= 54; + src <= 18; + when "0010111" => + dest <= 33; + src <= 33; + when "0011000" => + dest <= 56; + src <= 53; + when "0011001" => + dest <= 33; + src <= 33; + when "0011010" => + dest <= 14; + src <= 27; + when "0011011" => + dest <= 3; + src <= 62; + when "0011100" => + dest <= 53; + src <= 3; + when "0011101" => + dest <= 42; + src <= 20; + when "0011110" => + dest <= 33; + src <= 10; + when "0011111" => + dest <= 33; + src <= 33; + when "0100000" => + dest <= 38; + src <= 33; + when "0100001" => + dest <= 29; + src <= 24; + when "0100010" => + dest <= 22; + src <= 60; + when "0100011" => + dest <= 49; + src <= 7; + when "0100100" => + dest <= 15; + src <= 21; + when "0100101" => + dest <= 57; + src <= 36; + when "0100110" => + dest <= 2; + src <= 33; + when "0100111" => + dest <= 59; + src <= 17; + when "0101000" => + dest <= 40; + src <= 45; + when "0101001" => + dest <= 52; + src <= 52; + when "0101010" => + dest <= 33; + src <= 9; + when "0101011" => + dest <= 20; + src <= 59; + when "0101100" => + dest <= 32; + src <= 26; + when "0101101" => + dest <= 11; + src <= 0; + when "0101110" => + dest <= 33; + src <= 15; + when "0101111" => + dest <= 33; + src <= 34; + when "0110000" => + dest <= 0; + src <= 22; + when "0110001" => + dest <= 33; + src <= 33; + when "0110010" => + dest <= 45; + src <= 54; + when "0110011" => + dest <= 33; + src <= 33; + when "0110100" => + dest <= 24; + src <= 63; + when "0110101" => + dest <= 28; + src <= 33; + when "0110110" => + dest <= 33; + src <= 33; + when "0110111" => + dest <= 19; + src <= 2; + when "0111000" => + dest <= 33; + src <= 42; + when "0111001" => + dest <= 10; + src <= 29; + when "0111010" => + dest <= 33; + src <= 33; + when "0111011" => + dest <= 58; + src <= 46; + when "0111100" => + dest <= 8; + src <= 33; + when "0111101" => + dest <= 51; + src <= 55; + when "0111110" => + dest <= 33; + src <= 33; + when "0111111" => + dest <= 23; + src <= 56; + when "1000000" => + dest <= 44; + src <= 33; + when "1000001" => + dest <= 1; + src <= 8; + when "1000010" => + dest <= 33; + src <= 58; + when "1000011" => + dest <= 37; + src <= 23; + when "1000100" => + dest <= 33; + src <= 33; + when "1000101" => + dest <= 31; + src <= 51; + when "1000110" => + dest <= 27; + src <= 30; + when "1000111" => + dest <= 18; + src <= 1; + when "1001000" => + dest <= 33; + src <= 33; + when "1001001" => + dest <= 16; + src <= 37; + when "1001010" => + dest <= 50; + src <= 43; + when "1001011" => + dest <= 9; + src <= 47; + when "1001100" => + dest <= 43; + src <= 48; + when "1001101" => + dest <= 33; + src <= 33; + when "1001110" => + dest <= 36; + src <= 50; + when "1001111" => + dest <= 33; + src <= 16; + when "1010000" => + dest <= 26; + src <= 57; + when "1010001" => + dest <= 17; + src <= 31; + when "1010010" => + dest <= 35; + src <= 33; + when "1010011" => + dest <= 33; + src <= 38; + when "1010100" => + dest <= 33; + src <= 40; + when "1010101" => + dest <= 5; + src <= 49; + when "1010110" => + dest <= 60; + src <= 39; + when others => + dest <= 33; + src <= 33; + + end case; + end process; + + end generate NI_NUM33; + + NI_NUM34 : if NI_NUM = 34 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 47; + src <= 34; + when "0000001" => + dest <= 42; + src <= 34; + when "0000010" => + dest <= 26; + src <= 34; + when "0000011" => + dest <= 14; + src <= 34; + when "0000100" => + dest <= 7; + src <= 26; + when "0000101" => + dest <= 34; + src <= 42; + when "0000110" => + dest <= 63; + src <= 29; + when "0000111" => + dest <= 32; + src <= 15; + when "0001000" => + dest <= 34; + src <= 6; + when "0001001" => + dest <= 56; + src <= 34; + when "0001010" => + dest <= 62; + src <= 34; + when "0001011" => + dest <= 5; + src <= 36; + when "0001100" => + dest <= 48; + src <= 62; + when "0001101" => + dest <= 34; + src <= 5; + when "0001110" => + dest <= 35; + src <= 13; + when "0001111" => + dest <= 31; + src <= 34; + when "0010000" => + dest <= 22; + src <= 12; + when "0010001" => + dest <= 49; + src <= 33; + when "0010010" => + dest <= 34; + src <= 20; + when "0010011" => + dest <= 13; + src <= 14; + when "0010100" => + dest <= 0; + src <= 7; + when "0010101" => + dest <= 40; + src <= 45; + when "0010110" => + dest <= 55; + src <= 19; + when "0010111" => + dest <= 34; + src <= 34; + when "0011000" => + dest <= 57; + src <= 54; + when "0011001" => + dest <= 34; + src <= 34; + when "0011010" => + dest <= 15; + src <= 28; + when "0011011" => + dest <= 4; + src <= 63; + when "0011100" => + dest <= 54; + src <= 4; + when "0011101" => + dest <= 43; + src <= 21; + when "0011110" => + dest <= 34; + src <= 11; + when "0011111" => + dest <= 34; + src <= 34; + when "0100000" => + dest <= 39; + src <= 34; + when "0100001" => + dest <= 30; + src <= 25; + when "0100010" => + dest <= 23; + src <= 61; + when "0100011" => + dest <= 50; + src <= 0; + when "0100100" => + dest <= 8; + src <= 22; + when "0100101" => + dest <= 58; + src <= 37; + when "0100110" => + dest <= 3; + src <= 34; + when "0100111" => + dest <= 60; + src <= 18; + when "0101000" => + dest <= 41; + src <= 46; + when "0101001" => + dest <= 53; + src <= 53; + when "0101010" => + dest <= 34; + src <= 10; + when "0101011" => + dest <= 21; + src <= 60; + when "0101100" => + dest <= 33; + src <= 27; + when "0101101" => + dest <= 12; + src <= 1; + when "0101110" => + dest <= 34; + src <= 8; + when "0101111" => + dest <= 34; + src <= 35; + when "0110000" => + dest <= 1; + src <= 23; + when "0110001" => + dest <= 34; + src <= 34; + when "0110010" => + dest <= 46; + src <= 55; + when "0110011" => + dest <= 34; + src <= 34; + when "0110100" => + dest <= 25; + src <= 56; + when "0110101" => + dest <= 29; + src <= 34; + when "0110110" => + dest <= 34; + src <= 34; + when "0110111" => + dest <= 20; + src <= 3; + when "0111000" => + dest <= 34; + src <= 43; + when "0111001" => + dest <= 11; + src <= 30; + when "0111010" => + dest <= 34; + src <= 34; + when "0111011" => + dest <= 59; + src <= 47; + when "0111100" => + dest <= 9; + src <= 34; + when "0111101" => + dest <= 52; + src <= 48; + when "0111110" => + dest <= 34; + src <= 34; + when "0111111" => + dest <= 16; + src <= 57; + when "1000000" => + dest <= 45; + src <= 34; + when "1000001" => + dest <= 2; + src <= 9; + when "1000010" => + dest <= 34; + src <= 59; + when "1000011" => + dest <= 38; + src <= 16; + when "1000100" => + dest <= 34; + src <= 34; + when "1000101" => + dest <= 24; + src <= 52; + when "1000110" => + dest <= 28; + src <= 31; + when "1000111" => + dest <= 19; + src <= 2; + when "1001000" => + dest <= 34; + src <= 34; + when "1001001" => + dest <= 17; + src <= 38; + when "1001010" => + dest <= 51; + src <= 44; + when "1001011" => + dest <= 10; + src <= 40; + when "1001100" => + dest <= 44; + src <= 49; + when "1001101" => + dest <= 34; + src <= 34; + when "1001110" => + dest <= 37; + src <= 51; + when "1001111" => + dest <= 34; + src <= 17; + when "1010000" => + dest <= 27; + src <= 58; + when "1010001" => + dest <= 18; + src <= 24; + when "1010010" => + dest <= 36; + src <= 34; + when "1010011" => + dest <= 34; + src <= 39; + when "1010100" => + dest <= 34; + src <= 41; + when "1010101" => + dest <= 6; + src <= 50; + when "1010110" => + dest <= 61; + src <= 32; + when others => + dest <= 34; + src <= 34; + + end case; + end process; + + end generate NI_NUM34; + + NI_NUM35 : if NI_NUM = 35 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 40; + src <= 35; + when "0000001" => + dest <= 43; + src <= 35; + when "0000010" => + dest <= 27; + src <= 35; + when "0000011" => + dest <= 15; + src <= 35; + when "0000100" => + dest <= 0; + src <= 27; + when "0000101" => + dest <= 35; + src <= 43; + when "0000110" => + dest <= 56; + src <= 30; + when "0000111" => + dest <= 33; + src <= 8; + when "0001000" => + dest <= 35; + src <= 7; + when "0001001" => + dest <= 57; + src <= 35; + when "0001010" => + dest <= 63; + src <= 35; + when "0001011" => + dest <= 6; + src <= 37; + when "0001100" => + dest <= 49; + src <= 63; + when "0001101" => + dest <= 35; + src <= 6; + when "0001110" => + dest <= 36; + src <= 14; + when "0001111" => + dest <= 24; + src <= 35; + when "0010000" => + dest <= 23; + src <= 13; + when "0010001" => + dest <= 50; + src <= 34; + when "0010010" => + dest <= 35; + src <= 21; + when "0010011" => + dest <= 14; + src <= 15; + when "0010100" => + dest <= 1; + src <= 0; + when "0010101" => + dest <= 41; + src <= 46; + when "0010110" => + dest <= 48; + src <= 20; + when "0010111" => + dest <= 35; + src <= 35; + when "0011000" => + dest <= 58; + src <= 55; + when "0011001" => + dest <= 35; + src <= 35; + when "0011010" => + dest <= 8; + src <= 29; + when "0011011" => + dest <= 5; + src <= 56; + when "0011100" => + dest <= 55; + src <= 5; + when "0011101" => + dest <= 44; + src <= 22; + when "0011110" => + dest <= 35; + src <= 12; + when "0011111" => + dest <= 35; + src <= 35; + when "0100000" => + dest <= 32; + src <= 35; + when "0100001" => + dest <= 31; + src <= 26; + when "0100010" => + dest <= 16; + src <= 62; + when "0100011" => + dest <= 51; + src <= 1; + when "0100100" => + dest <= 9; + src <= 23; + when "0100101" => + dest <= 59; + src <= 38; + when "0100110" => + dest <= 4; + src <= 35; + when "0100111" => + dest <= 61; + src <= 19; + when "0101000" => + dest <= 42; + src <= 47; + when "0101001" => + dest <= 54; + src <= 54; + when "0101010" => + dest <= 35; + src <= 11; + when "0101011" => + dest <= 22; + src <= 61; + when "0101100" => + dest <= 34; + src <= 28; + when "0101101" => + dest <= 13; + src <= 2; + when "0101110" => + dest <= 35; + src <= 9; + when "0101111" => + dest <= 35; + src <= 36; + when "0110000" => + dest <= 2; + src <= 16; + when "0110001" => + dest <= 35; + src <= 35; + when "0110010" => + dest <= 47; + src <= 48; + when "0110011" => + dest <= 35; + src <= 35; + when "0110100" => + dest <= 26; + src <= 57; + when "0110101" => + dest <= 30; + src <= 35; + when "0110110" => + dest <= 35; + src <= 35; + when "0110111" => + dest <= 21; + src <= 4; + when "0111000" => + dest <= 35; + src <= 44; + when "0111001" => + dest <= 12; + src <= 31; + when "0111010" => + dest <= 35; + src <= 35; + when "0111011" => + dest <= 60; + src <= 40; + when "0111100" => + dest <= 10; + src <= 35; + when "0111101" => + dest <= 53; + src <= 49; + when "0111110" => + dest <= 35; + src <= 35; + when "0111111" => + dest <= 17; + src <= 58; + when "1000000" => + dest <= 46; + src <= 35; + when "1000001" => + dest <= 3; + src <= 10; + when "1000010" => + dest <= 35; + src <= 60; + when "1000011" => + dest <= 39; + src <= 17; + when "1000100" => + dest <= 35; + src <= 35; + when "1000101" => + dest <= 25; + src <= 53; + when "1000110" => + dest <= 29; + src <= 24; + when "1000111" => + dest <= 20; + src <= 3; + when "1001000" => + dest <= 35; + src <= 35; + when "1001001" => + dest <= 18; + src <= 39; + when "1001010" => + dest <= 52; + src <= 45; + when "1001011" => + dest <= 11; + src <= 41; + when "1001100" => + dest <= 45; + src <= 50; + when "1001101" => + dest <= 35; + src <= 35; + when "1001110" => + dest <= 38; + src <= 52; + when "1001111" => + dest <= 35; + src <= 18; + when "1010000" => + dest <= 28; + src <= 59; + when "1010001" => + dest <= 19; + src <= 25; + when "1010010" => + dest <= 37; + src <= 35; + when "1010011" => + dest <= 35; + src <= 32; + when "1010100" => + dest <= 35; + src <= 42; + when "1010101" => + dest <= 7; + src <= 51; + when "1010110" => + dest <= 62; + src <= 33; + when others => + dest <= 35; + src <= 35; + + end case; + end process; + + end generate NI_NUM35; + + NI_NUM36 : if NI_NUM = 36 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 41; + src <= 36; + when "0000001" => + dest <= 44; + src <= 36; + when "0000010" => + dest <= 28; + src <= 36; + when "0000011" => + dest <= 8; + src <= 36; + when "0000100" => + dest <= 1; + src <= 28; + when "0000101" => + dest <= 36; + src <= 44; + when "0000110" => + dest <= 57; + src <= 31; + when "0000111" => + dest <= 34; + src <= 9; + when "0001000" => + dest <= 36; + src <= 0; + when "0001001" => + dest <= 58; + src <= 36; + when "0001010" => + dest <= 56; + src <= 36; + when "0001011" => + dest <= 7; + src <= 38; + when "0001100" => + dest <= 50; + src <= 56; + when "0001101" => + dest <= 36; + src <= 7; + when "0001110" => + dest <= 37; + src <= 15; + when "0001111" => + dest <= 25; + src <= 36; + when "0010000" => + dest <= 16; + src <= 14; + when "0010001" => + dest <= 51; + src <= 35; + when "0010010" => + dest <= 36; + src <= 22; + when "0010011" => + dest <= 15; + src <= 8; + when "0010100" => + dest <= 2; + src <= 1; + when "0010101" => + dest <= 42; + src <= 47; + when "0010110" => + dest <= 49; + src <= 21; + when "0010111" => + dest <= 36; + src <= 36; + when "0011000" => + dest <= 59; + src <= 48; + when "0011001" => + dest <= 36; + src <= 36; + when "0011010" => + dest <= 9; + src <= 30; + when "0011011" => + dest <= 6; + src <= 57; + when "0011100" => + dest <= 48; + src <= 6; + when "0011101" => + dest <= 45; + src <= 23; + when "0011110" => + dest <= 36; + src <= 13; + when "0011111" => + dest <= 36; + src <= 36; + when "0100000" => + dest <= 33; + src <= 36; + when "0100001" => + dest <= 24; + src <= 27; + when "0100010" => + dest <= 17; + src <= 63; + when "0100011" => + dest <= 52; + src <= 2; + when "0100100" => + dest <= 10; + src <= 16; + when "0100101" => + dest <= 60; + src <= 39; + when "0100110" => + dest <= 5; + src <= 36; + when "0100111" => + dest <= 62; + src <= 20; + when "0101000" => + dest <= 43; + src <= 40; + when "0101001" => + dest <= 55; + src <= 55; + when "0101010" => + dest <= 36; + src <= 12; + when "0101011" => + dest <= 23; + src <= 62; + when "0101100" => + dest <= 35; + src <= 29; + when "0101101" => + dest <= 14; + src <= 3; + when "0101110" => + dest <= 36; + src <= 10; + when "0101111" => + dest <= 36; + src <= 37; + when "0110000" => + dest <= 3; + src <= 17; + when "0110001" => + dest <= 36; + src <= 36; + when "0110010" => + dest <= 40; + src <= 49; + when "0110011" => + dest <= 36; + src <= 36; + when "0110100" => + dest <= 27; + src <= 58; + when "0110101" => + dest <= 31; + src <= 36; + when "0110110" => + dest <= 36; + src <= 36; + when "0110111" => + dest <= 22; + src <= 5; + when "0111000" => + dest <= 36; + src <= 45; + when "0111001" => + dest <= 13; + src <= 24; + when "0111010" => + dest <= 36; + src <= 36; + when "0111011" => + dest <= 61; + src <= 41; + when "0111100" => + dest <= 11; + src <= 36; + when "0111101" => + dest <= 54; + src <= 50; + when "0111110" => + dest <= 36; + src <= 36; + when "0111111" => + dest <= 18; + src <= 59; + when "1000000" => + dest <= 47; + src <= 36; + when "1000001" => + dest <= 4; + src <= 11; + when "1000010" => + dest <= 36; + src <= 61; + when "1000011" => + dest <= 32; + src <= 18; + when "1000100" => + dest <= 36; + src <= 36; + when "1000101" => + dest <= 26; + src <= 54; + when "1000110" => + dest <= 30; + src <= 25; + when "1000111" => + dest <= 21; + src <= 4; + when "1001000" => + dest <= 36; + src <= 36; + when "1001001" => + dest <= 19; + src <= 32; + when "1001010" => + dest <= 53; + src <= 46; + when "1001011" => + dest <= 12; + src <= 42; + when "1001100" => + dest <= 46; + src <= 51; + when "1001101" => + dest <= 36; + src <= 36; + when "1001110" => + dest <= 39; + src <= 53; + when "1001111" => + dest <= 36; + src <= 19; + when "1010000" => + dest <= 29; + src <= 60; + when "1010001" => + dest <= 20; + src <= 26; + when "1010010" => + dest <= 38; + src <= 36; + when "1010011" => + dest <= 36; + src <= 33; + when "1010100" => + dest <= 36; + src <= 43; + when "1010101" => + dest <= 0; + src <= 52; + when "1010110" => + dest <= 63; + src <= 34; + when others => + dest <= 36; + src <= 36; + + end case; + end process; + + end generate NI_NUM36; + + NI_NUM37 : if NI_NUM = 37 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 42; + src <= 37; + when "0000001" => + dest <= 45; + src <= 37; + when "0000010" => + dest <= 29; + src <= 37; + when "0000011" => + dest <= 9; + src <= 37; + when "0000100" => + dest <= 2; + src <= 29; + when "0000101" => + dest <= 37; + src <= 45; + when "0000110" => + dest <= 58; + src <= 24; + when "0000111" => + dest <= 35; + src <= 10; + when "0001000" => + dest <= 37; + src <= 1; + when "0001001" => + dest <= 59; + src <= 37; + when "0001010" => + dest <= 57; + src <= 37; + when "0001011" => + dest <= 0; + src <= 39; + when "0001100" => + dest <= 51; + src <= 57; + when "0001101" => + dest <= 37; + src <= 0; + when "0001110" => + dest <= 38; + src <= 8; + when "0001111" => + dest <= 26; + src <= 37; + when "0010000" => + dest <= 17; + src <= 15; + when "0010001" => + dest <= 52; + src <= 36; + when "0010010" => + dest <= 37; + src <= 23; + when "0010011" => + dest <= 8; + src <= 9; + when "0010100" => + dest <= 3; + src <= 2; + when "0010101" => + dest <= 43; + src <= 40; + when "0010110" => + dest <= 50; + src <= 22; + when "0010111" => + dest <= 37; + src <= 37; + when "0011000" => + dest <= 60; + src <= 49; + when "0011001" => + dest <= 37; + src <= 37; + when "0011010" => + dest <= 10; + src <= 31; + when "0011011" => + dest <= 7; + src <= 58; + when "0011100" => + dest <= 49; + src <= 7; + when "0011101" => + dest <= 46; + src <= 16; + when "0011110" => + dest <= 37; + src <= 14; + when "0011111" => + dest <= 37; + src <= 37; + when "0100000" => + dest <= 34; + src <= 37; + when "0100001" => + dest <= 25; + src <= 28; + when "0100010" => + dest <= 18; + src <= 56; + when "0100011" => + dest <= 53; + src <= 3; + when "0100100" => + dest <= 11; + src <= 17; + when "0100101" => + dest <= 61; + src <= 32; + when "0100110" => + dest <= 6; + src <= 37; + when "0100111" => + dest <= 63; + src <= 21; + when "0101000" => + dest <= 44; + src <= 41; + when "0101001" => + dest <= 48; + src <= 48; + when "0101010" => + dest <= 37; + src <= 13; + when "0101011" => + dest <= 16; + src <= 63; + when "0101100" => + dest <= 36; + src <= 30; + when "0101101" => + dest <= 15; + src <= 4; + when "0101110" => + dest <= 37; + src <= 11; + when "0101111" => + dest <= 37; + src <= 38; + when "0110000" => + dest <= 4; + src <= 18; + when "0110001" => + dest <= 37; + src <= 37; + when "0110010" => + dest <= 41; + src <= 50; + when "0110011" => + dest <= 37; + src <= 37; + when "0110100" => + dest <= 28; + src <= 59; + when "0110101" => + dest <= 24; + src <= 37; + when "0110110" => + dest <= 37; + src <= 37; + when "0110111" => + dest <= 23; + src <= 6; + when "0111000" => + dest <= 37; + src <= 46; + when "0111001" => + dest <= 14; + src <= 25; + when "0111010" => + dest <= 37; + src <= 37; + when "0111011" => + dest <= 62; + src <= 42; + when "0111100" => + dest <= 12; + src <= 37; + when "0111101" => + dest <= 55; + src <= 51; + when "0111110" => + dest <= 37; + src <= 37; + when "0111111" => + dest <= 19; + src <= 60; + when "1000000" => + dest <= 40; + src <= 37; + when "1000001" => + dest <= 5; + src <= 12; + when "1000010" => + dest <= 37; + src <= 62; + when "1000011" => + dest <= 33; + src <= 19; + when "1000100" => + dest <= 37; + src <= 37; + when "1000101" => + dest <= 27; + src <= 55; + when "1000110" => + dest <= 31; + src <= 26; + when "1000111" => + dest <= 22; + src <= 5; + when "1001000" => + dest <= 37; + src <= 37; + when "1001001" => + dest <= 20; + src <= 33; + when "1001010" => + dest <= 54; + src <= 47; + when "1001011" => + dest <= 13; + src <= 43; + when "1001100" => + dest <= 47; + src <= 52; + when "1001101" => + dest <= 37; + src <= 37; + when "1001110" => + dest <= 32; + src <= 54; + when "1001111" => + dest <= 37; + src <= 20; + when "1010000" => + dest <= 30; + src <= 61; + when "1010001" => + dest <= 21; + src <= 27; + when "1010010" => + dest <= 39; + src <= 37; + when "1010011" => + dest <= 37; + src <= 34; + when "1010100" => + dest <= 37; + src <= 44; + when "1010101" => + dest <= 1; + src <= 53; + when "1010110" => + dest <= 56; + src <= 35; + when others => + dest <= 37; + src <= 37; + + end case; + end process; + + end generate NI_NUM37; + + NI_NUM38 : if NI_NUM = 38 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 43; + src <= 38; + when "0000001" => + dest <= 46; + src <= 38; + when "0000010" => + dest <= 30; + src <= 38; + when "0000011" => + dest <= 10; + src <= 38; + when "0000100" => + dest <= 3; + src <= 30; + when "0000101" => + dest <= 38; + src <= 46; + when "0000110" => + dest <= 59; + src <= 25; + when "0000111" => + dest <= 36; + src <= 11; + when "0001000" => + dest <= 38; + src <= 2; + when "0001001" => + dest <= 60; + src <= 38; + when "0001010" => + dest <= 58; + src <= 38; + when "0001011" => + dest <= 1; + src <= 32; + when "0001100" => + dest <= 52; + src <= 58; + when "0001101" => + dest <= 38; + src <= 1; + when "0001110" => + dest <= 39; + src <= 9; + when "0001111" => + dest <= 27; + src <= 38; + when "0010000" => + dest <= 18; + src <= 8; + when "0010001" => + dest <= 53; + src <= 37; + when "0010010" => + dest <= 38; + src <= 16; + when "0010011" => + dest <= 9; + src <= 10; + when "0010100" => + dest <= 4; + src <= 3; + when "0010101" => + dest <= 44; + src <= 41; + when "0010110" => + dest <= 51; + src <= 23; + when "0010111" => + dest <= 38; + src <= 38; + when "0011000" => + dest <= 61; + src <= 50; + when "0011001" => + dest <= 38; + src <= 38; + when "0011010" => + dest <= 11; + src <= 24; + when "0011011" => + dest <= 0; + src <= 59; + when "0011100" => + dest <= 50; + src <= 0; + when "0011101" => + dest <= 47; + src <= 17; + when "0011110" => + dest <= 38; + src <= 15; + when "0011111" => + dest <= 38; + src <= 38; + when "0100000" => + dest <= 35; + src <= 38; + when "0100001" => + dest <= 26; + src <= 29; + when "0100010" => + dest <= 19; + src <= 57; + when "0100011" => + dest <= 54; + src <= 4; + when "0100100" => + dest <= 12; + src <= 18; + when "0100101" => + dest <= 62; + src <= 33; + when "0100110" => + dest <= 7; + src <= 38; + when "0100111" => + dest <= 56; + src <= 22; + when "0101000" => + dest <= 45; + src <= 42; + when "0101001" => + dest <= 49; + src <= 49; + when "0101010" => + dest <= 38; + src <= 14; + when "0101011" => + dest <= 17; + src <= 56; + when "0101100" => + dest <= 37; + src <= 31; + when "0101101" => + dest <= 8; + src <= 5; + when "0101110" => + dest <= 38; + src <= 12; + when "0101111" => + dest <= 38; + src <= 39; + when "0110000" => + dest <= 5; + src <= 19; + when "0110001" => + dest <= 38; + src <= 38; + when "0110010" => + dest <= 42; + src <= 51; + when "0110011" => + dest <= 38; + src <= 38; + when "0110100" => + dest <= 29; + src <= 60; + when "0110101" => + dest <= 25; + src <= 38; + when "0110110" => + dest <= 38; + src <= 38; + when "0110111" => + dest <= 16; + src <= 7; + when "0111000" => + dest <= 38; + src <= 47; + when "0111001" => + dest <= 15; + src <= 26; + when "0111010" => + dest <= 38; + src <= 38; + when "0111011" => + dest <= 63; + src <= 43; + when "0111100" => + dest <= 13; + src <= 38; + when "0111101" => + dest <= 48; + src <= 52; + when "0111110" => + dest <= 38; + src <= 38; + when "0111111" => + dest <= 20; + src <= 61; + when "1000000" => + dest <= 41; + src <= 38; + when "1000001" => + dest <= 6; + src <= 13; + when "1000010" => + dest <= 38; + src <= 63; + when "1000011" => + dest <= 34; + src <= 20; + when "1000100" => + dest <= 38; + src <= 38; + when "1000101" => + dest <= 28; + src <= 48; + when "1000110" => + dest <= 24; + src <= 27; + when "1000111" => + dest <= 23; + src <= 6; + when "1001000" => + dest <= 38; + src <= 38; + when "1001001" => + dest <= 21; + src <= 34; + when "1001010" => + dest <= 55; + src <= 40; + when "1001011" => + dest <= 14; + src <= 44; + when "1001100" => + dest <= 40; + src <= 53; + when "1001101" => + dest <= 38; + src <= 38; + when "1001110" => + dest <= 33; + src <= 55; + when "1001111" => + dest <= 38; + src <= 21; + when "1010000" => + dest <= 31; + src <= 62; + when "1010001" => + dest <= 22; + src <= 28; + when "1010010" => + dest <= 32; + src <= 38; + when "1010011" => + dest <= 38; + src <= 35; + when "1010100" => + dest <= 38; + src <= 45; + when "1010101" => + dest <= 2; + src <= 54; + when "1010110" => + dest <= 57; + src <= 36; + when others => + dest <= 38; + src <= 38; + + end case; + end process; + + end generate NI_NUM38; + + NI_NUM39 : if NI_NUM = 39 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 44; + src <= 39; + when "0000001" => + dest <= 47; + src <= 39; + when "0000010" => + dest <= 31; + src <= 39; + when "0000011" => + dest <= 11; + src <= 39; + when "0000100" => + dest <= 4; + src <= 31; + when "0000101" => + dest <= 39; + src <= 47; + when "0000110" => + dest <= 60; + src <= 26; + when "0000111" => + dest <= 37; + src <= 12; + when "0001000" => + dest <= 39; + src <= 3; + when "0001001" => + dest <= 61; + src <= 39; + when "0001010" => + dest <= 59; + src <= 39; + when "0001011" => + dest <= 2; + src <= 33; + when "0001100" => + dest <= 53; + src <= 59; + when "0001101" => + dest <= 39; + src <= 2; + when "0001110" => + dest <= 32; + src <= 10; + when "0001111" => + dest <= 28; + src <= 39; + when "0010000" => + dest <= 19; + src <= 9; + when "0010001" => + dest <= 54; + src <= 38; + when "0010010" => + dest <= 39; + src <= 17; + when "0010011" => + dest <= 10; + src <= 11; + when "0010100" => + dest <= 5; + src <= 4; + when "0010101" => + dest <= 45; + src <= 42; + when "0010110" => + dest <= 52; + src <= 16; + when "0010111" => + dest <= 39; + src <= 39; + when "0011000" => + dest <= 62; + src <= 51; + when "0011001" => + dest <= 39; + src <= 39; + when "0011010" => + dest <= 12; + src <= 25; + when "0011011" => + dest <= 1; + src <= 60; + when "0011100" => + dest <= 51; + src <= 1; + when "0011101" => + dest <= 40; + src <= 18; + when "0011110" => + dest <= 39; + src <= 8; + when "0011111" => + dest <= 39; + src <= 39; + when "0100000" => + dest <= 36; + src <= 39; + when "0100001" => + dest <= 27; + src <= 30; + when "0100010" => + dest <= 20; + src <= 58; + when "0100011" => + dest <= 55; + src <= 5; + when "0100100" => + dest <= 13; + src <= 19; + when "0100101" => + dest <= 63; + src <= 34; + when "0100110" => + dest <= 0; + src <= 39; + when "0100111" => + dest <= 57; + src <= 23; + when "0101000" => + dest <= 46; + src <= 43; + when "0101001" => + dest <= 50; + src <= 50; + when "0101010" => + dest <= 39; + src <= 15; + when "0101011" => + dest <= 18; + src <= 57; + when "0101100" => + dest <= 38; + src <= 24; + when "0101101" => + dest <= 9; + src <= 6; + when "0101110" => + dest <= 39; + src <= 13; + when "0101111" => + dest <= 39; + src <= 32; + when "0110000" => + dest <= 6; + src <= 20; + when "0110001" => + dest <= 39; + src <= 39; + when "0110010" => + dest <= 43; + src <= 52; + when "0110011" => + dest <= 39; + src <= 39; + when "0110100" => + dest <= 30; + src <= 61; + when "0110101" => + dest <= 26; + src <= 39; + when "0110110" => + dest <= 39; + src <= 39; + when "0110111" => + dest <= 17; + src <= 0; + when "0111000" => + dest <= 39; + src <= 40; + when "0111001" => + dest <= 8; + src <= 27; + when "0111010" => + dest <= 39; + src <= 39; + when "0111011" => + dest <= 56; + src <= 44; + when "0111100" => + dest <= 14; + src <= 39; + when "0111101" => + dest <= 49; + src <= 53; + when "0111110" => + dest <= 39; + src <= 39; + when "0111111" => + dest <= 21; + src <= 62; + when "1000000" => + dest <= 42; + src <= 39; + when "1000001" => + dest <= 7; + src <= 14; + when "1000010" => + dest <= 39; + src <= 56; + when "1000011" => + dest <= 35; + src <= 21; + when "1000100" => + dest <= 39; + src <= 39; + when "1000101" => + dest <= 29; + src <= 49; + when "1000110" => + dest <= 25; + src <= 28; + when "1000111" => + dest <= 16; + src <= 7; + when "1001000" => + dest <= 39; + src <= 39; + when "1001001" => + dest <= 22; + src <= 35; + when "1001010" => + dest <= 48; + src <= 41; + when "1001011" => + dest <= 15; + src <= 45; + when "1001100" => + dest <= 41; + src <= 54; + when "1001101" => + dest <= 39; + src <= 39; + when "1001110" => + dest <= 34; + src <= 48; + when "1001111" => + dest <= 39; + src <= 22; + when "1010000" => + dest <= 24; + src <= 63; + when "1010001" => + dest <= 23; + src <= 29; + when "1010010" => + dest <= 33; + src <= 39; + when "1010011" => + dest <= 39; + src <= 36; + when "1010100" => + dest <= 39; + src <= 46; + when "1010101" => + dest <= 3; + src <= 55; + when "1010110" => + dest <= 58; + src <= 37; + when others => + dest <= 39; + src <= 39; + + end case; + end process; + + end generate NI_NUM39; + + NI_NUM40 : if NI_NUM = 40 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 53; + src <= 40; + when "0000001" => + dest <= 48; + src <= 40; + when "0000010" => + dest <= 32; + src <= 40; + when "0000011" => + dest <= 20; + src <= 40; + when "0000100" => + dest <= 13; + src <= 32; + when "0000101" => + dest <= 40; + src <= 48; + when "0000110" => + dest <= 5; + src <= 35; + when "0000111" => + dest <= 46; + src <= 21; + when "0001000" => + dest <= 40; + src <= 12; + when "0001001" => + dest <= 6; + src <= 40; + when "0001010" => + dest <= 4; + src <= 40; + when "0001011" => + dest <= 11; + src <= 42; + when "0001100" => + dest <= 62; + src <= 4; + when "0001101" => + dest <= 40; + src <= 11; + when "0001110" => + dest <= 41; + src <= 19; + when "0001111" => + dest <= 37; + src <= 40; + when "0010000" => + dest <= 28; + src <= 18; + when "0010001" => + dest <= 63; + src <= 47; + when "0010010" => + dest <= 40; + src <= 26; + when "0010011" => + dest <= 19; + src <= 20; + when "0010100" => + dest <= 14; + src <= 13; + when "0010101" => + dest <= 54; + src <= 51; + when "0010110" => + dest <= 61; + src <= 25; + when "0010111" => + dest <= 40; + src <= 40; + when "0011000" => + dest <= 7; + src <= 60; + when "0011001" => + dest <= 40; + src <= 40; + when "0011010" => + dest <= 21; + src <= 34; + when "0011011" => + dest <= 10; + src <= 5; + when "0011100" => + dest <= 60; + src <= 10; + when "0011101" => + dest <= 49; + src <= 27; + when "0011110" => + dest <= 40; + src <= 17; + when "0011111" => + dest <= 40; + src <= 40; + when "0100000" => + dest <= 45; + src <= 40; + when "0100001" => + dest <= 36; + src <= 39; + when "0100010" => + dest <= 29; + src <= 3; + when "0100011" => + dest <= 56; + src <= 14; + when "0100100" => + dest <= 22; + src <= 28; + when "0100101" => + dest <= 0; + src <= 43; + when "0100110" => + dest <= 9; + src <= 40; + when "0100111" => + dest <= 2; + src <= 24; + when "0101000" => + dest <= 55; + src <= 52; + when "0101001" => + dest <= 59; + src <= 59; + when "0101010" => + dest <= 40; + src <= 16; + when "0101011" => + dest <= 27; + src <= 2; + when "0101100" => + dest <= 47; + src <= 33; + when "0101101" => + dest <= 18; + src <= 15; + when "0101110" => + dest <= 40; + src <= 22; + when "0101111" => + dest <= 40; + src <= 41; + when "0110000" => + dest <= 15; + src <= 29; + when "0110001" => + dest <= 40; + src <= 40; + when "0110010" => + dest <= 52; + src <= 61; + when "0110011" => + dest <= 40; + src <= 40; + when "0110100" => + dest <= 39; + src <= 6; + when "0110101" => + dest <= 35; + src <= 40; + when "0110110" => + dest <= 40; + src <= 40; + when "0110111" => + dest <= 26; + src <= 9; + when "0111000" => + dest <= 40; + src <= 49; + when "0111001" => + dest <= 17; + src <= 36; + when "0111010" => + dest <= 40; + src <= 40; + when "0111011" => + dest <= 1; + src <= 53; + when "0111100" => + dest <= 23; + src <= 40; + when "0111101" => + dest <= 58; + src <= 62; + when "0111110" => + dest <= 40; + src <= 40; + when "0111111" => + dest <= 30; + src <= 7; + when "1000000" => + dest <= 51; + src <= 40; + when "1000001" => + dest <= 8; + src <= 23; + when "1000010" => + dest <= 40; + src <= 1; + when "1000011" => + dest <= 44; + src <= 30; + when "1000100" => + dest <= 40; + src <= 40; + when "1000101" => + dest <= 38; + src <= 58; + when "1000110" => + dest <= 34; + src <= 37; + when "1000111" => + dest <= 25; + src <= 8; + when "1001000" => + dest <= 40; + src <= 40; + when "1001001" => + dest <= 31; + src <= 44; + when "1001010" => + dest <= 57; + src <= 50; + when "1001011" => + dest <= 16; + src <= 54; + when "1001100" => + dest <= 50; + src <= 63; + when "1001101" => + dest <= 40; + src <= 40; + when "1001110" => + dest <= 43; + src <= 57; + when "1001111" => + dest <= 40; + src <= 31; + when "1010000" => + dest <= 33; + src <= 0; + when "1010001" => + dest <= 24; + src <= 38; + when "1010010" => + dest <= 42; + src <= 40; + when "1010011" => + dest <= 40; + src <= 45; + when "1010100" => + dest <= 40; + src <= 55; + when "1010101" => + dest <= 12; + src <= 56; + when "1010110" => + dest <= 3; + src <= 46; + when others => + dest <= 40; + src <= 40; + + end case; + end process; + + end generate NI_NUM40; + + NI_NUM41 : if NI_NUM = 41 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 54; + src <= 41; + when "0000001" => + dest <= 49; + src <= 41; + when "0000010" => + dest <= 33; + src <= 41; + when "0000011" => + dest <= 21; + src <= 41; + when "0000100" => + dest <= 14; + src <= 33; + when "0000101" => + dest <= 41; + src <= 49; + when "0000110" => + dest <= 6; + src <= 36; + when "0000111" => + dest <= 47; + src <= 22; + when "0001000" => + dest <= 41; + src <= 13; + when "0001001" => + dest <= 7; + src <= 41; + when "0001010" => + dest <= 5; + src <= 41; + when "0001011" => + dest <= 12; + src <= 43; + when "0001100" => + dest <= 63; + src <= 5; + when "0001101" => + dest <= 41; + src <= 12; + when "0001110" => + dest <= 42; + src <= 20; + when "0001111" => + dest <= 38; + src <= 41; + when "0010000" => + dest <= 29; + src <= 19; + when "0010001" => + dest <= 56; + src <= 40; + when "0010010" => + dest <= 41; + src <= 27; + when "0010011" => + dest <= 20; + src <= 21; + when "0010100" => + dest <= 15; + src <= 14; + when "0010101" => + dest <= 55; + src <= 52; + when "0010110" => + dest <= 62; + src <= 26; + when "0010111" => + dest <= 41; + src <= 41; + when "0011000" => + dest <= 0; + src <= 61; + when "0011001" => + dest <= 41; + src <= 41; + when "0011010" => + dest <= 22; + src <= 35; + when "0011011" => + dest <= 11; + src <= 6; + when "0011100" => + dest <= 61; + src <= 11; + when "0011101" => + dest <= 50; + src <= 28; + when "0011110" => + dest <= 41; + src <= 18; + when "0011111" => + dest <= 41; + src <= 41; + when "0100000" => + dest <= 46; + src <= 41; + when "0100001" => + dest <= 37; + src <= 32; + when "0100010" => + dest <= 30; + src <= 4; + when "0100011" => + dest <= 57; + src <= 15; + when "0100100" => + dest <= 23; + src <= 29; + when "0100101" => + dest <= 1; + src <= 44; + when "0100110" => + dest <= 10; + src <= 41; + when "0100111" => + dest <= 3; + src <= 25; + when "0101000" => + dest <= 48; + src <= 53; + when "0101001" => + dest <= 60; + src <= 60; + when "0101010" => + dest <= 41; + src <= 17; + when "0101011" => + dest <= 28; + src <= 3; + when "0101100" => + dest <= 40; + src <= 34; + when "0101101" => + dest <= 19; + src <= 8; + when "0101110" => + dest <= 41; + src <= 23; + when "0101111" => + dest <= 41; + src <= 42; + when "0110000" => + dest <= 8; + src <= 30; + when "0110001" => + dest <= 41; + src <= 41; + when "0110010" => + dest <= 53; + src <= 62; + when "0110011" => + dest <= 41; + src <= 41; + when "0110100" => + dest <= 32; + src <= 7; + when "0110101" => + dest <= 36; + src <= 41; + when "0110110" => + dest <= 41; + src <= 41; + when "0110111" => + dest <= 27; + src <= 10; + when "0111000" => + dest <= 41; + src <= 50; + when "0111001" => + dest <= 18; + src <= 37; + when "0111010" => + dest <= 41; + src <= 41; + when "0111011" => + dest <= 2; + src <= 54; + when "0111100" => + dest <= 16; + src <= 41; + when "0111101" => + dest <= 59; + src <= 63; + when "0111110" => + dest <= 41; + src <= 41; + when "0111111" => + dest <= 31; + src <= 0; + when "1000000" => + dest <= 52; + src <= 41; + when "1000001" => + dest <= 9; + src <= 16; + when "1000010" => + dest <= 41; + src <= 2; + when "1000011" => + dest <= 45; + src <= 31; + when "1000100" => + dest <= 41; + src <= 41; + when "1000101" => + dest <= 39; + src <= 59; + when "1000110" => + dest <= 35; + src <= 38; + when "1000111" => + dest <= 26; + src <= 9; + when "1001000" => + dest <= 41; + src <= 41; + when "1001001" => + dest <= 24; + src <= 45; + when "1001010" => + dest <= 58; + src <= 51; + when "1001011" => + dest <= 17; + src <= 55; + when "1001100" => + dest <= 51; + src <= 56; + when "1001101" => + dest <= 41; + src <= 41; + when "1001110" => + dest <= 44; + src <= 58; + when "1001111" => + dest <= 41; + src <= 24; + when "1010000" => + dest <= 34; + src <= 1; + when "1010001" => + dest <= 25; + src <= 39; + when "1010010" => + dest <= 43; + src <= 41; + when "1010011" => + dest <= 41; + src <= 46; + when "1010100" => + dest <= 41; + src <= 48; + when "1010101" => + dest <= 13; + src <= 57; + when "1010110" => + dest <= 4; + src <= 47; + when others => + dest <= 41; + src <= 41; + + end case; + end process; + + end generate NI_NUM41; + + NI_NUM42 : if NI_NUM = 42 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 55; + src <= 42; + when "0000001" => + dest <= 50; + src <= 42; + when "0000010" => + dest <= 34; + src <= 42; + when "0000011" => + dest <= 22; + src <= 42; + when "0000100" => + dest <= 15; + src <= 34; + when "0000101" => + dest <= 42; + src <= 50; + when "0000110" => + dest <= 7; + src <= 37; + when "0000111" => + dest <= 40; + src <= 23; + when "0001000" => + dest <= 42; + src <= 14; + when "0001001" => + dest <= 0; + src <= 42; + when "0001010" => + dest <= 6; + src <= 42; + when "0001011" => + dest <= 13; + src <= 44; + when "0001100" => + dest <= 56; + src <= 6; + when "0001101" => + dest <= 42; + src <= 13; + when "0001110" => + dest <= 43; + src <= 21; + when "0001111" => + dest <= 39; + src <= 42; + when "0010000" => + dest <= 30; + src <= 20; + when "0010001" => + dest <= 57; + src <= 41; + when "0010010" => + dest <= 42; + src <= 28; + when "0010011" => + dest <= 21; + src <= 22; + when "0010100" => + dest <= 8; + src <= 15; + when "0010101" => + dest <= 48; + src <= 53; + when "0010110" => + dest <= 63; + src <= 27; + when "0010111" => + dest <= 42; + src <= 42; + when "0011000" => + dest <= 1; + src <= 62; + when "0011001" => + dest <= 42; + src <= 42; + when "0011010" => + dest <= 23; + src <= 36; + when "0011011" => + dest <= 12; + src <= 7; + when "0011100" => + dest <= 62; + src <= 12; + when "0011101" => + dest <= 51; + src <= 29; + when "0011110" => + dest <= 42; + src <= 19; + when "0011111" => + dest <= 42; + src <= 42; + when "0100000" => + dest <= 47; + src <= 42; + when "0100001" => + dest <= 38; + src <= 33; + when "0100010" => + dest <= 31; + src <= 5; + when "0100011" => + dest <= 58; + src <= 8; + when "0100100" => + dest <= 16; + src <= 30; + when "0100101" => + dest <= 2; + src <= 45; + when "0100110" => + dest <= 11; + src <= 42; + when "0100111" => + dest <= 4; + src <= 26; + when "0101000" => + dest <= 49; + src <= 54; + when "0101001" => + dest <= 61; + src <= 61; + when "0101010" => + dest <= 42; + src <= 18; + when "0101011" => + dest <= 29; + src <= 4; + when "0101100" => + dest <= 41; + src <= 35; + when "0101101" => + dest <= 20; + src <= 9; + when "0101110" => + dest <= 42; + src <= 16; + when "0101111" => + dest <= 42; + src <= 43; + when "0110000" => + dest <= 9; + src <= 31; + when "0110001" => + dest <= 42; + src <= 42; + when "0110010" => + dest <= 54; + src <= 63; + when "0110011" => + dest <= 42; + src <= 42; + when "0110100" => + dest <= 33; + src <= 0; + when "0110101" => + dest <= 37; + src <= 42; + when "0110110" => + dest <= 42; + src <= 42; + when "0110111" => + dest <= 28; + src <= 11; + when "0111000" => + dest <= 42; + src <= 51; + when "0111001" => + dest <= 19; + src <= 38; + when "0111010" => + dest <= 42; + src <= 42; + when "0111011" => + dest <= 3; + src <= 55; + when "0111100" => + dest <= 17; + src <= 42; + when "0111101" => + dest <= 60; + src <= 56; + when "0111110" => + dest <= 42; + src <= 42; + when "0111111" => + dest <= 24; + src <= 1; + when "1000000" => + dest <= 53; + src <= 42; + when "1000001" => + dest <= 10; + src <= 17; + when "1000010" => + dest <= 42; + src <= 3; + when "1000011" => + dest <= 46; + src <= 24; + when "1000100" => + dest <= 42; + src <= 42; + when "1000101" => + dest <= 32; + src <= 60; + when "1000110" => + dest <= 36; + src <= 39; + when "1000111" => + dest <= 27; + src <= 10; + when "1001000" => + dest <= 42; + src <= 42; + when "1001001" => + dest <= 25; + src <= 46; + when "1001010" => + dest <= 59; + src <= 52; + when "1001011" => + dest <= 18; + src <= 48; + when "1001100" => + dest <= 52; + src <= 57; + when "1001101" => + dest <= 42; + src <= 42; + when "1001110" => + dest <= 45; + src <= 59; + when "1001111" => + dest <= 42; + src <= 25; + when "1010000" => + dest <= 35; + src <= 2; + when "1010001" => + dest <= 26; + src <= 32; + when "1010010" => + dest <= 44; + src <= 42; + when "1010011" => + dest <= 42; + src <= 47; + when "1010100" => + dest <= 42; + src <= 49; + when "1010101" => + dest <= 14; + src <= 58; + when "1010110" => + dest <= 5; + src <= 40; + when others => + dest <= 42; + src <= 42; + + end case; + end process; + + end generate NI_NUM42; + + NI_NUM43 : if NI_NUM = 43 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 48; + src <= 43; + when "0000001" => + dest <= 51; + src <= 43; + when "0000010" => + dest <= 35; + src <= 43; + when "0000011" => + dest <= 23; + src <= 43; + when "0000100" => + dest <= 8; + src <= 35; + when "0000101" => + dest <= 43; + src <= 51; + when "0000110" => + dest <= 0; + src <= 38; + when "0000111" => + dest <= 41; + src <= 16; + when "0001000" => + dest <= 43; + src <= 15; + when "0001001" => + dest <= 1; + src <= 43; + when "0001010" => + dest <= 7; + src <= 43; + when "0001011" => + dest <= 14; + src <= 45; + when "0001100" => + dest <= 57; + src <= 7; + when "0001101" => + dest <= 43; + src <= 14; + when "0001110" => + dest <= 44; + src <= 22; + when "0001111" => + dest <= 32; + src <= 43; + when "0010000" => + dest <= 31; + src <= 21; + when "0010001" => + dest <= 58; + src <= 42; + when "0010010" => + dest <= 43; + src <= 29; + when "0010011" => + dest <= 22; + src <= 23; + when "0010100" => + dest <= 9; + src <= 8; + when "0010101" => + dest <= 49; + src <= 54; + when "0010110" => + dest <= 56; + src <= 28; + when "0010111" => + dest <= 43; + src <= 43; + when "0011000" => + dest <= 2; + src <= 63; + when "0011001" => + dest <= 43; + src <= 43; + when "0011010" => + dest <= 16; + src <= 37; + when "0011011" => + dest <= 13; + src <= 0; + when "0011100" => + dest <= 63; + src <= 13; + when "0011101" => + dest <= 52; + src <= 30; + when "0011110" => + dest <= 43; + src <= 20; + when "0011111" => + dest <= 43; + src <= 43; + when "0100000" => + dest <= 40; + src <= 43; + when "0100001" => + dest <= 39; + src <= 34; + when "0100010" => + dest <= 24; + src <= 6; + when "0100011" => + dest <= 59; + src <= 9; + when "0100100" => + dest <= 17; + src <= 31; + when "0100101" => + dest <= 3; + src <= 46; + when "0100110" => + dest <= 12; + src <= 43; + when "0100111" => + dest <= 5; + src <= 27; + when "0101000" => + dest <= 50; + src <= 55; + when "0101001" => + dest <= 62; + src <= 62; + when "0101010" => + dest <= 43; + src <= 19; + when "0101011" => + dest <= 30; + src <= 5; + when "0101100" => + dest <= 42; + src <= 36; + when "0101101" => + dest <= 21; + src <= 10; + when "0101110" => + dest <= 43; + src <= 17; + when "0101111" => + dest <= 43; + src <= 44; + when "0110000" => + dest <= 10; + src <= 24; + when "0110001" => + dest <= 43; + src <= 43; + when "0110010" => + dest <= 55; + src <= 56; + when "0110011" => + dest <= 43; + src <= 43; + when "0110100" => + dest <= 34; + src <= 1; + when "0110101" => + dest <= 38; + src <= 43; + when "0110110" => + dest <= 43; + src <= 43; + when "0110111" => + dest <= 29; + src <= 12; + when "0111000" => + dest <= 43; + src <= 52; + when "0111001" => + dest <= 20; + src <= 39; + when "0111010" => + dest <= 43; + src <= 43; + when "0111011" => + dest <= 4; + src <= 48; + when "0111100" => + dest <= 18; + src <= 43; + when "0111101" => + dest <= 61; + src <= 57; + when "0111110" => + dest <= 43; + src <= 43; + when "0111111" => + dest <= 25; + src <= 2; + when "1000000" => + dest <= 54; + src <= 43; + when "1000001" => + dest <= 11; + src <= 18; + when "1000010" => + dest <= 43; + src <= 4; + when "1000011" => + dest <= 47; + src <= 25; + when "1000100" => + dest <= 43; + src <= 43; + when "1000101" => + dest <= 33; + src <= 61; + when "1000110" => + dest <= 37; + src <= 32; + when "1000111" => + dest <= 28; + src <= 11; + when "1001000" => + dest <= 43; + src <= 43; + when "1001001" => + dest <= 26; + src <= 47; + when "1001010" => + dest <= 60; + src <= 53; + when "1001011" => + dest <= 19; + src <= 49; + when "1001100" => + dest <= 53; + src <= 58; + when "1001101" => + dest <= 43; + src <= 43; + when "1001110" => + dest <= 46; + src <= 60; + when "1001111" => + dest <= 43; + src <= 26; + when "1010000" => + dest <= 36; + src <= 3; + when "1010001" => + dest <= 27; + src <= 33; + when "1010010" => + dest <= 45; + src <= 43; + when "1010011" => + dest <= 43; + src <= 40; + when "1010100" => + dest <= 43; + src <= 50; + when "1010101" => + dest <= 15; + src <= 59; + when "1010110" => + dest <= 6; + src <= 41; + when others => + dest <= 43; + src <= 43; + + end case; + end process; + + end generate NI_NUM43; + + NI_NUM44 : if NI_NUM = 44 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 49; + src <= 44; + when "0000001" => + dest <= 52; + src <= 44; + when "0000010" => + dest <= 36; + src <= 44; + when "0000011" => + dest <= 16; + src <= 44; + when "0000100" => + dest <= 9; + src <= 36; + when "0000101" => + dest <= 44; + src <= 52; + when "0000110" => + dest <= 1; + src <= 39; + when "0000111" => + dest <= 42; + src <= 17; + when "0001000" => + dest <= 44; + src <= 8; + when "0001001" => + dest <= 2; + src <= 44; + when "0001010" => + dest <= 0; + src <= 44; + when "0001011" => + dest <= 15; + src <= 46; + when "0001100" => + dest <= 58; + src <= 0; + when "0001101" => + dest <= 44; + src <= 15; + when "0001110" => + dest <= 45; + src <= 23; + when "0001111" => + dest <= 33; + src <= 44; + when "0010000" => + dest <= 24; + src <= 22; + when "0010001" => + dest <= 59; + src <= 43; + when "0010010" => + dest <= 44; + src <= 30; + when "0010011" => + dest <= 23; + src <= 16; + when "0010100" => + dest <= 10; + src <= 9; + when "0010101" => + dest <= 50; + src <= 55; + when "0010110" => + dest <= 57; + src <= 29; + when "0010111" => + dest <= 44; + src <= 44; + when "0011000" => + dest <= 3; + src <= 56; + when "0011001" => + dest <= 44; + src <= 44; + when "0011010" => + dest <= 17; + src <= 38; + when "0011011" => + dest <= 14; + src <= 1; + when "0011100" => + dest <= 56; + src <= 14; + when "0011101" => + dest <= 53; + src <= 31; + when "0011110" => + dest <= 44; + src <= 21; + when "0011111" => + dest <= 44; + src <= 44; + when "0100000" => + dest <= 41; + src <= 44; + when "0100001" => + dest <= 32; + src <= 35; + when "0100010" => + dest <= 25; + src <= 7; + when "0100011" => + dest <= 60; + src <= 10; + when "0100100" => + dest <= 18; + src <= 24; + when "0100101" => + dest <= 4; + src <= 47; + when "0100110" => + dest <= 13; + src <= 44; + when "0100111" => + dest <= 6; + src <= 28; + when "0101000" => + dest <= 51; + src <= 48; + when "0101001" => + dest <= 63; + src <= 63; + when "0101010" => + dest <= 44; + src <= 20; + when "0101011" => + dest <= 31; + src <= 6; + when "0101100" => + dest <= 43; + src <= 37; + when "0101101" => + dest <= 22; + src <= 11; + when "0101110" => + dest <= 44; + src <= 18; + when "0101111" => + dest <= 44; + src <= 45; + when "0110000" => + dest <= 11; + src <= 25; + when "0110001" => + dest <= 44; + src <= 44; + when "0110010" => + dest <= 48; + src <= 57; + when "0110011" => + dest <= 44; + src <= 44; + when "0110100" => + dest <= 35; + src <= 2; + when "0110101" => + dest <= 39; + src <= 44; + when "0110110" => + dest <= 44; + src <= 44; + when "0110111" => + dest <= 30; + src <= 13; + when "0111000" => + dest <= 44; + src <= 53; + when "0111001" => + dest <= 21; + src <= 32; + when "0111010" => + dest <= 44; + src <= 44; + when "0111011" => + dest <= 5; + src <= 49; + when "0111100" => + dest <= 19; + src <= 44; + when "0111101" => + dest <= 62; + src <= 58; + when "0111110" => + dest <= 44; + src <= 44; + when "0111111" => + dest <= 26; + src <= 3; + when "1000000" => + dest <= 55; + src <= 44; + when "1000001" => + dest <= 12; + src <= 19; + when "1000010" => + dest <= 44; + src <= 5; + when "1000011" => + dest <= 40; + src <= 26; + when "1000100" => + dest <= 44; + src <= 44; + when "1000101" => + dest <= 34; + src <= 62; + when "1000110" => + dest <= 38; + src <= 33; + when "1000111" => + dest <= 29; + src <= 12; + when "1001000" => + dest <= 44; + src <= 44; + when "1001001" => + dest <= 27; + src <= 40; + when "1001010" => + dest <= 61; + src <= 54; + when "1001011" => + dest <= 20; + src <= 50; + when "1001100" => + dest <= 54; + src <= 59; + when "1001101" => + dest <= 44; + src <= 44; + when "1001110" => + dest <= 47; + src <= 61; + when "1001111" => + dest <= 44; + src <= 27; + when "1010000" => + dest <= 37; + src <= 4; + when "1010001" => + dest <= 28; + src <= 34; + when "1010010" => + dest <= 46; + src <= 44; + when "1010011" => + dest <= 44; + src <= 41; + when "1010100" => + dest <= 44; + src <= 51; + when "1010101" => + dest <= 8; + src <= 60; + when "1010110" => + dest <= 7; + src <= 42; + when others => + dest <= 44; + src <= 44; + + end case; + end process; + + end generate NI_NUM44; + + NI_NUM45 : if NI_NUM = 45 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 50; + src <= 45; + when "0000001" => + dest <= 53; + src <= 45; + when "0000010" => + dest <= 37; + src <= 45; + when "0000011" => + dest <= 17; + src <= 45; + when "0000100" => + dest <= 10; + src <= 37; + when "0000101" => + dest <= 45; + src <= 53; + when "0000110" => + dest <= 2; + src <= 32; + when "0000111" => + dest <= 43; + src <= 18; + when "0001000" => + dest <= 45; + src <= 9; + when "0001001" => + dest <= 3; + src <= 45; + when "0001010" => + dest <= 1; + src <= 45; + when "0001011" => + dest <= 8; + src <= 47; + when "0001100" => + dest <= 59; + src <= 1; + when "0001101" => + dest <= 45; + src <= 8; + when "0001110" => + dest <= 46; + src <= 16; + when "0001111" => + dest <= 34; + src <= 45; + when "0010000" => + dest <= 25; + src <= 23; + when "0010001" => + dest <= 60; + src <= 44; + when "0010010" => + dest <= 45; + src <= 31; + when "0010011" => + dest <= 16; + src <= 17; + when "0010100" => + dest <= 11; + src <= 10; + when "0010101" => + dest <= 51; + src <= 48; + when "0010110" => + dest <= 58; + src <= 30; + when "0010111" => + dest <= 45; + src <= 45; + when "0011000" => + dest <= 4; + src <= 57; + when "0011001" => + dest <= 45; + src <= 45; + when "0011010" => + dest <= 18; + src <= 39; + when "0011011" => + dest <= 15; + src <= 2; + when "0011100" => + dest <= 57; + src <= 15; + when "0011101" => + dest <= 54; + src <= 24; + when "0011110" => + dest <= 45; + src <= 22; + when "0011111" => + dest <= 45; + src <= 45; + when "0100000" => + dest <= 42; + src <= 45; + when "0100001" => + dest <= 33; + src <= 36; + when "0100010" => + dest <= 26; + src <= 0; + when "0100011" => + dest <= 61; + src <= 11; + when "0100100" => + dest <= 19; + src <= 25; + when "0100101" => + dest <= 5; + src <= 40; + when "0100110" => + dest <= 14; + src <= 45; + when "0100111" => + dest <= 7; + src <= 29; + when "0101000" => + dest <= 52; + src <= 49; + when "0101001" => + dest <= 56; + src <= 56; + when "0101010" => + dest <= 45; + src <= 21; + when "0101011" => + dest <= 24; + src <= 7; + when "0101100" => + dest <= 44; + src <= 38; + when "0101101" => + dest <= 23; + src <= 12; + when "0101110" => + dest <= 45; + src <= 19; + when "0101111" => + dest <= 45; + src <= 46; + when "0110000" => + dest <= 12; + src <= 26; + when "0110001" => + dest <= 45; + src <= 45; + when "0110010" => + dest <= 49; + src <= 58; + when "0110011" => + dest <= 45; + src <= 45; + when "0110100" => + dest <= 36; + src <= 3; + when "0110101" => + dest <= 32; + src <= 45; + when "0110110" => + dest <= 45; + src <= 45; + when "0110111" => + dest <= 31; + src <= 14; + when "0111000" => + dest <= 45; + src <= 54; + when "0111001" => + dest <= 22; + src <= 33; + when "0111010" => + dest <= 45; + src <= 45; + when "0111011" => + dest <= 6; + src <= 50; + when "0111100" => + dest <= 20; + src <= 45; + when "0111101" => + dest <= 63; + src <= 59; + when "0111110" => + dest <= 45; + src <= 45; + when "0111111" => + dest <= 27; + src <= 4; + when "1000000" => + dest <= 48; + src <= 45; + when "1000001" => + dest <= 13; + src <= 20; + when "1000010" => + dest <= 45; + src <= 6; + when "1000011" => + dest <= 41; + src <= 27; + when "1000100" => + dest <= 45; + src <= 45; + when "1000101" => + dest <= 35; + src <= 63; + when "1000110" => + dest <= 39; + src <= 34; + when "1000111" => + dest <= 30; + src <= 13; + when "1001000" => + dest <= 45; + src <= 45; + when "1001001" => + dest <= 28; + src <= 41; + when "1001010" => + dest <= 62; + src <= 55; + when "1001011" => + dest <= 21; + src <= 51; + when "1001100" => + dest <= 55; + src <= 60; + when "1001101" => + dest <= 45; + src <= 45; + when "1001110" => + dest <= 40; + src <= 62; + when "1001111" => + dest <= 45; + src <= 28; + when "1010000" => + dest <= 38; + src <= 5; + when "1010001" => + dest <= 29; + src <= 35; + when "1010010" => + dest <= 47; + src <= 45; + when "1010011" => + dest <= 45; + src <= 42; + when "1010100" => + dest <= 45; + src <= 52; + when "1010101" => + dest <= 9; + src <= 61; + when "1010110" => + dest <= 0; + src <= 43; + when others => + dest <= 45; + src <= 45; + + end case; + end process; + + end generate NI_NUM45; + + NI_NUM46 : if NI_NUM = 46 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 51; + src <= 46; + when "0000001" => + dest <= 54; + src <= 46; + when "0000010" => + dest <= 38; + src <= 46; + when "0000011" => + dest <= 18; + src <= 46; + when "0000100" => + dest <= 11; + src <= 38; + when "0000101" => + dest <= 46; + src <= 54; + when "0000110" => + dest <= 3; + src <= 33; + when "0000111" => + dest <= 44; + src <= 19; + when "0001000" => + dest <= 46; + src <= 10; + when "0001001" => + dest <= 4; + src <= 46; + when "0001010" => + dest <= 2; + src <= 46; + when "0001011" => + dest <= 9; + src <= 40; + when "0001100" => + dest <= 60; + src <= 2; + when "0001101" => + dest <= 46; + src <= 9; + when "0001110" => + dest <= 47; + src <= 17; + when "0001111" => + dest <= 35; + src <= 46; + when "0010000" => + dest <= 26; + src <= 16; + when "0010001" => + dest <= 61; + src <= 45; + when "0010010" => + dest <= 46; + src <= 24; + when "0010011" => + dest <= 17; + src <= 18; + when "0010100" => + dest <= 12; + src <= 11; + when "0010101" => + dest <= 52; + src <= 49; + when "0010110" => + dest <= 59; + src <= 31; + when "0010111" => + dest <= 46; + src <= 46; + when "0011000" => + dest <= 5; + src <= 58; + when "0011001" => + dest <= 46; + src <= 46; + when "0011010" => + dest <= 19; + src <= 32; + when "0011011" => + dest <= 8; + src <= 3; + when "0011100" => + dest <= 58; + src <= 8; + when "0011101" => + dest <= 55; + src <= 25; + when "0011110" => + dest <= 46; + src <= 23; + when "0011111" => + dest <= 46; + src <= 46; + when "0100000" => + dest <= 43; + src <= 46; + when "0100001" => + dest <= 34; + src <= 37; + when "0100010" => + dest <= 27; + src <= 1; + when "0100011" => + dest <= 62; + src <= 12; + when "0100100" => + dest <= 20; + src <= 26; + when "0100101" => + dest <= 6; + src <= 41; + when "0100110" => + dest <= 15; + src <= 46; + when "0100111" => + dest <= 0; + src <= 30; + when "0101000" => + dest <= 53; + src <= 50; + when "0101001" => + dest <= 57; + src <= 57; + when "0101010" => + dest <= 46; + src <= 22; + when "0101011" => + dest <= 25; + src <= 0; + when "0101100" => + dest <= 45; + src <= 39; + when "0101101" => + dest <= 16; + src <= 13; + when "0101110" => + dest <= 46; + src <= 20; + when "0101111" => + dest <= 46; + src <= 47; + when "0110000" => + dest <= 13; + src <= 27; + when "0110001" => + dest <= 46; + src <= 46; + when "0110010" => + dest <= 50; + src <= 59; + when "0110011" => + dest <= 46; + src <= 46; + when "0110100" => + dest <= 37; + src <= 4; + when "0110101" => + dest <= 33; + src <= 46; + when "0110110" => + dest <= 46; + src <= 46; + when "0110111" => + dest <= 24; + src <= 15; + when "0111000" => + dest <= 46; + src <= 55; + when "0111001" => + dest <= 23; + src <= 34; + when "0111010" => + dest <= 46; + src <= 46; + when "0111011" => + dest <= 7; + src <= 51; + when "0111100" => + dest <= 21; + src <= 46; + when "0111101" => + dest <= 56; + src <= 60; + when "0111110" => + dest <= 46; + src <= 46; + when "0111111" => + dest <= 28; + src <= 5; + when "1000000" => + dest <= 49; + src <= 46; + when "1000001" => + dest <= 14; + src <= 21; + when "1000010" => + dest <= 46; + src <= 7; + when "1000011" => + dest <= 42; + src <= 28; + when "1000100" => + dest <= 46; + src <= 46; + when "1000101" => + dest <= 36; + src <= 56; + when "1000110" => + dest <= 32; + src <= 35; + when "1000111" => + dest <= 31; + src <= 14; + when "1001000" => + dest <= 46; + src <= 46; + when "1001001" => + dest <= 29; + src <= 42; + when "1001010" => + dest <= 63; + src <= 48; + when "1001011" => + dest <= 22; + src <= 52; + when "1001100" => + dest <= 48; + src <= 61; + when "1001101" => + dest <= 46; + src <= 46; + when "1001110" => + dest <= 41; + src <= 63; + when "1001111" => + dest <= 46; + src <= 29; + when "1010000" => + dest <= 39; + src <= 6; + when "1010001" => + dest <= 30; + src <= 36; + when "1010010" => + dest <= 40; + src <= 46; + when "1010011" => + dest <= 46; + src <= 43; + when "1010100" => + dest <= 46; + src <= 53; + when "1010101" => + dest <= 10; + src <= 62; + when "1010110" => + dest <= 1; + src <= 44; + when others => + dest <= 46; + src <= 46; + + end case; + end process; + + end generate NI_NUM46; + + NI_NUM47 : if NI_NUM = 47 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 52; + src <= 47; + when "0000001" => + dest <= 55; + src <= 47; + when "0000010" => + dest <= 39; + src <= 47; + when "0000011" => + dest <= 19; + src <= 47; + when "0000100" => + dest <= 12; + src <= 39; + when "0000101" => + dest <= 47; + src <= 55; + when "0000110" => + dest <= 4; + src <= 34; + when "0000111" => + dest <= 45; + src <= 20; + when "0001000" => + dest <= 47; + src <= 11; + when "0001001" => + dest <= 5; + src <= 47; + when "0001010" => + dest <= 3; + src <= 47; + when "0001011" => + dest <= 10; + src <= 41; + when "0001100" => + dest <= 61; + src <= 3; + when "0001101" => + dest <= 47; + src <= 10; + when "0001110" => + dest <= 40; + src <= 18; + when "0001111" => + dest <= 36; + src <= 47; + when "0010000" => + dest <= 27; + src <= 17; + when "0010001" => + dest <= 62; + src <= 46; + when "0010010" => + dest <= 47; + src <= 25; + when "0010011" => + dest <= 18; + src <= 19; + when "0010100" => + dest <= 13; + src <= 12; + when "0010101" => + dest <= 53; + src <= 50; + when "0010110" => + dest <= 60; + src <= 24; + when "0010111" => + dest <= 47; + src <= 47; + when "0011000" => + dest <= 6; + src <= 59; + when "0011001" => + dest <= 47; + src <= 47; + when "0011010" => + dest <= 20; + src <= 33; + when "0011011" => + dest <= 9; + src <= 4; + when "0011100" => + dest <= 59; + src <= 9; + when "0011101" => + dest <= 48; + src <= 26; + when "0011110" => + dest <= 47; + src <= 16; + when "0011111" => + dest <= 47; + src <= 47; + when "0100000" => + dest <= 44; + src <= 47; + when "0100001" => + dest <= 35; + src <= 38; + when "0100010" => + dest <= 28; + src <= 2; + when "0100011" => + dest <= 63; + src <= 13; + when "0100100" => + dest <= 21; + src <= 27; + when "0100101" => + dest <= 7; + src <= 42; + when "0100110" => + dest <= 8; + src <= 47; + when "0100111" => + dest <= 1; + src <= 31; + when "0101000" => + dest <= 54; + src <= 51; + when "0101001" => + dest <= 58; + src <= 58; + when "0101010" => + dest <= 47; + src <= 23; + when "0101011" => + dest <= 26; + src <= 1; + when "0101100" => + dest <= 46; + src <= 32; + when "0101101" => + dest <= 17; + src <= 14; + when "0101110" => + dest <= 47; + src <= 21; + when "0101111" => + dest <= 47; + src <= 40; + when "0110000" => + dest <= 14; + src <= 28; + when "0110001" => + dest <= 47; + src <= 47; + when "0110010" => + dest <= 51; + src <= 60; + when "0110011" => + dest <= 47; + src <= 47; + when "0110100" => + dest <= 38; + src <= 5; + when "0110101" => + dest <= 34; + src <= 47; + when "0110110" => + dest <= 47; + src <= 47; + when "0110111" => + dest <= 25; + src <= 8; + when "0111000" => + dest <= 47; + src <= 48; + when "0111001" => + dest <= 16; + src <= 35; + when "0111010" => + dest <= 47; + src <= 47; + when "0111011" => + dest <= 0; + src <= 52; + when "0111100" => + dest <= 22; + src <= 47; + when "0111101" => + dest <= 57; + src <= 61; + when "0111110" => + dest <= 47; + src <= 47; + when "0111111" => + dest <= 29; + src <= 6; + when "1000000" => + dest <= 50; + src <= 47; + when "1000001" => + dest <= 15; + src <= 22; + when "1000010" => + dest <= 47; + src <= 0; + when "1000011" => + dest <= 43; + src <= 29; + when "1000100" => + dest <= 47; + src <= 47; + when "1000101" => + dest <= 37; + src <= 57; + when "1000110" => + dest <= 33; + src <= 36; + when "1000111" => + dest <= 24; + src <= 15; + when "1001000" => + dest <= 47; + src <= 47; + when "1001001" => + dest <= 30; + src <= 43; + when "1001010" => + dest <= 56; + src <= 49; + when "1001011" => + dest <= 23; + src <= 53; + when "1001100" => + dest <= 49; + src <= 62; + when "1001101" => + dest <= 47; + src <= 47; + when "1001110" => + dest <= 42; + src <= 56; + when "1001111" => + dest <= 47; + src <= 30; + when "1010000" => + dest <= 32; + src <= 7; + when "1010001" => + dest <= 31; + src <= 37; + when "1010010" => + dest <= 41; + src <= 47; + when "1010011" => + dest <= 47; + src <= 44; + when "1010100" => + dest <= 47; + src <= 54; + when "1010101" => + dest <= 11; + src <= 63; + when "1010110" => + dest <= 2; + src <= 45; + when others => + dest <= 47; + src <= 47; + + end case; + end process; + + end generate NI_NUM47; + + NI_NUM48 : if NI_NUM = 48 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 61; + src <= 48; + when "0000001" => + dest <= 56; + src <= 48; + when "0000010" => + dest <= 40; + src <= 48; + when "0000011" => + dest <= 28; + src <= 48; + when "0000100" => + dest <= 21; + src <= 40; + when "0000101" => + dest <= 48; + src <= 56; + when "0000110" => + dest <= 13; + src <= 43; + when "0000111" => + dest <= 54; + src <= 29; + when "0001000" => + dest <= 48; + src <= 20; + when "0001001" => + dest <= 14; + src <= 48; + when "0001010" => + dest <= 12; + src <= 48; + when "0001011" => + dest <= 19; + src <= 50; + when "0001100" => + dest <= 6; + src <= 12; + when "0001101" => + dest <= 48; + src <= 19; + when "0001110" => + dest <= 49; + src <= 27; + when "0001111" => + dest <= 45; + src <= 48; + when "0010000" => + dest <= 36; + src <= 26; + when "0010001" => + dest <= 7; + src <= 55; + when "0010010" => + dest <= 48; + src <= 34; + when "0010011" => + dest <= 27; + src <= 28; + when "0010100" => + dest <= 22; + src <= 21; + when "0010101" => + dest <= 62; + src <= 59; + when "0010110" => + dest <= 5; + src <= 33; + when "0010111" => + dest <= 48; + src <= 48; + when "0011000" => + dest <= 15; + src <= 4; + when "0011001" => + dest <= 48; + src <= 48; + when "0011010" => + dest <= 29; + src <= 42; + when "0011011" => + dest <= 18; + src <= 13; + when "0011100" => + dest <= 4; + src <= 18; + when "0011101" => + dest <= 57; + src <= 35; + when "0011110" => + dest <= 48; + src <= 25; + when "0011111" => + dest <= 48; + src <= 48; + when "0100000" => + dest <= 53; + src <= 48; + when "0100001" => + dest <= 44; + src <= 47; + when "0100010" => + dest <= 37; + src <= 11; + when "0100011" => + dest <= 0; + src <= 22; + when "0100100" => + dest <= 30; + src <= 36; + when "0100101" => + dest <= 8; + src <= 51; + when "0100110" => + dest <= 17; + src <= 48; + when "0100111" => + dest <= 10; + src <= 32; + when "0101000" => + dest <= 63; + src <= 60; + when "0101001" => + dest <= 3; + src <= 3; + when "0101010" => + dest <= 48; + src <= 24; + when "0101011" => + dest <= 35; + src <= 10; + when "0101100" => + dest <= 55; + src <= 41; + when "0101101" => + dest <= 26; + src <= 23; + when "0101110" => + dest <= 48; + src <= 30; + when "0101111" => + dest <= 48; + src <= 49; + when "0110000" => + dest <= 23; + src <= 37; + when "0110001" => + dest <= 48; + src <= 48; + when "0110010" => + dest <= 60; + src <= 5; + when "0110011" => + dest <= 48; + src <= 48; + when "0110100" => + dest <= 47; + src <= 14; + when "0110101" => + dest <= 43; + src <= 48; + when "0110110" => + dest <= 48; + src <= 48; + when "0110111" => + dest <= 34; + src <= 17; + when "0111000" => + dest <= 48; + src <= 57; + when "0111001" => + dest <= 25; + src <= 44; + when "0111010" => + dest <= 48; + src <= 48; + when "0111011" => + dest <= 9; + src <= 61; + when "0111100" => + dest <= 31; + src <= 48; + when "0111101" => + dest <= 2; + src <= 6; + when "0111110" => + dest <= 48; + src <= 48; + when "0111111" => + dest <= 38; + src <= 15; + when "1000000" => + dest <= 59; + src <= 48; + when "1000001" => + dest <= 16; + src <= 31; + when "1000010" => + dest <= 48; + src <= 9; + when "1000011" => + dest <= 52; + src <= 38; + when "1000100" => + dest <= 48; + src <= 48; + when "1000101" => + dest <= 46; + src <= 2; + when "1000110" => + dest <= 42; + src <= 45; + when "1000111" => + dest <= 33; + src <= 16; + when "1001000" => + dest <= 48; + src <= 48; + when "1001001" => + dest <= 39; + src <= 52; + when "1001010" => + dest <= 1; + src <= 58; + when "1001011" => + dest <= 24; + src <= 62; + when "1001100" => + dest <= 58; + src <= 7; + when "1001101" => + dest <= 48; + src <= 48; + when "1001110" => + dest <= 51; + src <= 1; + when "1001111" => + dest <= 48; + src <= 39; + when "1010000" => + dest <= 41; + src <= 8; + when "1010001" => + dest <= 32; + src <= 46; + when "1010010" => + dest <= 50; + src <= 48; + when "1010011" => + dest <= 48; + src <= 53; + when "1010100" => + dest <= 48; + src <= 63; + when "1010101" => + dest <= 20; + src <= 0; + when "1010110" => + dest <= 11; + src <= 54; + when others => + dest <= 48; + src <= 48; + + end case; + end process; + + end generate NI_NUM48; + + NI_NUM49 : if NI_NUM = 49 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 62; + src <= 49; + when "0000001" => + dest <= 57; + src <= 49; + when "0000010" => + dest <= 41; + src <= 49; + when "0000011" => + dest <= 29; + src <= 49; + when "0000100" => + dest <= 22; + src <= 41; + when "0000101" => + dest <= 49; + src <= 57; + when "0000110" => + dest <= 14; + src <= 44; + when "0000111" => + dest <= 55; + src <= 30; + when "0001000" => + dest <= 49; + src <= 21; + when "0001001" => + dest <= 15; + src <= 49; + when "0001010" => + dest <= 13; + src <= 49; + when "0001011" => + dest <= 20; + src <= 51; + when "0001100" => + dest <= 7; + src <= 13; + when "0001101" => + dest <= 49; + src <= 20; + when "0001110" => + dest <= 50; + src <= 28; + when "0001111" => + dest <= 46; + src <= 49; + when "0010000" => + dest <= 37; + src <= 27; + when "0010001" => + dest <= 0; + src <= 48; + when "0010010" => + dest <= 49; + src <= 35; + when "0010011" => + dest <= 28; + src <= 29; + when "0010100" => + dest <= 23; + src <= 22; + when "0010101" => + dest <= 63; + src <= 60; + when "0010110" => + dest <= 6; + src <= 34; + when "0010111" => + dest <= 49; + src <= 49; + when "0011000" => + dest <= 8; + src <= 5; + when "0011001" => + dest <= 49; + src <= 49; + when "0011010" => + dest <= 30; + src <= 43; + when "0011011" => + dest <= 19; + src <= 14; + when "0011100" => + dest <= 5; + src <= 19; + when "0011101" => + dest <= 58; + src <= 36; + when "0011110" => + dest <= 49; + src <= 26; + when "0011111" => + dest <= 49; + src <= 49; + when "0100000" => + dest <= 54; + src <= 49; + when "0100001" => + dest <= 45; + src <= 40; + when "0100010" => + dest <= 38; + src <= 12; + when "0100011" => + dest <= 1; + src <= 23; + when "0100100" => + dest <= 31; + src <= 37; + when "0100101" => + dest <= 9; + src <= 52; + when "0100110" => + dest <= 18; + src <= 49; + when "0100111" => + dest <= 11; + src <= 33; + when "0101000" => + dest <= 56; + src <= 61; + when "0101001" => + dest <= 4; + src <= 4; + when "0101010" => + dest <= 49; + src <= 25; + when "0101011" => + dest <= 36; + src <= 11; + when "0101100" => + dest <= 48; + src <= 42; + when "0101101" => + dest <= 27; + src <= 16; + when "0101110" => + dest <= 49; + src <= 31; + when "0101111" => + dest <= 49; + src <= 50; + when "0110000" => + dest <= 16; + src <= 38; + when "0110001" => + dest <= 49; + src <= 49; + when "0110010" => + dest <= 61; + src <= 6; + when "0110011" => + dest <= 49; + src <= 49; + when "0110100" => + dest <= 40; + src <= 15; + when "0110101" => + dest <= 44; + src <= 49; + when "0110110" => + dest <= 49; + src <= 49; + when "0110111" => + dest <= 35; + src <= 18; + when "0111000" => + dest <= 49; + src <= 58; + when "0111001" => + dest <= 26; + src <= 45; + when "0111010" => + dest <= 49; + src <= 49; + when "0111011" => + dest <= 10; + src <= 62; + when "0111100" => + dest <= 24; + src <= 49; + when "0111101" => + dest <= 3; + src <= 7; + when "0111110" => + dest <= 49; + src <= 49; + when "0111111" => + dest <= 39; + src <= 8; + when "1000000" => + dest <= 60; + src <= 49; + when "1000001" => + dest <= 17; + src <= 24; + when "1000010" => + dest <= 49; + src <= 10; + when "1000011" => + dest <= 53; + src <= 39; + when "1000100" => + dest <= 49; + src <= 49; + when "1000101" => + dest <= 47; + src <= 3; + when "1000110" => + dest <= 43; + src <= 46; + when "1000111" => + dest <= 34; + src <= 17; + when "1001000" => + dest <= 49; + src <= 49; + when "1001001" => + dest <= 32; + src <= 53; + when "1001010" => + dest <= 2; + src <= 59; + when "1001011" => + dest <= 25; + src <= 63; + when "1001100" => + dest <= 59; + src <= 0; + when "1001101" => + dest <= 49; + src <= 49; + when "1001110" => + dest <= 52; + src <= 2; + when "1001111" => + dest <= 49; + src <= 32; + when "1010000" => + dest <= 42; + src <= 9; + when "1010001" => + dest <= 33; + src <= 47; + when "1010010" => + dest <= 51; + src <= 49; + when "1010011" => + dest <= 49; + src <= 54; + when "1010100" => + dest <= 49; + src <= 56; + when "1010101" => + dest <= 21; + src <= 1; + when "1010110" => + dest <= 12; + src <= 55; + when others => + dest <= 49; + src <= 49; + + end case; + end process; + + end generate NI_NUM49; + + NI_NUM50 : if NI_NUM = 50 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 63; + src <= 50; + when "0000001" => + dest <= 58; + src <= 50; + when "0000010" => + dest <= 42; + src <= 50; + when "0000011" => + dest <= 30; + src <= 50; + when "0000100" => + dest <= 23; + src <= 42; + when "0000101" => + dest <= 50; + src <= 58; + when "0000110" => + dest <= 15; + src <= 45; + when "0000111" => + dest <= 48; + src <= 31; + when "0001000" => + dest <= 50; + src <= 22; + when "0001001" => + dest <= 8; + src <= 50; + when "0001010" => + dest <= 14; + src <= 50; + when "0001011" => + dest <= 21; + src <= 52; + when "0001100" => + dest <= 0; + src <= 14; + when "0001101" => + dest <= 50; + src <= 21; + when "0001110" => + dest <= 51; + src <= 29; + when "0001111" => + dest <= 47; + src <= 50; + when "0010000" => + dest <= 38; + src <= 28; + when "0010001" => + dest <= 1; + src <= 49; + when "0010010" => + dest <= 50; + src <= 36; + when "0010011" => + dest <= 29; + src <= 30; + when "0010100" => + dest <= 16; + src <= 23; + when "0010101" => + dest <= 56; + src <= 61; + when "0010110" => + dest <= 7; + src <= 35; + when "0010111" => + dest <= 50; + src <= 50; + when "0011000" => + dest <= 9; + src <= 6; + when "0011001" => + dest <= 50; + src <= 50; + when "0011010" => + dest <= 31; + src <= 44; + when "0011011" => + dest <= 20; + src <= 15; + when "0011100" => + dest <= 6; + src <= 20; + when "0011101" => + dest <= 59; + src <= 37; + when "0011110" => + dest <= 50; + src <= 27; + when "0011111" => + dest <= 50; + src <= 50; + when "0100000" => + dest <= 55; + src <= 50; + when "0100001" => + dest <= 46; + src <= 41; + when "0100010" => + dest <= 39; + src <= 13; + when "0100011" => + dest <= 2; + src <= 16; + when "0100100" => + dest <= 24; + src <= 38; + when "0100101" => + dest <= 10; + src <= 53; + when "0100110" => + dest <= 19; + src <= 50; + when "0100111" => + dest <= 12; + src <= 34; + when "0101000" => + dest <= 57; + src <= 62; + when "0101001" => + dest <= 5; + src <= 5; + when "0101010" => + dest <= 50; + src <= 26; + when "0101011" => + dest <= 37; + src <= 12; + when "0101100" => + dest <= 49; + src <= 43; + when "0101101" => + dest <= 28; + src <= 17; + when "0101110" => + dest <= 50; + src <= 24; + when "0101111" => + dest <= 50; + src <= 51; + when "0110000" => + dest <= 17; + src <= 39; + when "0110001" => + dest <= 50; + src <= 50; + when "0110010" => + dest <= 62; + src <= 7; + when "0110011" => + dest <= 50; + src <= 50; + when "0110100" => + dest <= 41; + src <= 8; + when "0110101" => + dest <= 45; + src <= 50; + when "0110110" => + dest <= 50; + src <= 50; + when "0110111" => + dest <= 36; + src <= 19; + when "0111000" => + dest <= 50; + src <= 59; + when "0111001" => + dest <= 27; + src <= 46; + when "0111010" => + dest <= 50; + src <= 50; + when "0111011" => + dest <= 11; + src <= 63; + when "0111100" => + dest <= 25; + src <= 50; + when "0111101" => + dest <= 4; + src <= 0; + when "0111110" => + dest <= 50; + src <= 50; + when "0111111" => + dest <= 32; + src <= 9; + when "1000000" => + dest <= 61; + src <= 50; + when "1000001" => + dest <= 18; + src <= 25; + when "1000010" => + dest <= 50; + src <= 11; + when "1000011" => + dest <= 54; + src <= 32; + when "1000100" => + dest <= 50; + src <= 50; + when "1000101" => + dest <= 40; + src <= 4; + when "1000110" => + dest <= 44; + src <= 47; + when "1000111" => + dest <= 35; + src <= 18; + when "1001000" => + dest <= 50; + src <= 50; + when "1001001" => + dest <= 33; + src <= 54; + when "1001010" => + dest <= 3; + src <= 60; + when "1001011" => + dest <= 26; + src <= 56; + when "1001100" => + dest <= 60; + src <= 1; + when "1001101" => + dest <= 50; + src <= 50; + when "1001110" => + dest <= 53; + src <= 3; + when "1001111" => + dest <= 50; + src <= 33; + when "1010000" => + dest <= 43; + src <= 10; + when "1010001" => + dest <= 34; + src <= 40; + when "1010010" => + dest <= 52; + src <= 50; + when "1010011" => + dest <= 50; + src <= 55; + when "1010100" => + dest <= 50; + src <= 57; + when "1010101" => + dest <= 22; + src <= 2; + when "1010110" => + dest <= 13; + src <= 48; + when others => + dest <= 50; + src <= 50; + + end case; + end process; + + end generate NI_NUM50; + + NI_NUM51 : if NI_NUM = 51 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 56; + src <= 51; + when "0000001" => + dest <= 59; + src <= 51; + when "0000010" => + dest <= 43; + src <= 51; + when "0000011" => + dest <= 31; + src <= 51; + when "0000100" => + dest <= 16; + src <= 43; + when "0000101" => + dest <= 51; + src <= 59; + when "0000110" => + dest <= 8; + src <= 46; + when "0000111" => + dest <= 49; + src <= 24; + when "0001000" => + dest <= 51; + src <= 23; + when "0001001" => + dest <= 9; + src <= 51; + when "0001010" => + dest <= 15; + src <= 51; + when "0001011" => + dest <= 22; + src <= 53; + when "0001100" => + dest <= 1; + src <= 15; + when "0001101" => + dest <= 51; + src <= 22; + when "0001110" => + dest <= 52; + src <= 30; + when "0001111" => + dest <= 40; + src <= 51; + when "0010000" => + dest <= 39; + src <= 29; + when "0010001" => + dest <= 2; + src <= 50; + when "0010010" => + dest <= 51; + src <= 37; + when "0010011" => + dest <= 30; + src <= 31; + when "0010100" => + dest <= 17; + src <= 16; + when "0010101" => + dest <= 57; + src <= 62; + when "0010110" => + dest <= 0; + src <= 36; + when "0010111" => + dest <= 51; + src <= 51; + when "0011000" => + dest <= 10; + src <= 7; + when "0011001" => + dest <= 51; + src <= 51; + when "0011010" => + dest <= 24; + src <= 45; + when "0011011" => + dest <= 21; + src <= 8; + when "0011100" => + dest <= 7; + src <= 21; + when "0011101" => + dest <= 60; + src <= 38; + when "0011110" => + dest <= 51; + src <= 28; + when "0011111" => + dest <= 51; + src <= 51; + when "0100000" => + dest <= 48; + src <= 51; + when "0100001" => + dest <= 47; + src <= 42; + when "0100010" => + dest <= 32; + src <= 14; + when "0100011" => + dest <= 3; + src <= 17; + when "0100100" => + dest <= 25; + src <= 39; + when "0100101" => + dest <= 11; + src <= 54; + when "0100110" => + dest <= 20; + src <= 51; + when "0100111" => + dest <= 13; + src <= 35; + when "0101000" => + dest <= 58; + src <= 63; + when "0101001" => + dest <= 6; + src <= 6; + when "0101010" => + dest <= 51; + src <= 27; + when "0101011" => + dest <= 38; + src <= 13; + when "0101100" => + dest <= 50; + src <= 44; + when "0101101" => + dest <= 29; + src <= 18; + when "0101110" => + dest <= 51; + src <= 25; + when "0101111" => + dest <= 51; + src <= 52; + when "0110000" => + dest <= 18; + src <= 32; + when "0110001" => + dest <= 51; + src <= 51; + when "0110010" => + dest <= 63; + src <= 0; + when "0110011" => + dest <= 51; + src <= 51; + when "0110100" => + dest <= 42; + src <= 9; + when "0110101" => + dest <= 46; + src <= 51; + when "0110110" => + dest <= 51; + src <= 51; + when "0110111" => + dest <= 37; + src <= 20; + when "0111000" => + dest <= 51; + src <= 60; + when "0111001" => + dest <= 28; + src <= 47; + when "0111010" => + dest <= 51; + src <= 51; + when "0111011" => + dest <= 12; + src <= 56; + when "0111100" => + dest <= 26; + src <= 51; + when "0111101" => + dest <= 5; + src <= 1; + when "0111110" => + dest <= 51; + src <= 51; + when "0111111" => + dest <= 33; + src <= 10; + when "1000000" => + dest <= 62; + src <= 51; + when "1000001" => + dest <= 19; + src <= 26; + when "1000010" => + dest <= 51; + src <= 12; + when "1000011" => + dest <= 55; + src <= 33; + when "1000100" => + dest <= 51; + src <= 51; + when "1000101" => + dest <= 41; + src <= 5; + when "1000110" => + dest <= 45; + src <= 40; + when "1000111" => + dest <= 36; + src <= 19; + when "1001000" => + dest <= 51; + src <= 51; + when "1001001" => + dest <= 34; + src <= 55; + when "1001010" => + dest <= 4; + src <= 61; + when "1001011" => + dest <= 27; + src <= 57; + when "1001100" => + dest <= 61; + src <= 2; + when "1001101" => + dest <= 51; + src <= 51; + when "1001110" => + dest <= 54; + src <= 4; + when "1001111" => + dest <= 51; + src <= 34; + when "1010000" => + dest <= 44; + src <= 11; + when "1010001" => + dest <= 35; + src <= 41; + when "1010010" => + dest <= 53; + src <= 51; + when "1010011" => + dest <= 51; + src <= 48; + when "1010100" => + dest <= 51; + src <= 58; + when "1010101" => + dest <= 23; + src <= 3; + when "1010110" => + dest <= 14; + src <= 49; + when others => + dest <= 51; + src <= 51; + + end case; + end process; + + end generate NI_NUM51; + + NI_NUM52 : if NI_NUM = 52 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 57; + src <= 52; + when "0000001" => + dest <= 60; + src <= 52; + when "0000010" => + dest <= 44; + src <= 52; + when "0000011" => + dest <= 24; + src <= 52; + when "0000100" => + dest <= 17; + src <= 44; + when "0000101" => + dest <= 52; + src <= 60; + when "0000110" => + dest <= 9; + src <= 47; + when "0000111" => + dest <= 50; + src <= 25; + when "0001000" => + dest <= 52; + src <= 16; + when "0001001" => + dest <= 10; + src <= 52; + when "0001010" => + dest <= 8; + src <= 52; + when "0001011" => + dest <= 23; + src <= 54; + when "0001100" => + dest <= 2; + src <= 8; + when "0001101" => + dest <= 52; + src <= 23; + when "0001110" => + dest <= 53; + src <= 31; + when "0001111" => + dest <= 41; + src <= 52; + when "0010000" => + dest <= 32; + src <= 30; + when "0010001" => + dest <= 3; + src <= 51; + when "0010010" => + dest <= 52; + src <= 38; + when "0010011" => + dest <= 31; + src <= 24; + when "0010100" => + dest <= 18; + src <= 17; + when "0010101" => + dest <= 58; + src <= 63; + when "0010110" => + dest <= 1; + src <= 37; + when "0010111" => + dest <= 52; + src <= 52; + when "0011000" => + dest <= 11; + src <= 0; + when "0011001" => + dest <= 52; + src <= 52; + when "0011010" => + dest <= 25; + src <= 46; + when "0011011" => + dest <= 22; + src <= 9; + when "0011100" => + dest <= 0; + src <= 22; + when "0011101" => + dest <= 61; + src <= 39; + when "0011110" => + dest <= 52; + src <= 29; + when "0011111" => + dest <= 52; + src <= 52; + when "0100000" => + dest <= 49; + src <= 52; + when "0100001" => + dest <= 40; + src <= 43; + when "0100010" => + dest <= 33; + src <= 15; + when "0100011" => + dest <= 4; + src <= 18; + when "0100100" => + dest <= 26; + src <= 32; + when "0100101" => + dest <= 12; + src <= 55; + when "0100110" => + dest <= 21; + src <= 52; + when "0100111" => + dest <= 14; + src <= 36; + when "0101000" => + dest <= 59; + src <= 56; + when "0101001" => + dest <= 7; + src <= 7; + when "0101010" => + dest <= 52; + src <= 28; + when "0101011" => + dest <= 39; + src <= 14; + when "0101100" => + dest <= 51; + src <= 45; + when "0101101" => + dest <= 30; + src <= 19; + when "0101110" => + dest <= 52; + src <= 26; + when "0101111" => + dest <= 52; + src <= 53; + when "0110000" => + dest <= 19; + src <= 33; + when "0110001" => + dest <= 52; + src <= 52; + when "0110010" => + dest <= 56; + src <= 1; + when "0110011" => + dest <= 52; + src <= 52; + when "0110100" => + dest <= 43; + src <= 10; + when "0110101" => + dest <= 47; + src <= 52; + when "0110110" => + dest <= 52; + src <= 52; + when "0110111" => + dest <= 38; + src <= 21; + when "0111000" => + dest <= 52; + src <= 61; + when "0111001" => + dest <= 29; + src <= 40; + when "0111010" => + dest <= 52; + src <= 52; + when "0111011" => + dest <= 13; + src <= 57; + when "0111100" => + dest <= 27; + src <= 52; + when "0111101" => + dest <= 6; + src <= 2; + when "0111110" => + dest <= 52; + src <= 52; + when "0111111" => + dest <= 34; + src <= 11; + when "1000000" => + dest <= 63; + src <= 52; + when "1000001" => + dest <= 20; + src <= 27; + when "1000010" => + dest <= 52; + src <= 13; + when "1000011" => + dest <= 48; + src <= 34; + when "1000100" => + dest <= 52; + src <= 52; + when "1000101" => + dest <= 42; + src <= 6; + when "1000110" => + dest <= 46; + src <= 41; + when "1000111" => + dest <= 37; + src <= 20; + when "1001000" => + dest <= 52; + src <= 52; + when "1001001" => + dest <= 35; + src <= 48; + when "1001010" => + dest <= 5; + src <= 62; + when "1001011" => + dest <= 28; + src <= 58; + when "1001100" => + dest <= 62; + src <= 3; + when "1001101" => + dest <= 52; + src <= 52; + when "1001110" => + dest <= 55; + src <= 5; + when "1001111" => + dest <= 52; + src <= 35; + when "1010000" => + dest <= 45; + src <= 12; + when "1010001" => + dest <= 36; + src <= 42; + when "1010010" => + dest <= 54; + src <= 52; + when "1010011" => + dest <= 52; + src <= 49; + when "1010100" => + dest <= 52; + src <= 59; + when "1010101" => + dest <= 16; + src <= 4; + when "1010110" => + dest <= 15; + src <= 50; + when others => + dest <= 52; + src <= 52; + + end case; + end process; + + end generate NI_NUM52; + + NI_NUM53 : if NI_NUM = 53 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 58; + src <= 53; + when "0000001" => + dest <= 61; + src <= 53; + when "0000010" => + dest <= 45; + src <= 53; + when "0000011" => + dest <= 25; + src <= 53; + when "0000100" => + dest <= 18; + src <= 45; + when "0000101" => + dest <= 53; + src <= 61; + when "0000110" => + dest <= 10; + src <= 40; + when "0000111" => + dest <= 51; + src <= 26; + when "0001000" => + dest <= 53; + src <= 17; + when "0001001" => + dest <= 11; + src <= 53; + when "0001010" => + dest <= 9; + src <= 53; + when "0001011" => + dest <= 16; + src <= 55; + when "0001100" => + dest <= 3; + src <= 9; + when "0001101" => + dest <= 53; + src <= 16; + when "0001110" => + dest <= 54; + src <= 24; + when "0001111" => + dest <= 42; + src <= 53; + when "0010000" => + dest <= 33; + src <= 31; + when "0010001" => + dest <= 4; + src <= 52; + when "0010010" => + dest <= 53; + src <= 39; + when "0010011" => + dest <= 24; + src <= 25; + when "0010100" => + dest <= 19; + src <= 18; + when "0010101" => + dest <= 59; + src <= 56; + when "0010110" => + dest <= 2; + src <= 38; + when "0010111" => + dest <= 53; + src <= 53; + when "0011000" => + dest <= 12; + src <= 1; + when "0011001" => + dest <= 53; + src <= 53; + when "0011010" => + dest <= 26; + src <= 47; + when "0011011" => + dest <= 23; + src <= 10; + when "0011100" => + dest <= 1; + src <= 23; + when "0011101" => + dest <= 62; + src <= 32; + when "0011110" => + dest <= 53; + src <= 30; + when "0011111" => + dest <= 53; + src <= 53; + when "0100000" => + dest <= 50; + src <= 53; + when "0100001" => + dest <= 41; + src <= 44; + when "0100010" => + dest <= 34; + src <= 8; + when "0100011" => + dest <= 5; + src <= 19; + when "0100100" => + dest <= 27; + src <= 33; + when "0100101" => + dest <= 13; + src <= 48; + when "0100110" => + dest <= 22; + src <= 53; + when "0100111" => + dest <= 15; + src <= 37; + when "0101000" => + dest <= 60; + src <= 57; + when "0101001" => + dest <= 0; + src <= 0; + when "0101010" => + dest <= 53; + src <= 29; + when "0101011" => + dest <= 32; + src <= 15; + when "0101100" => + dest <= 52; + src <= 46; + when "0101101" => + dest <= 31; + src <= 20; + when "0101110" => + dest <= 53; + src <= 27; + when "0101111" => + dest <= 53; + src <= 54; + when "0110000" => + dest <= 20; + src <= 34; + when "0110001" => + dest <= 53; + src <= 53; + when "0110010" => + dest <= 57; + src <= 2; + when "0110011" => + dest <= 53; + src <= 53; + when "0110100" => + dest <= 44; + src <= 11; + when "0110101" => + dest <= 40; + src <= 53; + when "0110110" => + dest <= 53; + src <= 53; + when "0110111" => + dest <= 39; + src <= 22; + when "0111000" => + dest <= 53; + src <= 62; + when "0111001" => + dest <= 30; + src <= 41; + when "0111010" => + dest <= 53; + src <= 53; + when "0111011" => + dest <= 14; + src <= 58; + when "0111100" => + dest <= 28; + src <= 53; + when "0111101" => + dest <= 7; + src <= 3; + when "0111110" => + dest <= 53; + src <= 53; + when "0111111" => + dest <= 35; + src <= 12; + when "1000000" => + dest <= 56; + src <= 53; + when "1000001" => + dest <= 21; + src <= 28; + when "1000010" => + dest <= 53; + src <= 14; + when "1000011" => + dest <= 49; + src <= 35; + when "1000100" => + dest <= 53; + src <= 53; + when "1000101" => + dest <= 43; + src <= 7; + when "1000110" => + dest <= 47; + src <= 42; + when "1000111" => + dest <= 38; + src <= 21; + when "1001000" => + dest <= 53; + src <= 53; + when "1001001" => + dest <= 36; + src <= 49; + when "1001010" => + dest <= 6; + src <= 63; + when "1001011" => + dest <= 29; + src <= 59; + when "1001100" => + dest <= 63; + src <= 4; + when "1001101" => + dest <= 53; + src <= 53; + when "1001110" => + dest <= 48; + src <= 6; + when "1001111" => + dest <= 53; + src <= 36; + when "1010000" => + dest <= 46; + src <= 13; + when "1010001" => + dest <= 37; + src <= 43; + when "1010010" => + dest <= 55; + src <= 53; + when "1010011" => + dest <= 53; + src <= 50; + when "1010100" => + dest <= 53; + src <= 60; + when "1010101" => + dest <= 17; + src <= 5; + when "1010110" => + dest <= 8; + src <= 51; + when others => + dest <= 53; + src <= 53; + + end case; + end process; + + end generate NI_NUM53; + + NI_NUM54 : if NI_NUM = 54 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 59; + src <= 54; + when "0000001" => + dest <= 62; + src <= 54; + when "0000010" => + dest <= 46; + src <= 54; + when "0000011" => + dest <= 26; + src <= 54; + when "0000100" => + dest <= 19; + src <= 46; + when "0000101" => + dest <= 54; + src <= 62; + when "0000110" => + dest <= 11; + src <= 41; + when "0000111" => + dest <= 52; + src <= 27; + when "0001000" => + dest <= 54; + src <= 18; + when "0001001" => + dest <= 12; + src <= 54; + when "0001010" => + dest <= 10; + src <= 54; + when "0001011" => + dest <= 17; + src <= 48; + when "0001100" => + dest <= 4; + src <= 10; + when "0001101" => + dest <= 54; + src <= 17; + when "0001110" => + dest <= 55; + src <= 25; + when "0001111" => + dest <= 43; + src <= 54; + when "0010000" => + dest <= 34; + src <= 24; + when "0010001" => + dest <= 5; + src <= 53; + when "0010010" => + dest <= 54; + src <= 32; + when "0010011" => + dest <= 25; + src <= 26; + when "0010100" => + dest <= 20; + src <= 19; + when "0010101" => + dest <= 60; + src <= 57; + when "0010110" => + dest <= 3; + src <= 39; + when "0010111" => + dest <= 54; + src <= 54; + when "0011000" => + dest <= 13; + src <= 2; + when "0011001" => + dest <= 54; + src <= 54; + when "0011010" => + dest <= 27; + src <= 40; + when "0011011" => + dest <= 16; + src <= 11; + when "0011100" => + dest <= 2; + src <= 16; + when "0011101" => + dest <= 63; + src <= 33; + when "0011110" => + dest <= 54; + src <= 31; + when "0011111" => + dest <= 54; + src <= 54; + when "0100000" => + dest <= 51; + src <= 54; + when "0100001" => + dest <= 42; + src <= 45; + when "0100010" => + dest <= 35; + src <= 9; + when "0100011" => + dest <= 6; + src <= 20; + when "0100100" => + dest <= 28; + src <= 34; + when "0100101" => + dest <= 14; + src <= 49; + when "0100110" => + dest <= 23; + src <= 54; + when "0100111" => + dest <= 8; + src <= 38; + when "0101000" => + dest <= 61; + src <= 58; + when "0101001" => + dest <= 1; + src <= 1; + when "0101010" => + dest <= 54; + src <= 30; + when "0101011" => + dest <= 33; + src <= 8; + when "0101100" => + dest <= 53; + src <= 47; + when "0101101" => + dest <= 24; + src <= 21; + when "0101110" => + dest <= 54; + src <= 28; + when "0101111" => + dest <= 54; + src <= 55; + when "0110000" => + dest <= 21; + src <= 35; + when "0110001" => + dest <= 54; + src <= 54; + when "0110010" => + dest <= 58; + src <= 3; + when "0110011" => + dest <= 54; + src <= 54; + when "0110100" => + dest <= 45; + src <= 12; + when "0110101" => + dest <= 41; + src <= 54; + when "0110110" => + dest <= 54; + src <= 54; + when "0110111" => + dest <= 32; + src <= 23; + when "0111000" => + dest <= 54; + src <= 63; + when "0111001" => + dest <= 31; + src <= 42; + when "0111010" => + dest <= 54; + src <= 54; + when "0111011" => + dest <= 15; + src <= 59; + when "0111100" => + dest <= 29; + src <= 54; + when "0111101" => + dest <= 0; + src <= 4; + when "0111110" => + dest <= 54; + src <= 54; + when "0111111" => + dest <= 36; + src <= 13; + when "1000000" => + dest <= 57; + src <= 54; + when "1000001" => + dest <= 22; + src <= 29; + when "1000010" => + dest <= 54; + src <= 15; + when "1000011" => + dest <= 50; + src <= 36; + when "1000100" => + dest <= 54; + src <= 54; + when "1000101" => + dest <= 44; + src <= 0; + when "1000110" => + dest <= 40; + src <= 43; + when "1000111" => + dest <= 39; + src <= 22; + when "1001000" => + dest <= 54; + src <= 54; + when "1001001" => + dest <= 37; + src <= 50; + when "1001010" => + dest <= 7; + src <= 56; + when "1001011" => + dest <= 30; + src <= 60; + when "1001100" => + dest <= 56; + src <= 5; + when "1001101" => + dest <= 54; + src <= 54; + when "1001110" => + dest <= 49; + src <= 7; + when "1001111" => + dest <= 54; + src <= 37; + when "1010000" => + dest <= 47; + src <= 14; + when "1010001" => + dest <= 38; + src <= 44; + when "1010010" => + dest <= 48; + src <= 54; + when "1010011" => + dest <= 54; + src <= 51; + when "1010100" => + dest <= 54; + src <= 61; + when "1010101" => + dest <= 18; + src <= 6; + when "1010110" => + dest <= 9; + src <= 52; + when others => + dest <= 54; + src <= 54; + + end case; + end process; + + end generate NI_NUM54; + + NI_NUM55 : if NI_NUM = 55 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 60; + src <= 55; + when "0000001" => + dest <= 63; + src <= 55; + when "0000010" => + dest <= 47; + src <= 55; + when "0000011" => + dest <= 27; + src <= 55; + when "0000100" => + dest <= 20; + src <= 47; + when "0000101" => + dest <= 55; + src <= 63; + when "0000110" => + dest <= 12; + src <= 42; + when "0000111" => + dest <= 53; + src <= 28; + when "0001000" => + dest <= 55; + src <= 19; + when "0001001" => + dest <= 13; + src <= 55; + when "0001010" => + dest <= 11; + src <= 55; + when "0001011" => + dest <= 18; + src <= 49; + when "0001100" => + dest <= 5; + src <= 11; + when "0001101" => + dest <= 55; + src <= 18; + when "0001110" => + dest <= 48; + src <= 26; + when "0001111" => + dest <= 44; + src <= 55; + when "0010000" => + dest <= 35; + src <= 25; + when "0010001" => + dest <= 6; + src <= 54; + when "0010010" => + dest <= 55; + src <= 33; + when "0010011" => + dest <= 26; + src <= 27; + when "0010100" => + dest <= 21; + src <= 20; + when "0010101" => + dest <= 61; + src <= 58; + when "0010110" => + dest <= 4; + src <= 32; + when "0010111" => + dest <= 55; + src <= 55; + when "0011000" => + dest <= 14; + src <= 3; + when "0011001" => + dest <= 55; + src <= 55; + when "0011010" => + dest <= 28; + src <= 41; + when "0011011" => + dest <= 17; + src <= 12; + when "0011100" => + dest <= 3; + src <= 17; + when "0011101" => + dest <= 56; + src <= 34; + when "0011110" => + dest <= 55; + src <= 24; + when "0011111" => + dest <= 55; + src <= 55; + when "0100000" => + dest <= 52; + src <= 55; + when "0100001" => + dest <= 43; + src <= 46; + when "0100010" => + dest <= 36; + src <= 10; + when "0100011" => + dest <= 7; + src <= 21; + when "0100100" => + dest <= 29; + src <= 35; + when "0100101" => + dest <= 15; + src <= 50; + when "0100110" => + dest <= 16; + src <= 55; + when "0100111" => + dest <= 9; + src <= 39; + when "0101000" => + dest <= 62; + src <= 59; + when "0101001" => + dest <= 2; + src <= 2; + when "0101010" => + dest <= 55; + src <= 31; + when "0101011" => + dest <= 34; + src <= 9; + when "0101100" => + dest <= 54; + src <= 40; + when "0101101" => + dest <= 25; + src <= 22; + when "0101110" => + dest <= 55; + src <= 29; + when "0101111" => + dest <= 55; + src <= 48; + when "0110000" => + dest <= 22; + src <= 36; + when "0110001" => + dest <= 55; + src <= 55; + when "0110010" => + dest <= 59; + src <= 4; + when "0110011" => + dest <= 55; + src <= 55; + when "0110100" => + dest <= 46; + src <= 13; + when "0110101" => + dest <= 42; + src <= 55; + when "0110110" => + dest <= 55; + src <= 55; + when "0110111" => + dest <= 33; + src <= 16; + when "0111000" => + dest <= 55; + src <= 56; + when "0111001" => + dest <= 24; + src <= 43; + when "0111010" => + dest <= 55; + src <= 55; + when "0111011" => + dest <= 8; + src <= 60; + when "0111100" => + dest <= 30; + src <= 55; + when "0111101" => + dest <= 1; + src <= 5; + when "0111110" => + dest <= 55; + src <= 55; + when "0111111" => + dest <= 37; + src <= 14; + when "1000000" => + dest <= 58; + src <= 55; + when "1000001" => + dest <= 23; + src <= 30; + when "1000010" => + dest <= 55; + src <= 8; + when "1000011" => + dest <= 51; + src <= 37; + when "1000100" => + dest <= 55; + src <= 55; + when "1000101" => + dest <= 45; + src <= 1; + when "1000110" => + dest <= 41; + src <= 44; + when "1000111" => + dest <= 32; + src <= 23; + when "1001000" => + dest <= 55; + src <= 55; + when "1001001" => + dest <= 38; + src <= 51; + when "1001010" => + dest <= 0; + src <= 57; + when "1001011" => + dest <= 31; + src <= 61; + when "1001100" => + dest <= 57; + src <= 6; + when "1001101" => + dest <= 55; + src <= 55; + when "1001110" => + dest <= 50; + src <= 0; + when "1001111" => + dest <= 55; + src <= 38; + when "1010000" => + dest <= 40; + src <= 15; + when "1010001" => + dest <= 39; + src <= 45; + when "1010010" => + dest <= 49; + src <= 55; + when "1010011" => + dest <= 55; + src <= 52; + when "1010100" => + dest <= 55; + src <= 62; + when "1010101" => + dest <= 19; + src <= 7; + when "1010110" => + dest <= 10; + src <= 53; + when others => + dest <= 55; + src <= 55; + + end case; + end process; + + end generate NI_NUM55; + + NI_NUM56 : if NI_NUM = 56 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 5; + src <= 56; + when "0000001" => + dest <= 0; + src <= 56; + when "0000010" => + dest <= 48; + src <= 56; + when "0000011" => + dest <= 36; + src <= 56; + when "0000100" => + dest <= 29; + src <= 48; + when "0000101" => + dest <= 56; + src <= 0; + when "0000110" => + dest <= 21; + src <= 51; + when "0000111" => + dest <= 62; + src <= 37; + when "0001000" => + dest <= 56; + src <= 28; + when "0001001" => + dest <= 22; + src <= 56; + when "0001010" => + dest <= 20; + src <= 56; + when "0001011" => + dest <= 27; + src <= 58; + when "0001100" => + dest <= 14; + src <= 20; + when "0001101" => + dest <= 56; + src <= 27; + when "0001110" => + dest <= 57; + src <= 35; + when "0001111" => + dest <= 53; + src <= 56; + when "0010000" => + dest <= 44; + src <= 34; + when "0010001" => + dest <= 15; + src <= 63; + when "0010010" => + dest <= 56; + src <= 42; + when "0010011" => + dest <= 35; + src <= 36; + when "0010100" => + dest <= 30; + src <= 29; + when "0010101" => + dest <= 6; + src <= 3; + when "0010110" => + dest <= 13; + src <= 41; + when "0010111" => + dest <= 56; + src <= 56; + when "0011000" => + dest <= 23; + src <= 12; + when "0011001" => + dest <= 56; + src <= 56; + when "0011010" => + dest <= 37; + src <= 50; + when "0011011" => + dest <= 26; + src <= 21; + when "0011100" => + dest <= 12; + src <= 26; + when "0011101" => + dest <= 1; + src <= 43; + when "0011110" => + dest <= 56; + src <= 33; + when "0011111" => + dest <= 56; + src <= 56; + when "0100000" => + dest <= 61; + src <= 56; + when "0100001" => + dest <= 52; + src <= 55; + when "0100010" => + dest <= 45; + src <= 19; + when "0100011" => + dest <= 8; + src <= 30; + when "0100100" => + dest <= 38; + src <= 44; + when "0100101" => + dest <= 16; + src <= 59; + when "0100110" => + dest <= 25; + src <= 56; + when "0100111" => + dest <= 18; + src <= 40; + when "0101000" => + dest <= 7; + src <= 4; + when "0101001" => + dest <= 11; + src <= 11; + when "0101010" => + dest <= 56; + src <= 32; + when "0101011" => + dest <= 43; + src <= 18; + when "0101100" => + dest <= 63; + src <= 49; + when "0101101" => + dest <= 34; + src <= 31; + when "0101110" => + dest <= 56; + src <= 38; + when "0101111" => + dest <= 56; + src <= 57; + when "0110000" => + dest <= 31; + src <= 45; + when "0110001" => + dest <= 56; + src <= 56; + when "0110010" => + dest <= 4; + src <= 13; + when "0110011" => + dest <= 56; + src <= 56; + when "0110100" => + dest <= 55; + src <= 22; + when "0110101" => + dest <= 51; + src <= 56; + when "0110110" => + dest <= 56; + src <= 56; + when "0110111" => + dest <= 42; + src <= 25; + when "0111000" => + dest <= 56; + src <= 1; + when "0111001" => + dest <= 33; + src <= 52; + when "0111010" => + dest <= 56; + src <= 56; + when "0111011" => + dest <= 17; + src <= 5; + when "0111100" => + dest <= 39; + src <= 56; + when "0111101" => + dest <= 10; + src <= 14; + when "0111110" => + dest <= 56; + src <= 56; + when "0111111" => + dest <= 46; + src <= 23; + when "1000000" => + dest <= 3; + src <= 56; + when "1000001" => + dest <= 24; + src <= 39; + when "1000010" => + dest <= 56; + src <= 17; + when "1000011" => + dest <= 60; + src <= 46; + when "1000100" => + dest <= 56; + src <= 56; + when "1000101" => + dest <= 54; + src <= 10; + when "1000110" => + dest <= 50; + src <= 53; + when "1000111" => + dest <= 41; + src <= 24; + when "1001000" => + dest <= 56; + src <= 56; + when "1001001" => + dest <= 47; + src <= 60; + when "1001010" => + dest <= 9; + src <= 2; + when "1001011" => + dest <= 32; + src <= 6; + when "1001100" => + dest <= 2; + src <= 15; + when "1001101" => + dest <= 56; + src <= 56; + when "1001110" => + dest <= 59; + src <= 9; + when "1001111" => + dest <= 56; + src <= 47; + when "1010000" => + dest <= 49; + src <= 16; + when "1010001" => + dest <= 40; + src <= 54; + when "1010010" => + dest <= 58; + src <= 56; + when "1010011" => + dest <= 56; + src <= 61; + when "1010100" => + dest <= 56; + src <= 7; + when "1010101" => + dest <= 28; + src <= 8; + when "1010110" => + dest <= 19; + src <= 62; + when others => + dest <= 56; + src <= 56; + + end case; + end process; + + end generate NI_NUM56; + + NI_NUM57 : if NI_NUM = 57 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 6; + src <= 57; + when "0000001" => + dest <= 1; + src <= 57; + when "0000010" => + dest <= 49; + src <= 57; + when "0000011" => + dest <= 37; + src <= 57; + when "0000100" => + dest <= 30; + src <= 49; + when "0000101" => + dest <= 57; + src <= 1; + when "0000110" => + dest <= 22; + src <= 52; + when "0000111" => + dest <= 63; + src <= 38; + when "0001000" => + dest <= 57; + src <= 29; + when "0001001" => + dest <= 23; + src <= 57; + when "0001010" => + dest <= 21; + src <= 57; + when "0001011" => + dest <= 28; + src <= 59; + when "0001100" => + dest <= 15; + src <= 21; + when "0001101" => + dest <= 57; + src <= 28; + when "0001110" => + dest <= 58; + src <= 36; + when "0001111" => + dest <= 54; + src <= 57; + when "0010000" => + dest <= 45; + src <= 35; + when "0010001" => + dest <= 8; + src <= 56; + when "0010010" => + dest <= 57; + src <= 43; + when "0010011" => + dest <= 36; + src <= 37; + when "0010100" => + dest <= 31; + src <= 30; + when "0010101" => + dest <= 7; + src <= 4; + when "0010110" => + dest <= 14; + src <= 42; + when "0010111" => + dest <= 57; + src <= 57; + when "0011000" => + dest <= 16; + src <= 13; + when "0011001" => + dest <= 57; + src <= 57; + when "0011010" => + dest <= 38; + src <= 51; + when "0011011" => + dest <= 27; + src <= 22; + when "0011100" => + dest <= 13; + src <= 27; + when "0011101" => + dest <= 2; + src <= 44; + when "0011110" => + dest <= 57; + src <= 34; + when "0011111" => + dest <= 57; + src <= 57; + when "0100000" => + dest <= 62; + src <= 57; + when "0100001" => + dest <= 53; + src <= 48; + when "0100010" => + dest <= 46; + src <= 20; + when "0100011" => + dest <= 9; + src <= 31; + when "0100100" => + dest <= 39; + src <= 45; + when "0100101" => + dest <= 17; + src <= 60; + when "0100110" => + dest <= 26; + src <= 57; + when "0100111" => + dest <= 19; + src <= 41; + when "0101000" => + dest <= 0; + src <= 5; + when "0101001" => + dest <= 12; + src <= 12; + when "0101010" => + dest <= 57; + src <= 33; + when "0101011" => + dest <= 44; + src <= 19; + when "0101100" => + dest <= 56; + src <= 50; + when "0101101" => + dest <= 35; + src <= 24; + when "0101110" => + dest <= 57; + src <= 39; + when "0101111" => + dest <= 57; + src <= 58; + when "0110000" => + dest <= 24; + src <= 46; + when "0110001" => + dest <= 57; + src <= 57; + when "0110010" => + dest <= 5; + src <= 14; + when "0110011" => + dest <= 57; + src <= 57; + when "0110100" => + dest <= 48; + src <= 23; + when "0110101" => + dest <= 52; + src <= 57; + when "0110110" => + dest <= 57; + src <= 57; + when "0110111" => + dest <= 43; + src <= 26; + when "0111000" => + dest <= 57; + src <= 2; + when "0111001" => + dest <= 34; + src <= 53; + when "0111010" => + dest <= 57; + src <= 57; + when "0111011" => + dest <= 18; + src <= 6; + when "0111100" => + dest <= 32; + src <= 57; + when "0111101" => + dest <= 11; + src <= 15; + when "0111110" => + dest <= 57; + src <= 57; + when "0111111" => + dest <= 47; + src <= 16; + when "1000000" => + dest <= 4; + src <= 57; + when "1000001" => + dest <= 25; + src <= 32; + when "1000010" => + dest <= 57; + src <= 18; + when "1000011" => + dest <= 61; + src <= 47; + when "1000100" => + dest <= 57; + src <= 57; + when "1000101" => + dest <= 55; + src <= 11; + when "1000110" => + dest <= 51; + src <= 54; + when "1000111" => + dest <= 42; + src <= 25; + when "1001000" => + dest <= 57; + src <= 57; + when "1001001" => + dest <= 40; + src <= 61; + when "1001010" => + dest <= 10; + src <= 3; + when "1001011" => + dest <= 33; + src <= 7; + when "1001100" => + dest <= 3; + src <= 8; + when "1001101" => + dest <= 57; + src <= 57; + when "1001110" => + dest <= 60; + src <= 10; + when "1001111" => + dest <= 57; + src <= 40; + when "1010000" => + dest <= 50; + src <= 17; + when "1010001" => + dest <= 41; + src <= 55; + when "1010010" => + dest <= 59; + src <= 57; + when "1010011" => + dest <= 57; + src <= 62; + when "1010100" => + dest <= 57; + src <= 0; + when "1010101" => + dest <= 29; + src <= 9; + when "1010110" => + dest <= 20; + src <= 63; + when others => + dest <= 57; + src <= 57; + + end case; + end process; + + end generate NI_NUM57; + + NI_NUM58 : if NI_NUM = 58 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 7; + src <= 58; + when "0000001" => + dest <= 2; + src <= 58; + when "0000010" => + dest <= 50; + src <= 58; + when "0000011" => + dest <= 38; + src <= 58; + when "0000100" => + dest <= 31; + src <= 50; + when "0000101" => + dest <= 58; + src <= 2; + when "0000110" => + dest <= 23; + src <= 53; + when "0000111" => + dest <= 56; + src <= 39; + when "0001000" => + dest <= 58; + src <= 30; + when "0001001" => + dest <= 16; + src <= 58; + when "0001010" => + dest <= 22; + src <= 58; + when "0001011" => + dest <= 29; + src <= 60; + when "0001100" => + dest <= 8; + src <= 22; + when "0001101" => + dest <= 58; + src <= 29; + when "0001110" => + dest <= 59; + src <= 37; + when "0001111" => + dest <= 55; + src <= 58; + when "0010000" => + dest <= 46; + src <= 36; + when "0010001" => + dest <= 9; + src <= 57; + when "0010010" => + dest <= 58; + src <= 44; + when "0010011" => + dest <= 37; + src <= 38; + when "0010100" => + dest <= 24; + src <= 31; + when "0010101" => + dest <= 0; + src <= 5; + when "0010110" => + dest <= 15; + src <= 43; + when "0010111" => + dest <= 58; + src <= 58; + when "0011000" => + dest <= 17; + src <= 14; + when "0011001" => + dest <= 58; + src <= 58; + when "0011010" => + dest <= 39; + src <= 52; + when "0011011" => + dest <= 28; + src <= 23; + when "0011100" => + dest <= 14; + src <= 28; + when "0011101" => + dest <= 3; + src <= 45; + when "0011110" => + dest <= 58; + src <= 35; + when "0011111" => + dest <= 58; + src <= 58; + when "0100000" => + dest <= 63; + src <= 58; + when "0100001" => + dest <= 54; + src <= 49; + when "0100010" => + dest <= 47; + src <= 21; + when "0100011" => + dest <= 10; + src <= 24; + when "0100100" => + dest <= 32; + src <= 46; + when "0100101" => + dest <= 18; + src <= 61; + when "0100110" => + dest <= 27; + src <= 58; + when "0100111" => + dest <= 20; + src <= 42; + when "0101000" => + dest <= 1; + src <= 6; + when "0101001" => + dest <= 13; + src <= 13; + when "0101010" => + dest <= 58; + src <= 34; + when "0101011" => + dest <= 45; + src <= 20; + when "0101100" => + dest <= 57; + src <= 51; + when "0101101" => + dest <= 36; + src <= 25; + when "0101110" => + dest <= 58; + src <= 32; + when "0101111" => + dest <= 58; + src <= 59; + when "0110000" => + dest <= 25; + src <= 47; + when "0110001" => + dest <= 58; + src <= 58; + when "0110010" => + dest <= 6; + src <= 15; + when "0110011" => + dest <= 58; + src <= 58; + when "0110100" => + dest <= 49; + src <= 16; + when "0110101" => + dest <= 53; + src <= 58; + when "0110110" => + dest <= 58; + src <= 58; + when "0110111" => + dest <= 44; + src <= 27; + when "0111000" => + dest <= 58; + src <= 3; + when "0111001" => + dest <= 35; + src <= 54; + when "0111010" => + dest <= 58; + src <= 58; + when "0111011" => + dest <= 19; + src <= 7; + when "0111100" => + dest <= 33; + src <= 58; + when "0111101" => + dest <= 12; + src <= 8; + when "0111110" => + dest <= 58; + src <= 58; + when "0111111" => + dest <= 40; + src <= 17; + when "1000000" => + dest <= 5; + src <= 58; + when "1000001" => + dest <= 26; + src <= 33; + when "1000010" => + dest <= 58; + src <= 19; + when "1000011" => + dest <= 62; + src <= 40; + when "1000100" => + dest <= 58; + src <= 58; + when "1000101" => + dest <= 48; + src <= 12; + when "1000110" => + dest <= 52; + src <= 55; + when "1000111" => + dest <= 43; + src <= 26; + when "1001000" => + dest <= 58; + src <= 58; + when "1001001" => + dest <= 41; + src <= 62; + when "1001010" => + dest <= 11; + src <= 4; + when "1001011" => + dest <= 34; + src <= 0; + when "1001100" => + dest <= 4; + src <= 9; + when "1001101" => + dest <= 58; + src <= 58; + when "1001110" => + dest <= 61; + src <= 11; + when "1001111" => + dest <= 58; + src <= 41; + when "1010000" => + dest <= 51; + src <= 18; + when "1010001" => + dest <= 42; + src <= 48; + when "1010010" => + dest <= 60; + src <= 58; + when "1010011" => + dest <= 58; + src <= 63; + when "1010100" => + dest <= 58; + src <= 1; + when "1010101" => + dest <= 30; + src <= 10; + when "1010110" => + dest <= 21; + src <= 56; + when others => + dest <= 58; + src <= 58; + + end case; + end process; + + end generate NI_NUM58; + + NI_NUM59 : if NI_NUM = 59 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 0; + src <= 59; + when "0000001" => + dest <= 3; + src <= 59; + when "0000010" => + dest <= 51; + src <= 59; + when "0000011" => + dest <= 39; + src <= 59; + when "0000100" => + dest <= 24; + src <= 51; + when "0000101" => + dest <= 59; + src <= 3; + when "0000110" => + dest <= 16; + src <= 54; + when "0000111" => + dest <= 57; + src <= 32; + when "0001000" => + dest <= 59; + src <= 31; + when "0001001" => + dest <= 17; + src <= 59; + when "0001010" => + dest <= 23; + src <= 59; + when "0001011" => + dest <= 30; + src <= 61; + when "0001100" => + dest <= 9; + src <= 23; + when "0001101" => + dest <= 59; + src <= 30; + when "0001110" => + dest <= 60; + src <= 38; + when "0001111" => + dest <= 48; + src <= 59; + when "0010000" => + dest <= 47; + src <= 37; + when "0010001" => + dest <= 10; + src <= 58; + when "0010010" => + dest <= 59; + src <= 45; + when "0010011" => + dest <= 38; + src <= 39; + when "0010100" => + dest <= 25; + src <= 24; + when "0010101" => + dest <= 1; + src <= 6; + when "0010110" => + dest <= 8; + src <= 44; + when "0010111" => + dest <= 59; + src <= 59; + when "0011000" => + dest <= 18; + src <= 15; + when "0011001" => + dest <= 59; + src <= 59; + when "0011010" => + dest <= 32; + src <= 53; + when "0011011" => + dest <= 29; + src <= 16; + when "0011100" => + dest <= 15; + src <= 29; + when "0011101" => + dest <= 4; + src <= 46; + when "0011110" => + dest <= 59; + src <= 36; + when "0011111" => + dest <= 59; + src <= 59; + when "0100000" => + dest <= 56; + src <= 59; + when "0100001" => + dest <= 55; + src <= 50; + when "0100010" => + dest <= 40; + src <= 22; + when "0100011" => + dest <= 11; + src <= 25; + when "0100100" => + dest <= 33; + src <= 47; + when "0100101" => + dest <= 19; + src <= 62; + when "0100110" => + dest <= 28; + src <= 59; + when "0100111" => + dest <= 21; + src <= 43; + when "0101000" => + dest <= 2; + src <= 7; + when "0101001" => + dest <= 14; + src <= 14; + when "0101010" => + dest <= 59; + src <= 35; + when "0101011" => + dest <= 46; + src <= 21; + when "0101100" => + dest <= 58; + src <= 52; + when "0101101" => + dest <= 37; + src <= 26; + when "0101110" => + dest <= 59; + src <= 33; + when "0101111" => + dest <= 59; + src <= 60; + when "0110000" => + dest <= 26; + src <= 40; + when "0110001" => + dest <= 59; + src <= 59; + when "0110010" => + dest <= 7; + src <= 8; + when "0110011" => + dest <= 59; + src <= 59; + when "0110100" => + dest <= 50; + src <= 17; + when "0110101" => + dest <= 54; + src <= 59; + when "0110110" => + dest <= 59; + src <= 59; + when "0110111" => + dest <= 45; + src <= 28; + when "0111000" => + dest <= 59; + src <= 4; + when "0111001" => + dest <= 36; + src <= 55; + when "0111010" => + dest <= 59; + src <= 59; + when "0111011" => + dest <= 20; + src <= 0; + when "0111100" => + dest <= 34; + src <= 59; + when "0111101" => + dest <= 13; + src <= 9; + when "0111110" => + dest <= 59; + src <= 59; + when "0111111" => + dest <= 41; + src <= 18; + when "1000000" => + dest <= 6; + src <= 59; + when "1000001" => + dest <= 27; + src <= 34; + when "1000010" => + dest <= 59; + src <= 20; + when "1000011" => + dest <= 63; + src <= 41; + when "1000100" => + dest <= 59; + src <= 59; + when "1000101" => + dest <= 49; + src <= 13; + when "1000110" => + dest <= 53; + src <= 48; + when "1000111" => + dest <= 44; + src <= 27; + when "1001000" => + dest <= 59; + src <= 59; + when "1001001" => + dest <= 42; + src <= 63; + when "1001010" => + dest <= 12; + src <= 5; + when "1001011" => + dest <= 35; + src <= 1; + when "1001100" => + dest <= 5; + src <= 10; + when "1001101" => + dest <= 59; + src <= 59; + when "1001110" => + dest <= 62; + src <= 12; + when "1001111" => + dest <= 59; + src <= 42; + when "1010000" => + dest <= 52; + src <= 19; + when "1010001" => + dest <= 43; + src <= 49; + when "1010010" => + dest <= 61; + src <= 59; + when "1010011" => + dest <= 59; + src <= 56; + when "1010100" => + dest <= 59; + src <= 2; + when "1010101" => + dest <= 31; + src <= 11; + when "1010110" => + dest <= 22; + src <= 57; + when others => + dest <= 59; + src <= 59; + + end case; + end process; + + end generate NI_NUM59; + + NI_NUM60 : if NI_NUM = 60 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 1; + src <= 60; + when "0000001" => + dest <= 4; + src <= 60; + when "0000010" => + dest <= 52; + src <= 60; + when "0000011" => + dest <= 32; + src <= 60; + when "0000100" => + dest <= 25; + src <= 52; + when "0000101" => + dest <= 60; + src <= 4; + when "0000110" => + dest <= 17; + src <= 55; + when "0000111" => + dest <= 58; + src <= 33; + when "0001000" => + dest <= 60; + src <= 24; + when "0001001" => + dest <= 18; + src <= 60; + when "0001010" => + dest <= 16; + src <= 60; + when "0001011" => + dest <= 31; + src <= 62; + when "0001100" => + dest <= 10; + src <= 16; + when "0001101" => + dest <= 60; + src <= 31; + when "0001110" => + dest <= 61; + src <= 39; + when "0001111" => + dest <= 49; + src <= 60; + when "0010000" => + dest <= 40; + src <= 38; + when "0010001" => + dest <= 11; + src <= 59; + when "0010010" => + dest <= 60; + src <= 46; + when "0010011" => + dest <= 39; + src <= 32; + when "0010100" => + dest <= 26; + src <= 25; + when "0010101" => + dest <= 2; + src <= 7; + when "0010110" => + dest <= 9; + src <= 45; + when "0010111" => + dest <= 60; + src <= 60; + when "0011000" => + dest <= 19; + src <= 8; + when "0011001" => + dest <= 60; + src <= 60; + when "0011010" => + dest <= 33; + src <= 54; + when "0011011" => + dest <= 30; + src <= 17; + when "0011100" => + dest <= 8; + src <= 30; + when "0011101" => + dest <= 5; + src <= 47; + when "0011110" => + dest <= 60; + src <= 37; + when "0011111" => + dest <= 60; + src <= 60; + when "0100000" => + dest <= 57; + src <= 60; + when "0100001" => + dest <= 48; + src <= 51; + when "0100010" => + dest <= 41; + src <= 23; + when "0100011" => + dest <= 12; + src <= 26; + when "0100100" => + dest <= 34; + src <= 40; + when "0100101" => + dest <= 20; + src <= 63; + when "0100110" => + dest <= 29; + src <= 60; + when "0100111" => + dest <= 22; + src <= 44; + when "0101000" => + dest <= 3; + src <= 0; + when "0101001" => + dest <= 15; + src <= 15; + when "0101010" => + dest <= 60; + src <= 36; + when "0101011" => + dest <= 47; + src <= 22; + when "0101100" => + dest <= 59; + src <= 53; + when "0101101" => + dest <= 38; + src <= 27; + when "0101110" => + dest <= 60; + src <= 34; + when "0101111" => + dest <= 60; + src <= 61; + when "0110000" => + dest <= 27; + src <= 41; + when "0110001" => + dest <= 60; + src <= 60; + when "0110010" => + dest <= 0; + src <= 9; + when "0110011" => + dest <= 60; + src <= 60; + when "0110100" => + dest <= 51; + src <= 18; + when "0110101" => + dest <= 55; + src <= 60; + when "0110110" => + dest <= 60; + src <= 60; + when "0110111" => + dest <= 46; + src <= 29; + when "0111000" => + dest <= 60; + src <= 5; + when "0111001" => + dest <= 37; + src <= 48; + when "0111010" => + dest <= 60; + src <= 60; + when "0111011" => + dest <= 21; + src <= 1; + when "0111100" => + dest <= 35; + src <= 60; + when "0111101" => + dest <= 14; + src <= 10; + when "0111110" => + dest <= 60; + src <= 60; + when "0111111" => + dest <= 42; + src <= 19; + when "1000000" => + dest <= 7; + src <= 60; + when "1000001" => + dest <= 28; + src <= 35; + when "1000010" => + dest <= 60; + src <= 21; + when "1000011" => + dest <= 56; + src <= 42; + when "1000100" => + dest <= 60; + src <= 60; + when "1000101" => + dest <= 50; + src <= 14; + when "1000110" => + dest <= 54; + src <= 49; + when "1000111" => + dest <= 45; + src <= 28; + when "1001000" => + dest <= 60; + src <= 60; + when "1001001" => + dest <= 43; + src <= 56; + when "1001010" => + dest <= 13; + src <= 6; + when "1001011" => + dest <= 36; + src <= 2; + when "1001100" => + dest <= 6; + src <= 11; + when "1001101" => + dest <= 60; + src <= 60; + when "1001110" => + dest <= 63; + src <= 13; + when "1001111" => + dest <= 60; + src <= 43; + when "1010000" => + dest <= 53; + src <= 20; + when "1010001" => + dest <= 44; + src <= 50; + when "1010010" => + dest <= 62; + src <= 60; + when "1010011" => + dest <= 60; + src <= 57; + when "1010100" => + dest <= 60; + src <= 3; + when "1010101" => + dest <= 24; + src <= 12; + when "1010110" => + dest <= 23; + src <= 58; + when others => + dest <= 60; + src <= 60; + + end case; + end process; + + end generate NI_NUM60; + + NI_NUM61 : if NI_NUM = 61 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 2; + src <= 61; + when "0000001" => + dest <= 5; + src <= 61; + when "0000010" => + dest <= 53; + src <= 61; + when "0000011" => + dest <= 33; + src <= 61; + when "0000100" => + dest <= 26; + src <= 53; + when "0000101" => + dest <= 61; + src <= 5; + when "0000110" => + dest <= 18; + src <= 48; + when "0000111" => + dest <= 59; + src <= 34; + when "0001000" => + dest <= 61; + src <= 25; + when "0001001" => + dest <= 19; + src <= 61; + when "0001010" => + dest <= 17; + src <= 61; + when "0001011" => + dest <= 24; + src <= 63; + when "0001100" => + dest <= 11; + src <= 17; + when "0001101" => + dest <= 61; + src <= 24; + when "0001110" => + dest <= 62; + src <= 32; + when "0001111" => + dest <= 50; + src <= 61; + when "0010000" => + dest <= 41; + src <= 39; + when "0010001" => + dest <= 12; + src <= 60; + when "0010010" => + dest <= 61; + src <= 47; + when "0010011" => + dest <= 32; + src <= 33; + when "0010100" => + dest <= 27; + src <= 26; + when "0010101" => + dest <= 3; + src <= 0; + when "0010110" => + dest <= 10; + src <= 46; + when "0010111" => + dest <= 61; + src <= 61; + when "0011000" => + dest <= 20; + src <= 9; + when "0011001" => + dest <= 61; + src <= 61; + when "0011010" => + dest <= 34; + src <= 55; + when "0011011" => + dest <= 31; + src <= 18; + when "0011100" => + dest <= 9; + src <= 31; + when "0011101" => + dest <= 6; + src <= 40; + when "0011110" => + dest <= 61; + src <= 38; + when "0011111" => + dest <= 61; + src <= 61; + when "0100000" => + dest <= 58; + src <= 61; + when "0100001" => + dest <= 49; + src <= 52; + when "0100010" => + dest <= 42; + src <= 16; + when "0100011" => + dest <= 13; + src <= 27; + when "0100100" => + dest <= 35; + src <= 41; + when "0100101" => + dest <= 21; + src <= 56; + when "0100110" => + dest <= 30; + src <= 61; + when "0100111" => + dest <= 23; + src <= 45; + when "0101000" => + dest <= 4; + src <= 1; + when "0101001" => + dest <= 8; + src <= 8; + when "0101010" => + dest <= 61; + src <= 37; + when "0101011" => + dest <= 40; + src <= 23; + when "0101100" => + dest <= 60; + src <= 54; + when "0101101" => + dest <= 39; + src <= 28; + when "0101110" => + dest <= 61; + src <= 35; + when "0101111" => + dest <= 61; + src <= 62; + when "0110000" => + dest <= 28; + src <= 42; + when "0110001" => + dest <= 61; + src <= 61; + when "0110010" => + dest <= 1; + src <= 10; + when "0110011" => + dest <= 61; + src <= 61; + when "0110100" => + dest <= 52; + src <= 19; + when "0110101" => + dest <= 48; + src <= 61; + when "0110110" => + dest <= 61; + src <= 61; + when "0110111" => + dest <= 47; + src <= 30; + when "0111000" => + dest <= 61; + src <= 6; + when "0111001" => + dest <= 38; + src <= 49; + when "0111010" => + dest <= 61; + src <= 61; + when "0111011" => + dest <= 22; + src <= 2; + when "0111100" => + dest <= 36; + src <= 61; + when "0111101" => + dest <= 15; + src <= 11; + when "0111110" => + dest <= 61; + src <= 61; + when "0111111" => + dest <= 43; + src <= 20; + when "1000000" => + dest <= 0; + src <= 61; + when "1000001" => + dest <= 29; + src <= 36; + when "1000010" => + dest <= 61; + src <= 22; + when "1000011" => + dest <= 57; + src <= 43; + when "1000100" => + dest <= 61; + src <= 61; + when "1000101" => + dest <= 51; + src <= 15; + when "1000110" => + dest <= 55; + src <= 50; + when "1000111" => + dest <= 46; + src <= 29; + when "1001000" => + dest <= 61; + src <= 61; + when "1001001" => + dest <= 44; + src <= 57; + when "1001010" => + dest <= 14; + src <= 7; + when "1001011" => + dest <= 37; + src <= 3; + when "1001100" => + dest <= 7; + src <= 12; + when "1001101" => + dest <= 61; + src <= 61; + when "1001110" => + dest <= 56; + src <= 14; + when "1001111" => + dest <= 61; + src <= 44; + when "1010000" => + dest <= 54; + src <= 21; + when "1010001" => + dest <= 45; + src <= 51; + when "1010010" => + dest <= 63; + src <= 61; + when "1010011" => + dest <= 61; + src <= 58; + when "1010100" => + dest <= 61; + src <= 4; + when "1010101" => + dest <= 25; + src <= 13; + when "1010110" => + dest <= 16; + src <= 59; + when others => + dest <= 61; + src <= 61; + + end case; + end process; + + end generate NI_NUM61; + + NI_NUM62 : if NI_NUM = 62 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 3; + src <= 62; + when "0000001" => + dest <= 6; + src <= 62; + when "0000010" => + dest <= 54; + src <= 62; + when "0000011" => + dest <= 34; + src <= 62; + when "0000100" => + dest <= 27; + src <= 54; + when "0000101" => + dest <= 62; + src <= 6; + when "0000110" => + dest <= 19; + src <= 49; + when "0000111" => + dest <= 60; + src <= 35; + when "0001000" => + dest <= 62; + src <= 26; + when "0001001" => + dest <= 20; + src <= 62; + when "0001010" => + dest <= 18; + src <= 62; + when "0001011" => + dest <= 25; + src <= 56; + when "0001100" => + dest <= 12; + src <= 18; + when "0001101" => + dest <= 62; + src <= 25; + when "0001110" => + dest <= 63; + src <= 33; + when "0001111" => + dest <= 51; + src <= 62; + when "0010000" => + dest <= 42; + src <= 32; + when "0010001" => + dest <= 13; + src <= 61; + when "0010010" => + dest <= 62; + src <= 40; + when "0010011" => + dest <= 33; + src <= 34; + when "0010100" => + dest <= 28; + src <= 27; + when "0010101" => + dest <= 4; + src <= 1; + when "0010110" => + dest <= 11; + src <= 47; + when "0010111" => + dest <= 62; + src <= 62; + when "0011000" => + dest <= 21; + src <= 10; + when "0011001" => + dest <= 62; + src <= 62; + when "0011010" => + dest <= 35; + src <= 48; + when "0011011" => + dest <= 24; + src <= 19; + when "0011100" => + dest <= 10; + src <= 24; + when "0011101" => + dest <= 7; + src <= 41; + when "0011110" => + dest <= 62; + src <= 39; + when "0011111" => + dest <= 62; + src <= 62; + when "0100000" => + dest <= 59; + src <= 62; + when "0100001" => + dest <= 50; + src <= 53; + when "0100010" => + dest <= 43; + src <= 17; + when "0100011" => + dest <= 14; + src <= 28; + when "0100100" => + dest <= 36; + src <= 42; + when "0100101" => + dest <= 22; + src <= 57; + when "0100110" => + dest <= 31; + src <= 62; + when "0100111" => + dest <= 16; + src <= 46; + when "0101000" => + dest <= 5; + src <= 2; + when "0101001" => + dest <= 9; + src <= 9; + when "0101010" => + dest <= 62; + src <= 38; + when "0101011" => + dest <= 41; + src <= 16; + when "0101100" => + dest <= 61; + src <= 55; + when "0101101" => + dest <= 32; + src <= 29; + when "0101110" => + dest <= 62; + src <= 36; + when "0101111" => + dest <= 62; + src <= 63; + when "0110000" => + dest <= 29; + src <= 43; + when "0110001" => + dest <= 62; + src <= 62; + when "0110010" => + dest <= 2; + src <= 11; + when "0110011" => + dest <= 62; + src <= 62; + when "0110100" => + dest <= 53; + src <= 20; + when "0110101" => + dest <= 49; + src <= 62; + when "0110110" => + dest <= 62; + src <= 62; + when "0110111" => + dest <= 40; + src <= 31; + when "0111000" => + dest <= 62; + src <= 7; + when "0111001" => + dest <= 39; + src <= 50; + when "0111010" => + dest <= 62; + src <= 62; + when "0111011" => + dest <= 23; + src <= 3; + when "0111100" => + dest <= 37; + src <= 62; + when "0111101" => + dest <= 8; + src <= 12; + when "0111110" => + dest <= 62; + src <= 62; + when "0111111" => + dest <= 44; + src <= 21; + when "1000000" => + dest <= 1; + src <= 62; + when "1000001" => + dest <= 30; + src <= 37; + when "1000010" => + dest <= 62; + src <= 23; + when "1000011" => + dest <= 58; + src <= 44; + when "1000100" => + dest <= 62; + src <= 62; + when "1000101" => + dest <= 52; + src <= 8; + when "1000110" => + dest <= 48; + src <= 51; + when "1000111" => + dest <= 47; + src <= 30; + when "1001000" => + dest <= 62; + src <= 62; + when "1001001" => + dest <= 45; + src <= 58; + when "1001010" => + dest <= 15; + src <= 0; + when "1001011" => + dest <= 38; + src <= 4; + when "1001100" => + dest <= 0; + src <= 13; + when "1001101" => + dest <= 62; + src <= 62; + when "1001110" => + dest <= 57; + src <= 15; + when "1001111" => + dest <= 62; + src <= 45; + when "1010000" => + dest <= 55; + src <= 22; + when "1010001" => + dest <= 46; + src <= 52; + when "1010010" => + dest <= 56; + src <= 62; + when "1010011" => + dest <= 62; + src <= 59; + when "1010100" => + dest <= 62; + src <= 5; + when "1010101" => + dest <= 26; + src <= 14; + when "1010110" => + dest <= 17; + src <= 60; + when others => + dest <= 62; + src <= 62; + + end case; + end process; + + end generate NI_NUM62; + + NI_NUM63 : if NI_NUM = 63 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 4; + src <= 63; + when "0000001" => + dest <= 7; + src <= 63; + when "0000010" => + dest <= 55; + src <= 63; + when "0000011" => + dest <= 35; + src <= 63; + when "0000100" => + dest <= 28; + src <= 55; + when "0000101" => + dest <= 63; + src <= 7; + when "0000110" => + dest <= 20; + src <= 50; + when "0000111" => + dest <= 61; + src <= 36; + when "0001000" => + dest <= 63; + src <= 27; + when "0001001" => + dest <= 21; + src <= 63; + when "0001010" => + dest <= 19; + src <= 63; + when "0001011" => + dest <= 26; + src <= 57; + when "0001100" => + dest <= 13; + src <= 19; + when "0001101" => + dest <= 63; + src <= 26; + when "0001110" => + dest <= 56; + src <= 34; + when "0001111" => + dest <= 52; + src <= 63; + when "0010000" => + dest <= 43; + src <= 33; + when "0010001" => + dest <= 14; + src <= 62; + when "0010010" => + dest <= 63; + src <= 41; + when "0010011" => + dest <= 34; + src <= 35; + when "0010100" => + dest <= 29; + src <= 28; + when "0010101" => + dest <= 5; + src <= 2; + when "0010110" => + dest <= 12; + src <= 40; + when "0010111" => + dest <= 63; + src <= 63; + when "0011000" => + dest <= 22; + src <= 11; + when "0011001" => + dest <= 63; + src <= 63; + when "0011010" => + dest <= 36; + src <= 49; + when "0011011" => + dest <= 25; + src <= 20; + when "0011100" => + dest <= 11; + src <= 25; + when "0011101" => + dest <= 0; + src <= 42; + when "0011110" => + dest <= 63; + src <= 32; + when "0011111" => + dest <= 63; + src <= 63; + when "0100000" => + dest <= 60; + src <= 63; + when "0100001" => + dest <= 51; + src <= 54; + when "0100010" => + dest <= 44; + src <= 18; + when "0100011" => + dest <= 15; + src <= 29; + when "0100100" => + dest <= 37; + src <= 43; + when "0100101" => + dest <= 23; + src <= 58; + when "0100110" => + dest <= 24; + src <= 63; + when "0100111" => + dest <= 17; + src <= 47; + when "0101000" => + dest <= 6; + src <= 3; + when "0101001" => + dest <= 10; + src <= 10; + when "0101010" => + dest <= 63; + src <= 39; + when "0101011" => + dest <= 42; + src <= 17; + when "0101100" => + dest <= 62; + src <= 48; + when "0101101" => + dest <= 33; + src <= 30; + when "0101110" => + dest <= 63; + src <= 37; + when "0101111" => + dest <= 63; + src <= 56; + when "0110000" => + dest <= 30; + src <= 44; + when "0110001" => + dest <= 63; + src <= 63; + when "0110010" => + dest <= 3; + src <= 12; + when "0110011" => + dest <= 63; + src <= 63; + when "0110100" => + dest <= 54; + src <= 21; + when "0110101" => + dest <= 50; + src <= 63; + when "0110110" => + dest <= 63; + src <= 63; + when "0110111" => + dest <= 41; + src <= 24; + when "0111000" => + dest <= 63; + src <= 0; + when "0111001" => + dest <= 32; + src <= 51; + when "0111010" => + dest <= 63; + src <= 63; + when "0111011" => + dest <= 16; + src <= 4; + when "0111100" => + dest <= 38; + src <= 63; + when "0111101" => + dest <= 9; + src <= 13; + when "0111110" => + dest <= 63; + src <= 63; + when "0111111" => + dest <= 45; + src <= 22; + when "1000000" => + dest <= 2; + src <= 63; + when "1000001" => + dest <= 31; + src <= 38; + when "1000010" => + dest <= 63; + src <= 16; + when "1000011" => + dest <= 59; + src <= 45; + when "1000100" => + dest <= 63; + src <= 63; + when "1000101" => + dest <= 53; + src <= 9; + when "1000110" => + dest <= 49; + src <= 52; + when "1000111" => + dest <= 40; + src <= 31; + when "1001000" => + dest <= 63; + src <= 63; + when "1001001" => + dest <= 46; + src <= 59; + when "1001010" => + dest <= 8; + src <= 1; + when "1001011" => + dest <= 39; + src <= 5; + when "1001100" => + dest <= 1; + src <= 14; + when "1001101" => + dest <= 63; + src <= 63; + when "1001110" => + dest <= 58; + src <= 8; + when "1001111" => + dest <= 63; + src <= 46; + when "1010000" => + dest <= 48; + src <= 23; + when "1010001" => + dest <= 47; + src <= 53; + when "1010010" => + dest <= 57; + src <= 63; + when "1010011" => + dest <= 63; + src <= 60; + when "1010100" => + dest <= 63; + src <= 6; + when "1010101" => + dest <= 27; + src <= 15; + when "1010110" => + dest <= 18; + src <= 61; + when others => + dest <= 63; + src <= 63; + + end case; + end process; + + end generate NI_NUM63; + +end data; diff --git a/noc/vhdl/generated/bt8x8/router_ST.vhd b/noc/vhdl/generated/bt8x8/router_ST.vhd deleted file mode 100644 index c1136a5..0000000 --- a/noc/vhdl/generated/bt8x8/router_ST.vhd +++ /dev/null @@ -1,553 +0,0 @@ -------------------------------------------------------------- --- router_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity router_ST is - port ( - count : in unsigned(6 downto 0); - sels : out select_signals - ); -end router_ST; - -architecture data of router_ST is -begin -- data - -process(count) begin - - case count is - - when "0000000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0000001" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0000010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "0000011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 0; - when "0000100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0000101" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 2; - when "0000110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "0000111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0001000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 3; - when "0001001" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "0001010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0001011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 1; - when "0001100" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0001101" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 2; - when "0001110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 1; - when "0001111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0010000" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "0010001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0010010" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "0010011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "0010100" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0010101" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "0010110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "0010111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "0011000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 3; - when "0011001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0011010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 1; - when "0011011" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0011100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 2; - when "0011101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "0011110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 1; - when "0011111" => - sels(0) <= 2; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 0; - when "0100000" => - sels(0) <= 1; - sels(1) <= 3; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0100001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0100010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "0100011" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 2; - when "0100100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "0100101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 1; - when "0100110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 0; - when "0100111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "0101000" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0101001" => - sels(0) <= 2; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "0101010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "0101011" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "0101100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "0101101" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 2; - when "0101110" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0101111" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "0110000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0110001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0110010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "0110011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0110100" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "0110101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0110110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "0110111" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 1; - when "0111000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "0111001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0111010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0111011" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "0111100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0111101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "0111110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0111111" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "1000000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "1000001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "1000010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "1000011" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "1000100" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "1000101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "1000110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "1000111" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "1001000" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "1001001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "1001010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "1001011" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "1001100" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "1001101" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "1001110" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "1001111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "1010000" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "1010001" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "1010010" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "1010011" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "1010100" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when "1010101" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 2; - when "1010110" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 3; - when others => sels <= (others => 0); - - end case; -end process; - -end data; diff --git a/noc/vhdl/generated/bt8x8/router_ST_64.vhd b/noc/vhdl/generated/bt8x8/router_ST_64.vhd new file mode 100644 index 0000000..030cdd8 --- /dev/null +++ b/noc/vhdl/generated/bt8x8/router_ST_64.vhd @@ -0,0 +1,555 @@ +------------------------------------------------------------- +-- router_ST_64.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity router_ST_64 is + generic ( + NI_NUM : natural + ); + port ( + count : in unsigned(6 downto 0); + sels : out select_signals + ); +end router_ST_64; + +architecture data of router_ST_64 is +begin -- data + +process(count) begin + + case count is + + when "0000000" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "0000001" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "0000010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= D; + when "0000011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= D; + when "0000100" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= E; + sels(L) <= N; + when "0000101" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= S; + when "0000110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= L; + sels(L) <= E; + when "0000111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "0001000" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= L; + sels(W) <= E; + sels(L) <= W; + when "0001001" => + sels(N) <= E; + sels(E) <= W; + sels(S) <= N; + sels(W) <= L; + sels(L) <= D; + when "0001010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0001011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= E; + when "0001100" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0001101" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= S; + when "0001110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= E; + when "0001111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0010000" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= N; + sels(L) <= E; + when "0010001" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0010010" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "0010011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "0010100" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0010101" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "0010110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= L; + sels(L) <= E; + when "0010111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= D; + when "0011000" => + sels(N) <= E; + sels(E) <= S; + sels(S) <= L; + sels(W) <= N; + sels(L) <= W; + when "0011001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0011010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= E; + when "0011011" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0011100" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= N; + sels(W) <= E; + sels(L) <= S; + when "0011101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "0011110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= E; + when "0011111" => + sels(N) <= W; + sels(E) <= L; + sels(S) <= N; + sels(W) <= S; + sels(L) <= D; + when "0100000" => + sels(N) <= S; + sels(E) <= N; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "0100001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "0100010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= L; + sels(L) <= E; + when "0100011" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= S; + when "0100100" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "0100101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= E; + when "0100110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= D; + when "0100111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "0101000" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0101001" => + sels(N) <= W; + sels(E) <= L; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "0101010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "0101011" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= N; + sels(L) <= E; + when "0101100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "0101101" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= S; + when "0101110" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= W; + sels(W) <= L; + sels(L) <= N; + when "0101111" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "0110000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "0110001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "0110010" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "0110011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "0110100" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "0110101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "0110110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= D; + when "0110111" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= E; + when "0111000" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= W; + sels(W) <= D; + sels(L) <= E; + when "0111001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "0111010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "0111011" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "0111100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "0111101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "0111110" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= D; + sels(L) <= D; + when "0111111" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= W; + when "1000000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "1000001" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= W; + sels(W) <= S; + sels(L) <= N; + when "1000010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "1000011" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= N; + when "1000100" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= D; + when "1000101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= D; + sels(L) <= E; + when "1000110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "1000111" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= S; + when "1001000" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= D; + when "1001001" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "1001010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= E; + when "1001011" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "1001100" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "1001101" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= W; + sels(W) <= S; + sels(L) <= D; + when "1001110" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "1001111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "1010000" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= W; + sels(W) <= D; + sels(L) <= S; + when "1010001" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= N; + when "1010010" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "1010011" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "1010100" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when "1010101" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= D; + sels(W) <= D; + sels(L) <= S; + when "1010110" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= W; + when others => sels <= (others => D); + + end case; +end process; + +end data; diff --git a/noc/vhdl/generated/bt9x9/ni_ST.vhd b/noc/vhdl/generated/bt9x9/ni_ST_81.vhd similarity index 94% rename from noc/vhdl/generated/bt9x9/ni_ST.vhd rename to noc/vhdl/generated/bt9x9/ni_ST_81.vhd index 1135b86..79eb212 100644 --- a/noc/vhdl/generated/bt9x9/ni_ST.vhd +++ b/noc/vhdl/generated/bt9x9/ni_ST_81.vhd @@ -1,28618 +1,28617 @@ -------------------------------------------------------------- --- ni_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity ni_ST is - generic ( - NI_NUM : natural); - port ( - count : in unsigned(6 downto 0); - dest : out integer range 0 to 81; - src : out integer range 0 to 81 - ); -end ni_ST; - -architecture data of ni_ST is -begin -- data - - NI_NUM0 : if NI_NUM = 0 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 6; - src <= 0; - when "0000001" => - dest <= 1; - src <= 0; - when "0000010" => - dest <= 0; - src <= 0; - when "0000011" => - dest <= 50; - src <= 0; - when "0000100" => - dest <= 36; - src <= 8; - when "0000101" => - dest <= 0; - src <= 3; - when "0000110" => - dest <= 40; - src <= 0; - when "0000111" => - dest <= 0; - src <= 0; - when "0001000" => - dest <= 58; - src <= 41; - when "0001001" => - dest <= 9; - src <= 49; - when "0001010" => - dest <= 0; - src <= 45; - when "0001011" => - dest <= 48; - src <= 0; - when "0001100" => - dest <= 5; - src <= 72; - when "0001101" => - dest <= 0; - src <= 40; - when "0001110" => - dest <= 32; - src <= 0; - when "0001111" => - dest <= 45; - src <= 0; - when "0010000" => - dest <= 8; - src <= 50; - when "0010001" => - dest <= 42; - src <= 32; - when "0010010" => - dest <= 39; - src <= 4; - when "0010011" => - dest <= 64; - src <= 1; - when "0010100" => - dest <= 0; - src <= 42; - when "0010101" => - dest <= 59; - src <= 36; - when "0010110" => - dest <= 31; - src <= 0; - when "0010111" => - dest <= 0; - src <= 58; - when "0011000" => - dest <= 51; - src <= 26; - when "0011001" => - dest <= 0; - src <= 0; - when "0011010" => - dest <= 4; - src <= 48; - when "0011011" => - dest <= 0; - src <= 51; - when "0011100" => - dest <= 67; - src <= 0; - when "0011101" => - dest <= 23; - src <= 0; - when "0011110" => - dest <= 0; - src <= 31; - when "0011111" => - dest <= 57; - src <= 59; - when "0100000" => - dest <= 33; - src <= 5; - when "0100001" => - dest <= 0; - src <= 39; - when "0100010" => - dest <= 47; - src <= 0; - when "0100011" => - dest <= 43; - src <= 0; - when "0100100" => - dest <= 0; - src <= 23; - when "0100101" => - dest <= 0; - src <= 67; - when "0100110" => - dest <= 72; - src <= 0; - when "0100111" => - dest <= 68; - src <= 33; - when "0101000" => - dest <= 30; - src <= 57; - when "0101001" => - dest <= 18; - src <= 9; - when "0101010" => - dest <= 60; - src <= 43; - when "0101011" => - dest <= 0; - src <= 47; - when "0101100" => - dest <= 38; - src <= 0; - when "0101101" => - dest <= 52; - src <= 63; - when "0101110" => - dest <= 22; - src <= 0; - when "0101111" => - dest <= 0; - src <= 22; - when "0110000" => - dest <= 0; - src <= 60; - when "0110001" => - dest <= 76; - src <= 0; - when "0110010" => - dest <= 77; - src <= 30; - when "0110011" => - dest <= 0; - src <= 0; - when "0110100" => - dest <= 66; - src <= 52; - when "0110101" => - dest <= 0; - src <= 38; - when "0110110" => - dest <= 14; - src <= 68; - when "0110111" => - dest <= 56; - src <= 0; - when "0111000" => - dest <= 0; - src <= 14; - when "0111001" => - dest <= 24; - src <= 13; - when "0111010" => - dest <= 46; - src <= 0; - when "0111011" => - dest <= 34; - src <= 24; - when "0111100" => - dest <= 0; - src <= 0; - when "0111101" => - dest <= 37; - src <= 76; - when "0111110" => - dest <= 53; - src <= 34; - when "0111111" => - dest <= 0; - src <= 0; - when "1000000" => - dest <= 29; - src <= 66; - when "1000001" => - dest <= 0; - src <= 44; - when "1000010" => - dest <= 69; - src <= 56; - when "1000011" => - dest <= 21; - src <= 0; - when "1000100" => - dest <= 61; - src <= 53; - when "1000101" => - dest <= 0; - src <= 37; - when "1000110" => - dest <= 13; - src <= 0; - when "1000111" => - dest <= 0; - src <= 61; - when "1001000" => - dest <= 78; - src <= 0; - when "1001001" => - dest <= 75; - src <= 21; - when "1001010" => - dest <= 55; - src <= 69; - when "1001011" => - dest <= 44; - src <= 29; - when "1001100" => - dest <= 0; - src <= 0; - when "1001101" => - dest <= 65; - src <= 77; - when "1001110" => - dest <= 0; - src <= 12; - when "1001111" => - dest <= 15; - src <= 15; - when "1010000" => - dest <= 73; - src <= 35; - when "1010001" => - dest <= 25; - src <= 0; - when "1010010" => - dest <= 62; - src <= 46; - when "1010011" => - dest <= 28; - src <= 25; - when "1010100" => - dest <= 0; - src <= 17; - when "1010101" => - dest <= 70; - src <= 75; - when "1010110" => - dest <= 20; - src <= 0; - when "1010111" => - dest <= 0; - src <= 65; - when "1011000" => - dest <= 12; - src <= 28; - when "1011001" => - dest <= 80; - src <= 62; - when "1011010" => - dest <= 74; - src <= 0; - when "1011011" => - dest <= 79; - src <= 20; - when "1011100" => - dest <= 35; - src <= 70; - when "1011101" => - dest <= 63; - src <= 10; - when "1011110" => - dest <= 11; - src <= 78; - when "1011111" => - dest <= 16; - src <= 16; - when "1100000" => - dest <= 71; - src <= 11; - when "1100001" => - dest <= 19; - src <= 18; - when "1100010" => - dest <= 54; - src <= 55; - when "1100011" => - dest <= 3; - src <= 79; - when "1100100" => - dest <= 26; - src <= 74; - when "1100101" => - dest <= 0; - src <= 19; - when "1100110" => - dest <= 27; - src <= 71; - when "1100111" => - dest <= 0; - src <= 27; - when "1101000" => - dest <= 10; - src <= 6; - when "1101001" => - dest <= 2; - src <= 64; - when "1101010" => - dest <= 17; - src <= 0; - when "1101011" => - dest <= 0; - src <= 54; - when "1101100" => - dest <= 7; - src <= 80; - when "1101101" => - dest <= 0; - src <= 7; - when "1101110" => - dest <= 0; - src <= 73; - when "1101111" => - dest <= 49; - src <= 0; - when "1110000" => - dest <= 41; - src <= 2; - when others => - dest <= 0; - src <= 0; - - end case; - end process; - - end generate NI_NUM0; - - NI_NUM1 : if NI_NUM = 1 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 7; - src <= 1; - when "0000001" => - dest <= 2; - src <= 1; - when "0000010" => - dest <= 1; - src <= 1; - when "0000011" => - dest <= 51; - src <= 1; - when "0000100" => - dest <= 37; - src <= 0; - when "0000101" => - dest <= 1; - src <= 4; - when "0000110" => - dest <= 41; - src <= 1; - when "0000111" => - dest <= 1; - src <= 1; - when "0001000" => - dest <= 59; - src <= 42; - when "0001001" => - dest <= 10; - src <= 50; - when "0001010" => - dest <= 1; - src <= 46; - when "0001011" => - dest <= 49; - src <= 1; - when "0001100" => - dest <= 6; - src <= 73; - when "0001101" => - dest <= 1; - src <= 41; - when "0001110" => - dest <= 33; - src <= 1; - when "0001111" => - dest <= 46; - src <= 1; - when "0010000" => - dest <= 0; - src <= 51; - when "0010001" => - dest <= 43; - src <= 33; - when "0010010" => - dest <= 40; - src <= 5; - when "0010011" => - dest <= 65; - src <= 2; - when "0010100" => - dest <= 1; - src <= 43; - when "0010101" => - dest <= 60; - src <= 37; - when "0010110" => - dest <= 32; - src <= 1; - when "0010111" => - dest <= 1; - src <= 59; - when "0011000" => - dest <= 52; - src <= 18; - when "0011001" => - dest <= 1; - src <= 1; - when "0011010" => - dest <= 5; - src <= 49; - when "0011011" => - dest <= 1; - src <= 52; - when "0011100" => - dest <= 68; - src <= 1; - when "0011101" => - dest <= 24; - src <= 1; - when "0011110" => - dest <= 1; - src <= 32; - when "0011111" => - dest <= 58; - src <= 60; - when "0100000" => - dest <= 34; - src <= 6; - when "0100001" => - dest <= 1; - src <= 40; - when "0100010" => - dest <= 48; - src <= 1; - when "0100011" => - dest <= 44; - src <= 1; - when "0100100" => - dest <= 1; - src <= 24; - when "0100101" => - dest <= 1; - src <= 68; - when "0100110" => - dest <= 73; - src <= 1; - when "0100111" => - dest <= 69; - src <= 34; - when "0101000" => - dest <= 31; - src <= 58; - when "0101001" => - dest <= 19; - src <= 10; - when "0101010" => - dest <= 61; - src <= 44; - when "0101011" => - dest <= 1; - src <= 48; - when "0101100" => - dest <= 39; - src <= 1; - when "0101101" => - dest <= 53; - src <= 64; - when "0101110" => - dest <= 23; - src <= 1; - when "0101111" => - dest <= 1; - src <= 23; - when "0110000" => - dest <= 1; - src <= 61; - when "0110001" => - dest <= 77; - src <= 1; - when "0110010" => - dest <= 78; - src <= 31; - when "0110011" => - dest <= 1; - src <= 1; - when "0110100" => - dest <= 67; - src <= 53; - when "0110101" => - dest <= 1; - src <= 39; - when "0110110" => - dest <= 15; - src <= 69; - when "0110111" => - dest <= 57; - src <= 1; - when "0111000" => - dest <= 1; - src <= 15; - when "0111001" => - dest <= 25; - src <= 14; - when "0111010" => - dest <= 47; - src <= 1; - when "0111011" => - dest <= 35; - src <= 25; - when "0111100" => - dest <= 1; - src <= 1; - when "0111101" => - dest <= 38; - src <= 77; - when "0111110" => - dest <= 45; - src <= 35; - when "0111111" => - dest <= 1; - src <= 1; - when "1000000" => - dest <= 30; - src <= 67; - when "1000001" => - dest <= 1; - src <= 36; - when "1000010" => - dest <= 70; - src <= 57; - when "1000011" => - dest <= 22; - src <= 1; - when "1000100" => - dest <= 62; - src <= 45; - when "1000101" => - dest <= 1; - src <= 38; - when "1000110" => - dest <= 14; - src <= 1; - when "1000111" => - dest <= 1; - src <= 62; - when "1001000" => - dest <= 79; - src <= 1; - when "1001001" => - dest <= 76; - src <= 22; - when "1001010" => - dest <= 56; - src <= 70; - when "1001011" => - dest <= 36; - src <= 30; - when "1001100" => - dest <= 1; - src <= 1; - when "1001101" => - dest <= 66; - src <= 78; - when "1001110" => - dest <= 1; - src <= 13; - when "1001111" => - dest <= 16; - src <= 16; - when "1010000" => - dest <= 74; - src <= 27; - when "1010001" => - dest <= 26; - src <= 1; - when "1010010" => - dest <= 54; - src <= 47; - when "1010011" => - dest <= 29; - src <= 26; - when "1010100" => - dest <= 1; - src <= 9; - when "1010101" => - dest <= 71; - src <= 76; - when "1010110" => - dest <= 21; - src <= 1; - when "1010111" => - dest <= 1; - src <= 66; - when "1011000" => - dest <= 13; - src <= 29; - when "1011001" => - dest <= 72; - src <= 54; - when "1011010" => - dest <= 75; - src <= 1; - when "1011011" => - dest <= 80; - src <= 21; - when "1011100" => - dest <= 27; - src <= 71; - when "1011101" => - dest <= 64; - src <= 11; - when "1011110" => - dest <= 12; - src <= 79; - when "1011111" => - dest <= 17; - src <= 17; - when "1100000" => - dest <= 63; - src <= 12; - when "1100001" => - dest <= 20; - src <= 19; - when "1100010" => - dest <= 55; - src <= 56; - when "1100011" => - dest <= 4; - src <= 80; - when "1100100" => - dest <= 18; - src <= 75; - when "1100101" => - dest <= 1; - src <= 20; - when "1100110" => - dest <= 28; - src <= 63; - when "1100111" => - dest <= 1; - src <= 28; - when "1101000" => - dest <= 11; - src <= 7; - when "1101001" => - dest <= 3; - src <= 65; - when "1101010" => - dest <= 9; - src <= 1; - when "1101011" => - dest <= 1; - src <= 55; - when "1101100" => - dest <= 8; - src <= 72; - when "1101101" => - dest <= 1; - src <= 8; - when "1101110" => - dest <= 1; - src <= 74; - when "1101111" => - dest <= 50; - src <= 1; - when "1110000" => - dest <= 42; - src <= 3; - when others => - dest <= 1; - src <= 1; - - end case; - end process; - - end generate NI_NUM1; - - NI_NUM2 : if NI_NUM = 2 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 8; - src <= 2; - when "0000001" => - dest <= 3; - src <= 2; - when "0000010" => - dest <= 2; - src <= 2; - when "0000011" => - dest <= 52; - src <= 2; - when "0000100" => - dest <= 38; - src <= 1; - when "0000101" => - dest <= 2; - src <= 5; - when "0000110" => - dest <= 42; - src <= 2; - when "0000111" => - dest <= 2; - src <= 2; - when "0001000" => - dest <= 60; - src <= 43; - when "0001001" => - dest <= 11; - src <= 51; - when "0001010" => - dest <= 2; - src <= 47; - when "0001011" => - dest <= 50; - src <= 2; - when "0001100" => - dest <= 7; - src <= 74; - when "0001101" => - dest <= 2; - src <= 42; - when "0001110" => - dest <= 34; - src <= 2; - when "0001111" => - dest <= 47; - src <= 2; - when "0010000" => - dest <= 1; - src <= 52; - when "0010001" => - dest <= 44; - src <= 34; - when "0010010" => - dest <= 41; - src <= 6; - when "0010011" => - dest <= 66; - src <= 3; - when "0010100" => - dest <= 2; - src <= 44; - when "0010101" => - dest <= 61; - src <= 38; - when "0010110" => - dest <= 33; - src <= 2; - when "0010111" => - dest <= 2; - src <= 60; - when "0011000" => - dest <= 53; - src <= 19; - when "0011001" => - dest <= 2; - src <= 2; - when "0011010" => - dest <= 6; - src <= 50; - when "0011011" => - dest <= 2; - src <= 53; - when "0011100" => - dest <= 69; - src <= 2; - when "0011101" => - dest <= 25; - src <= 2; - when "0011110" => - dest <= 2; - src <= 33; - when "0011111" => - dest <= 59; - src <= 61; - when "0100000" => - dest <= 35; - src <= 7; - when "0100001" => - dest <= 2; - src <= 41; - when "0100010" => - dest <= 49; - src <= 2; - when "0100011" => - dest <= 36; - src <= 2; - when "0100100" => - dest <= 2; - src <= 25; - when "0100101" => - dest <= 2; - src <= 69; - when "0100110" => - dest <= 74; - src <= 2; - when "0100111" => - dest <= 70; - src <= 35; - when "0101000" => - dest <= 32; - src <= 59; - when "0101001" => - dest <= 20; - src <= 11; - when "0101010" => - dest <= 62; - src <= 36; - when "0101011" => - dest <= 2; - src <= 49; - when "0101100" => - dest <= 40; - src <= 2; - when "0101101" => - dest <= 45; - src <= 65; - when "0101110" => - dest <= 24; - src <= 2; - when "0101111" => - dest <= 2; - src <= 24; - when "0110000" => - dest <= 2; - src <= 62; - when "0110001" => - dest <= 78; - src <= 2; - when "0110010" => - dest <= 79; - src <= 32; - when "0110011" => - dest <= 2; - src <= 2; - when "0110100" => - dest <= 68; - src <= 45; - when "0110101" => - dest <= 2; - src <= 40; - when "0110110" => - dest <= 16; - src <= 70; - when "0110111" => - dest <= 58; - src <= 2; - when "0111000" => - dest <= 2; - src <= 16; - when "0111001" => - dest <= 26; - src <= 15; - when "0111010" => - dest <= 48; - src <= 2; - when "0111011" => - dest <= 27; - src <= 26; - when "0111100" => - dest <= 2; - src <= 2; - when "0111101" => - dest <= 39; - src <= 78; - when "0111110" => - dest <= 46; - src <= 27; - when "0111111" => - dest <= 2; - src <= 2; - when "1000000" => - dest <= 31; - src <= 68; - when "1000001" => - dest <= 2; - src <= 37; - when "1000010" => - dest <= 71; - src <= 58; - when "1000011" => - dest <= 23; - src <= 2; - when "1000100" => - dest <= 54; - src <= 46; - when "1000101" => - dest <= 2; - src <= 39; - when "1000110" => - dest <= 15; - src <= 2; - when "1000111" => - dest <= 2; - src <= 54; - when "1001000" => - dest <= 80; - src <= 2; - when "1001001" => - dest <= 77; - src <= 23; - when "1001010" => - dest <= 57; - src <= 71; - when "1001011" => - dest <= 37; - src <= 31; - when "1001100" => - dest <= 2; - src <= 2; - when "1001101" => - dest <= 67; - src <= 79; - when "1001110" => - dest <= 2; - src <= 14; - when "1001111" => - dest <= 17; - src <= 17; - when "1010000" => - dest <= 75; - src <= 28; - when "1010001" => - dest <= 18; - src <= 2; - when "1010010" => - dest <= 55; - src <= 48; - when "1010011" => - dest <= 30; - src <= 18; - when "1010100" => - dest <= 2; - src <= 10; - when "1010101" => - dest <= 63; - src <= 77; - when "1010110" => - dest <= 22; - src <= 2; - when "1010111" => - dest <= 2; - src <= 67; - when "1011000" => - dest <= 14; - src <= 30; - when "1011001" => - dest <= 73; - src <= 55; - when "1011010" => - dest <= 76; - src <= 2; - when "1011011" => - dest <= 72; - src <= 22; - when "1011100" => - dest <= 28; - src <= 63; - when "1011101" => - dest <= 65; - src <= 12; - when "1011110" => - dest <= 13; - src <= 80; - when "1011111" => - dest <= 9; - src <= 9; - when "1100000" => - dest <= 64; - src <= 13; - when "1100001" => - dest <= 21; - src <= 20; - when "1100010" => - dest <= 56; - src <= 57; - when "1100011" => - dest <= 5; - src <= 72; - when "1100100" => - dest <= 19; - src <= 76; - when "1100101" => - dest <= 2; - src <= 21; - when "1100110" => - dest <= 29; - src <= 64; - when "1100111" => - dest <= 2; - src <= 29; - when "1101000" => - dest <= 12; - src <= 8; - when "1101001" => - dest <= 4; - src <= 66; - when "1101010" => - dest <= 10; - src <= 2; - when "1101011" => - dest <= 2; - src <= 56; - when "1101100" => - dest <= 0; - src <= 73; - when "1101101" => - dest <= 2; - src <= 0; - when "1101110" => - dest <= 2; - src <= 75; - when "1101111" => - dest <= 51; - src <= 2; - when "1110000" => - dest <= 43; - src <= 4; - when others => - dest <= 2; - src <= 2; - - end case; - end process; - - end generate NI_NUM2; - - NI_NUM3 : if NI_NUM = 3 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 0; - src <= 3; - when "0000001" => - dest <= 4; - src <= 3; - when "0000010" => - dest <= 3; - src <= 3; - when "0000011" => - dest <= 53; - src <= 3; - when "0000100" => - dest <= 39; - src <= 2; - when "0000101" => - dest <= 3; - src <= 6; - when "0000110" => - dest <= 43; - src <= 3; - when "0000111" => - dest <= 3; - src <= 3; - when "0001000" => - dest <= 61; - src <= 44; - when "0001001" => - dest <= 12; - src <= 52; - when "0001010" => - dest <= 3; - src <= 48; - when "0001011" => - dest <= 51; - src <= 3; - when "0001100" => - dest <= 8; - src <= 75; - when "0001101" => - dest <= 3; - src <= 43; - when "0001110" => - dest <= 35; - src <= 3; - when "0001111" => - dest <= 48; - src <= 3; - when "0010000" => - dest <= 2; - src <= 53; - when "0010001" => - dest <= 36; - src <= 35; - when "0010010" => - dest <= 42; - src <= 7; - when "0010011" => - dest <= 67; - src <= 4; - when "0010100" => - dest <= 3; - src <= 36; - when "0010101" => - dest <= 62; - src <= 39; - when "0010110" => - dest <= 34; - src <= 3; - when "0010111" => - dest <= 3; - src <= 61; - when "0011000" => - dest <= 45; - src <= 20; - when "0011001" => - dest <= 3; - src <= 3; - when "0011010" => - dest <= 7; - src <= 51; - when "0011011" => - dest <= 3; - src <= 45; - when "0011100" => - dest <= 70; - src <= 3; - when "0011101" => - dest <= 26; - src <= 3; - when "0011110" => - dest <= 3; - src <= 34; - when "0011111" => - dest <= 60; - src <= 62; - when "0100000" => - dest <= 27; - src <= 8; - when "0100001" => - dest <= 3; - src <= 42; - when "0100010" => - dest <= 50; - src <= 3; - when "0100011" => - dest <= 37; - src <= 3; - when "0100100" => - dest <= 3; - src <= 26; - when "0100101" => - dest <= 3; - src <= 70; - when "0100110" => - dest <= 75; - src <= 3; - when "0100111" => - dest <= 71; - src <= 27; - when "0101000" => - dest <= 33; - src <= 60; - when "0101001" => - dest <= 21; - src <= 12; - when "0101010" => - dest <= 54; - src <= 37; - when "0101011" => - dest <= 3; - src <= 50; - when "0101100" => - dest <= 41; - src <= 3; - when "0101101" => - dest <= 46; - src <= 66; - when "0101110" => - dest <= 25; - src <= 3; - when "0101111" => - dest <= 3; - src <= 25; - when "0110000" => - dest <= 3; - src <= 54; - when "0110001" => - dest <= 79; - src <= 3; - when "0110010" => - dest <= 80; - src <= 33; - when "0110011" => - dest <= 3; - src <= 3; - when "0110100" => - dest <= 69; - src <= 46; - when "0110101" => - dest <= 3; - src <= 41; - when "0110110" => - dest <= 17; - src <= 71; - when "0110111" => - dest <= 59; - src <= 3; - when "0111000" => - dest <= 3; - src <= 17; - when "0111001" => - dest <= 18; - src <= 16; - when "0111010" => - dest <= 49; - src <= 3; - when "0111011" => - dest <= 28; - src <= 18; - when "0111100" => - dest <= 3; - src <= 3; - when "0111101" => - dest <= 40; - src <= 79; - when "0111110" => - dest <= 47; - src <= 28; - when "0111111" => - dest <= 3; - src <= 3; - when "1000000" => - dest <= 32; - src <= 69; - when "1000001" => - dest <= 3; - src <= 38; - when "1000010" => - dest <= 63; - src <= 59; - when "1000011" => - dest <= 24; - src <= 3; - when "1000100" => - dest <= 55; - src <= 47; - when "1000101" => - dest <= 3; - src <= 40; - when "1000110" => - dest <= 16; - src <= 3; - when "1000111" => - dest <= 3; - src <= 55; - when "1001000" => - dest <= 72; - src <= 3; - when "1001001" => - dest <= 78; - src <= 24; - when "1001010" => - dest <= 58; - src <= 63; - when "1001011" => - dest <= 38; - src <= 32; - when "1001100" => - dest <= 3; - src <= 3; - when "1001101" => - dest <= 68; - src <= 80; - when "1001110" => - dest <= 3; - src <= 15; - when "1001111" => - dest <= 9; - src <= 9; - when "1010000" => - dest <= 76; - src <= 29; - when "1010001" => - dest <= 19; - src <= 3; - when "1010010" => - dest <= 56; - src <= 49; - when "1010011" => - dest <= 31; - src <= 19; - when "1010100" => - dest <= 3; - src <= 11; - when "1010101" => - dest <= 64; - src <= 78; - when "1010110" => - dest <= 23; - src <= 3; - when "1010111" => - dest <= 3; - src <= 68; - when "1011000" => - dest <= 15; - src <= 31; - when "1011001" => - dest <= 74; - src <= 56; - when "1011010" => - dest <= 77; - src <= 3; - when "1011011" => - dest <= 73; - src <= 23; - when "1011100" => - dest <= 29; - src <= 64; - when "1011101" => - dest <= 66; - src <= 13; - when "1011110" => - dest <= 14; - src <= 72; - when "1011111" => - dest <= 10; - src <= 10; - when "1100000" => - dest <= 65; - src <= 14; - when "1100001" => - dest <= 22; - src <= 21; - when "1100010" => - dest <= 57; - src <= 58; - when "1100011" => - dest <= 6; - src <= 73; - when "1100100" => - dest <= 20; - src <= 77; - when "1100101" => - dest <= 3; - src <= 22; - when "1100110" => - dest <= 30; - src <= 65; - when "1100111" => - dest <= 3; - src <= 30; - when "1101000" => - dest <= 13; - src <= 0; - when "1101001" => - dest <= 5; - src <= 67; - when "1101010" => - dest <= 11; - src <= 3; - when "1101011" => - dest <= 3; - src <= 57; - when "1101100" => - dest <= 1; - src <= 74; - when "1101101" => - dest <= 3; - src <= 1; - when "1101110" => - dest <= 3; - src <= 76; - when "1101111" => - dest <= 52; - src <= 3; - when "1110000" => - dest <= 44; - src <= 5; - when others => - dest <= 3; - src <= 3; - - end case; - end process; - - end generate NI_NUM3; - - NI_NUM4 : if NI_NUM = 4 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 1; - src <= 4; - when "0000001" => - dest <= 5; - src <= 4; - when "0000010" => - dest <= 4; - src <= 4; - when "0000011" => - dest <= 45; - src <= 4; - when "0000100" => - dest <= 40; - src <= 3; - when "0000101" => - dest <= 4; - src <= 7; - when "0000110" => - dest <= 44; - src <= 4; - when "0000111" => - dest <= 4; - src <= 4; - when "0001000" => - dest <= 62; - src <= 36; - when "0001001" => - dest <= 13; - src <= 53; - when "0001010" => - dest <= 4; - src <= 49; - when "0001011" => - dest <= 52; - src <= 4; - when "0001100" => - dest <= 0; - src <= 76; - when "0001101" => - dest <= 4; - src <= 44; - when "0001110" => - dest <= 27; - src <= 4; - when "0001111" => - dest <= 49; - src <= 4; - when "0010000" => - dest <= 3; - src <= 45; - when "0010001" => - dest <= 37; - src <= 27; - when "0010010" => - dest <= 43; - src <= 8; - when "0010011" => - dest <= 68; - src <= 5; - when "0010100" => - dest <= 4; - src <= 37; - when "0010101" => - dest <= 54; - src <= 40; - when "0010110" => - dest <= 35; - src <= 4; - when "0010111" => - dest <= 4; - src <= 62; - when "0011000" => - dest <= 46; - src <= 21; - when "0011001" => - dest <= 4; - src <= 4; - when "0011010" => - dest <= 8; - src <= 52; - when "0011011" => - dest <= 4; - src <= 46; - when "0011100" => - dest <= 71; - src <= 4; - when "0011101" => - dest <= 18; - src <= 4; - when "0011110" => - dest <= 4; - src <= 35; - when "0011111" => - dest <= 61; - src <= 54; - when "0100000" => - dest <= 28; - src <= 0; - when "0100001" => - dest <= 4; - src <= 43; - when "0100010" => - dest <= 51; - src <= 4; - when "0100011" => - dest <= 38; - src <= 4; - when "0100100" => - dest <= 4; - src <= 18; - when "0100101" => - dest <= 4; - src <= 71; - when "0100110" => - dest <= 76; - src <= 4; - when "0100111" => - dest <= 63; - src <= 28; - when "0101000" => - dest <= 34; - src <= 61; - when "0101001" => - dest <= 22; - src <= 13; - when "0101010" => - dest <= 55; - src <= 38; - when "0101011" => - dest <= 4; - src <= 51; - when "0101100" => - dest <= 42; - src <= 4; - when "0101101" => - dest <= 47; - src <= 67; - when "0101110" => - dest <= 26; - src <= 4; - when "0101111" => - dest <= 4; - src <= 26; - when "0110000" => - dest <= 4; - src <= 55; - when "0110001" => - dest <= 80; - src <= 4; - when "0110010" => - dest <= 72; - src <= 34; - when "0110011" => - dest <= 4; - src <= 4; - when "0110100" => - dest <= 70; - src <= 47; - when "0110101" => - dest <= 4; - src <= 42; - when "0110110" => - dest <= 9; - src <= 63; - when "0110111" => - dest <= 60; - src <= 4; - when "0111000" => - dest <= 4; - src <= 9; - when "0111001" => - dest <= 19; - src <= 17; - when "0111010" => - dest <= 50; - src <= 4; - when "0111011" => - dest <= 29; - src <= 19; - when "0111100" => - dest <= 4; - src <= 4; - when "0111101" => - dest <= 41; - src <= 80; - when "0111110" => - dest <= 48; - src <= 29; - when "0111111" => - dest <= 4; - src <= 4; - when "1000000" => - dest <= 33; - src <= 70; - when "1000001" => - dest <= 4; - src <= 39; - when "1000010" => - dest <= 64; - src <= 60; - when "1000011" => - dest <= 25; - src <= 4; - when "1000100" => - dest <= 56; - src <= 48; - when "1000101" => - dest <= 4; - src <= 41; - when "1000110" => - dest <= 17; - src <= 4; - when "1000111" => - dest <= 4; - src <= 56; - when "1001000" => - dest <= 73; - src <= 4; - when "1001001" => - dest <= 79; - src <= 25; - when "1001010" => - dest <= 59; - src <= 64; - when "1001011" => - dest <= 39; - src <= 33; - when "1001100" => - dest <= 4; - src <= 4; - when "1001101" => - dest <= 69; - src <= 72; - when "1001110" => - dest <= 4; - src <= 16; - when "1001111" => - dest <= 10; - src <= 10; - when "1010000" => - dest <= 77; - src <= 30; - when "1010001" => - dest <= 20; - src <= 4; - when "1010010" => - dest <= 57; - src <= 50; - when "1010011" => - dest <= 32; - src <= 20; - when "1010100" => - dest <= 4; - src <= 12; - when "1010101" => - dest <= 65; - src <= 79; - when "1010110" => - dest <= 24; - src <= 4; - when "1010111" => - dest <= 4; - src <= 69; - when "1011000" => - dest <= 16; - src <= 32; - when "1011001" => - dest <= 75; - src <= 57; - when "1011010" => - dest <= 78; - src <= 4; - when "1011011" => - dest <= 74; - src <= 24; - when "1011100" => - dest <= 30; - src <= 65; - when "1011101" => - dest <= 67; - src <= 14; - when "1011110" => - dest <= 15; - src <= 73; - when "1011111" => - dest <= 11; - src <= 11; - when "1100000" => - dest <= 66; - src <= 15; - when "1100001" => - dest <= 23; - src <= 22; - when "1100010" => - dest <= 58; - src <= 59; - when "1100011" => - dest <= 7; - src <= 74; - when "1100100" => - dest <= 21; - src <= 78; - when "1100101" => - dest <= 4; - src <= 23; - when "1100110" => - dest <= 31; - src <= 66; - when "1100111" => - dest <= 4; - src <= 31; - when "1101000" => - dest <= 14; - src <= 1; - when "1101001" => - dest <= 6; - src <= 68; - when "1101010" => - dest <= 12; - src <= 4; - when "1101011" => - dest <= 4; - src <= 58; - when "1101100" => - dest <= 2; - src <= 75; - when "1101101" => - dest <= 4; - src <= 2; - when "1101110" => - dest <= 4; - src <= 77; - when "1101111" => - dest <= 53; - src <= 4; - when "1110000" => - dest <= 36; - src <= 6; - when others => - dest <= 4; - src <= 4; - - end case; - end process; - - end generate NI_NUM4; - - NI_NUM5 : if NI_NUM = 5 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 2; - src <= 5; - when "0000001" => - dest <= 6; - src <= 5; - when "0000010" => - dest <= 5; - src <= 5; - when "0000011" => - dest <= 46; - src <= 5; - when "0000100" => - dest <= 41; - src <= 4; - when "0000101" => - dest <= 5; - src <= 8; - when "0000110" => - dest <= 36; - src <= 5; - when "0000111" => - dest <= 5; - src <= 5; - when "0001000" => - dest <= 54; - src <= 37; - when "0001001" => - dest <= 14; - src <= 45; - when "0001010" => - dest <= 5; - src <= 50; - when "0001011" => - dest <= 53; - src <= 5; - when "0001100" => - dest <= 1; - src <= 77; - when "0001101" => - dest <= 5; - src <= 36; - when "0001110" => - dest <= 28; - src <= 5; - when "0001111" => - dest <= 50; - src <= 5; - when "0010000" => - dest <= 4; - src <= 46; - when "0010001" => - dest <= 38; - src <= 28; - when "0010010" => - dest <= 44; - src <= 0; - when "0010011" => - dest <= 69; - src <= 6; - when "0010100" => - dest <= 5; - src <= 38; - when "0010101" => - dest <= 55; - src <= 41; - when "0010110" => - dest <= 27; - src <= 5; - when "0010111" => - dest <= 5; - src <= 54; - when "0011000" => - dest <= 47; - src <= 22; - when "0011001" => - dest <= 5; - src <= 5; - when "0011010" => - dest <= 0; - src <= 53; - when "0011011" => - dest <= 5; - src <= 47; - when "0011100" => - dest <= 63; - src <= 5; - when "0011101" => - dest <= 19; - src <= 5; - when "0011110" => - dest <= 5; - src <= 27; - when "0011111" => - dest <= 62; - src <= 55; - when "0100000" => - dest <= 29; - src <= 1; - when "0100001" => - dest <= 5; - src <= 44; - when "0100010" => - dest <= 52; - src <= 5; - when "0100011" => - dest <= 39; - src <= 5; - when "0100100" => - dest <= 5; - src <= 19; - when "0100101" => - dest <= 5; - src <= 63; - when "0100110" => - dest <= 77; - src <= 5; - when "0100111" => - dest <= 64; - src <= 29; - when "0101000" => - dest <= 35; - src <= 62; - when "0101001" => - dest <= 23; - src <= 14; - when "0101010" => - dest <= 56; - src <= 39; - when "0101011" => - dest <= 5; - src <= 52; - when "0101100" => - dest <= 43; - src <= 5; - when "0101101" => - dest <= 48; - src <= 68; - when "0101110" => - dest <= 18; - src <= 5; - when "0101111" => - dest <= 5; - src <= 18; - when "0110000" => - dest <= 5; - src <= 56; - when "0110001" => - dest <= 72; - src <= 5; - when "0110010" => - dest <= 73; - src <= 35; - when "0110011" => - dest <= 5; - src <= 5; - when "0110100" => - dest <= 71; - src <= 48; - when "0110101" => - dest <= 5; - src <= 43; - when "0110110" => - dest <= 10; - src <= 64; - when "0110111" => - dest <= 61; - src <= 5; - when "0111000" => - dest <= 5; - src <= 10; - when "0111001" => - dest <= 20; - src <= 9; - when "0111010" => - dest <= 51; - src <= 5; - when "0111011" => - dest <= 30; - src <= 20; - when "0111100" => - dest <= 5; - src <= 5; - when "0111101" => - dest <= 42; - src <= 72; - when "0111110" => - dest <= 49; - src <= 30; - when "0111111" => - dest <= 5; - src <= 5; - when "1000000" => - dest <= 34; - src <= 71; - when "1000001" => - dest <= 5; - src <= 40; - when "1000010" => - dest <= 65; - src <= 61; - when "1000011" => - dest <= 26; - src <= 5; - when "1000100" => - dest <= 57; - src <= 49; - when "1000101" => - dest <= 5; - src <= 42; - when "1000110" => - dest <= 9; - src <= 5; - when "1000111" => - dest <= 5; - src <= 57; - when "1001000" => - dest <= 74; - src <= 5; - when "1001001" => - dest <= 80; - src <= 26; - when "1001010" => - dest <= 60; - src <= 65; - when "1001011" => - dest <= 40; - src <= 34; - when "1001100" => - dest <= 5; - src <= 5; - when "1001101" => - dest <= 70; - src <= 73; - when "1001110" => - dest <= 5; - src <= 17; - when "1001111" => - dest <= 11; - src <= 11; - when "1010000" => - dest <= 78; - src <= 31; - when "1010001" => - dest <= 21; - src <= 5; - when "1010010" => - dest <= 58; - src <= 51; - when "1010011" => - dest <= 33; - src <= 21; - when "1010100" => - dest <= 5; - src <= 13; - when "1010101" => - dest <= 66; - src <= 80; - when "1010110" => - dest <= 25; - src <= 5; - when "1010111" => - dest <= 5; - src <= 70; - when "1011000" => - dest <= 17; - src <= 33; - when "1011001" => - dest <= 76; - src <= 58; - when "1011010" => - dest <= 79; - src <= 5; - when "1011011" => - dest <= 75; - src <= 25; - when "1011100" => - dest <= 31; - src <= 66; - when "1011101" => - dest <= 68; - src <= 15; - when "1011110" => - dest <= 16; - src <= 74; - when "1011111" => - dest <= 12; - src <= 12; - when "1100000" => - dest <= 67; - src <= 16; - when "1100001" => - dest <= 24; - src <= 23; - when "1100010" => - dest <= 59; - src <= 60; - when "1100011" => - dest <= 8; - src <= 75; - when "1100100" => - dest <= 22; - src <= 79; - when "1100101" => - dest <= 5; - src <= 24; - when "1100110" => - dest <= 32; - src <= 67; - when "1100111" => - dest <= 5; - src <= 32; - when "1101000" => - dest <= 15; - src <= 2; - when "1101001" => - dest <= 7; - src <= 69; - when "1101010" => - dest <= 13; - src <= 5; - when "1101011" => - dest <= 5; - src <= 59; - when "1101100" => - dest <= 3; - src <= 76; - when "1101101" => - dest <= 5; - src <= 3; - when "1101110" => - dest <= 5; - src <= 78; - when "1101111" => - dest <= 45; - src <= 5; - when "1110000" => - dest <= 37; - src <= 7; - when others => - dest <= 5; - src <= 5; - - end case; - end process; - - end generate NI_NUM5; - - NI_NUM6 : if NI_NUM = 6 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 3; - src <= 6; - when "0000001" => - dest <= 7; - src <= 6; - when "0000010" => - dest <= 6; - src <= 6; - when "0000011" => - dest <= 47; - src <= 6; - when "0000100" => - dest <= 42; - src <= 5; - when "0000101" => - dest <= 6; - src <= 0; - when "0000110" => - dest <= 37; - src <= 6; - when "0000111" => - dest <= 6; - src <= 6; - when "0001000" => - dest <= 55; - src <= 38; - when "0001001" => - dest <= 15; - src <= 46; - when "0001010" => - dest <= 6; - src <= 51; - when "0001011" => - dest <= 45; - src <= 6; - when "0001100" => - dest <= 2; - src <= 78; - when "0001101" => - dest <= 6; - src <= 37; - when "0001110" => - dest <= 29; - src <= 6; - when "0001111" => - dest <= 51; - src <= 6; - when "0010000" => - dest <= 5; - src <= 47; - when "0010001" => - dest <= 39; - src <= 29; - when "0010010" => - dest <= 36; - src <= 1; - when "0010011" => - dest <= 70; - src <= 7; - when "0010100" => - dest <= 6; - src <= 39; - when "0010101" => - dest <= 56; - src <= 42; - when "0010110" => - dest <= 28; - src <= 6; - when "0010111" => - dest <= 6; - src <= 55; - when "0011000" => - dest <= 48; - src <= 23; - when "0011001" => - dest <= 6; - src <= 6; - when "0011010" => - dest <= 1; - src <= 45; - when "0011011" => - dest <= 6; - src <= 48; - when "0011100" => - dest <= 64; - src <= 6; - when "0011101" => - dest <= 20; - src <= 6; - when "0011110" => - dest <= 6; - src <= 28; - when "0011111" => - dest <= 54; - src <= 56; - when "0100000" => - dest <= 30; - src <= 2; - when "0100001" => - dest <= 6; - src <= 36; - when "0100010" => - dest <= 53; - src <= 6; - when "0100011" => - dest <= 40; - src <= 6; - when "0100100" => - dest <= 6; - src <= 20; - when "0100101" => - dest <= 6; - src <= 64; - when "0100110" => - dest <= 78; - src <= 6; - when "0100111" => - dest <= 65; - src <= 30; - when "0101000" => - dest <= 27; - src <= 54; - when "0101001" => - dest <= 24; - src <= 15; - when "0101010" => - dest <= 57; - src <= 40; - when "0101011" => - dest <= 6; - src <= 53; - when "0101100" => - dest <= 44; - src <= 6; - when "0101101" => - dest <= 49; - src <= 69; - when "0101110" => - dest <= 19; - src <= 6; - when "0101111" => - dest <= 6; - src <= 19; - when "0110000" => - dest <= 6; - src <= 57; - when "0110001" => - dest <= 73; - src <= 6; - when "0110010" => - dest <= 74; - src <= 27; - when "0110011" => - dest <= 6; - src <= 6; - when "0110100" => - dest <= 63; - src <= 49; - when "0110101" => - dest <= 6; - src <= 44; - when "0110110" => - dest <= 11; - src <= 65; - when "0110111" => - dest <= 62; - src <= 6; - when "0111000" => - dest <= 6; - src <= 11; - when "0111001" => - dest <= 21; - src <= 10; - when "0111010" => - dest <= 52; - src <= 6; - when "0111011" => - dest <= 31; - src <= 21; - when "0111100" => - dest <= 6; - src <= 6; - when "0111101" => - dest <= 43; - src <= 73; - when "0111110" => - dest <= 50; - src <= 31; - when "0111111" => - dest <= 6; - src <= 6; - when "1000000" => - dest <= 35; - src <= 63; - when "1000001" => - dest <= 6; - src <= 41; - when "1000010" => - dest <= 66; - src <= 62; - when "1000011" => - dest <= 18; - src <= 6; - when "1000100" => - dest <= 58; - src <= 50; - when "1000101" => - dest <= 6; - src <= 43; - when "1000110" => - dest <= 10; - src <= 6; - when "1000111" => - dest <= 6; - src <= 58; - when "1001000" => - dest <= 75; - src <= 6; - when "1001001" => - dest <= 72; - src <= 18; - when "1001010" => - dest <= 61; - src <= 66; - when "1001011" => - dest <= 41; - src <= 35; - when "1001100" => - dest <= 6; - src <= 6; - when "1001101" => - dest <= 71; - src <= 74; - when "1001110" => - dest <= 6; - src <= 9; - when "1001111" => - dest <= 12; - src <= 12; - when "1010000" => - dest <= 79; - src <= 32; - when "1010001" => - dest <= 22; - src <= 6; - when "1010010" => - dest <= 59; - src <= 52; - when "1010011" => - dest <= 34; - src <= 22; - when "1010100" => - dest <= 6; - src <= 14; - when "1010101" => - dest <= 67; - src <= 72; - when "1010110" => - dest <= 26; - src <= 6; - when "1010111" => - dest <= 6; - src <= 71; - when "1011000" => - dest <= 9; - src <= 34; - when "1011001" => - dest <= 77; - src <= 59; - when "1011010" => - dest <= 80; - src <= 6; - when "1011011" => - dest <= 76; - src <= 26; - when "1011100" => - dest <= 32; - src <= 67; - when "1011101" => - dest <= 69; - src <= 16; - when "1011110" => - dest <= 17; - src <= 75; - when "1011111" => - dest <= 13; - src <= 13; - when "1100000" => - dest <= 68; - src <= 17; - when "1100001" => - dest <= 25; - src <= 24; - when "1100010" => - dest <= 60; - src <= 61; - when "1100011" => - dest <= 0; - src <= 76; - when "1100100" => - dest <= 23; - src <= 80; - when "1100101" => - dest <= 6; - src <= 25; - when "1100110" => - dest <= 33; - src <= 68; - when "1100111" => - dest <= 6; - src <= 33; - when "1101000" => - dest <= 16; - src <= 3; - when "1101001" => - dest <= 8; - src <= 70; - when "1101010" => - dest <= 14; - src <= 6; - when "1101011" => - dest <= 6; - src <= 60; - when "1101100" => - dest <= 4; - src <= 77; - when "1101101" => - dest <= 6; - src <= 4; - when "1101110" => - dest <= 6; - src <= 79; - when "1101111" => - dest <= 46; - src <= 6; - when "1110000" => - dest <= 38; - src <= 8; - when others => - dest <= 6; - src <= 6; - - end case; - end process; - - end generate NI_NUM6; - - NI_NUM7 : if NI_NUM = 7 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 4; - src <= 7; - when "0000001" => - dest <= 8; - src <= 7; - when "0000010" => - dest <= 7; - src <= 7; - when "0000011" => - dest <= 48; - src <= 7; - when "0000100" => - dest <= 43; - src <= 6; - when "0000101" => - dest <= 7; - src <= 1; - when "0000110" => - dest <= 38; - src <= 7; - when "0000111" => - dest <= 7; - src <= 7; - when "0001000" => - dest <= 56; - src <= 39; - when "0001001" => - dest <= 16; - src <= 47; - when "0001010" => - dest <= 7; - src <= 52; - when "0001011" => - dest <= 46; - src <= 7; - when "0001100" => - dest <= 3; - src <= 79; - when "0001101" => - dest <= 7; - src <= 38; - when "0001110" => - dest <= 30; - src <= 7; - when "0001111" => - dest <= 52; - src <= 7; - when "0010000" => - dest <= 6; - src <= 48; - when "0010001" => - dest <= 40; - src <= 30; - when "0010010" => - dest <= 37; - src <= 2; - when "0010011" => - dest <= 71; - src <= 8; - when "0010100" => - dest <= 7; - src <= 40; - when "0010101" => - dest <= 57; - src <= 43; - when "0010110" => - dest <= 29; - src <= 7; - when "0010111" => - dest <= 7; - src <= 56; - when "0011000" => - dest <= 49; - src <= 24; - when "0011001" => - dest <= 7; - src <= 7; - when "0011010" => - dest <= 2; - src <= 46; - when "0011011" => - dest <= 7; - src <= 49; - when "0011100" => - dest <= 65; - src <= 7; - when "0011101" => - dest <= 21; - src <= 7; - when "0011110" => - dest <= 7; - src <= 29; - when "0011111" => - dest <= 55; - src <= 57; - when "0100000" => - dest <= 31; - src <= 3; - when "0100001" => - dest <= 7; - src <= 37; - when "0100010" => - dest <= 45; - src <= 7; - when "0100011" => - dest <= 41; - src <= 7; - when "0100100" => - dest <= 7; - src <= 21; - when "0100101" => - dest <= 7; - src <= 65; - when "0100110" => - dest <= 79; - src <= 7; - when "0100111" => - dest <= 66; - src <= 31; - when "0101000" => - dest <= 28; - src <= 55; - when "0101001" => - dest <= 25; - src <= 16; - when "0101010" => - dest <= 58; - src <= 41; - when "0101011" => - dest <= 7; - src <= 45; - when "0101100" => - dest <= 36; - src <= 7; - when "0101101" => - dest <= 50; - src <= 70; - when "0101110" => - dest <= 20; - src <= 7; - when "0101111" => - dest <= 7; - src <= 20; - when "0110000" => - dest <= 7; - src <= 58; - when "0110001" => - dest <= 74; - src <= 7; - when "0110010" => - dest <= 75; - src <= 28; - when "0110011" => - dest <= 7; - src <= 7; - when "0110100" => - dest <= 64; - src <= 50; - when "0110101" => - dest <= 7; - src <= 36; - when "0110110" => - dest <= 12; - src <= 66; - when "0110111" => - dest <= 54; - src <= 7; - when "0111000" => - dest <= 7; - src <= 12; - when "0111001" => - dest <= 22; - src <= 11; - when "0111010" => - dest <= 53; - src <= 7; - when "0111011" => - dest <= 32; - src <= 22; - when "0111100" => - dest <= 7; - src <= 7; - when "0111101" => - dest <= 44; - src <= 74; - when "0111110" => - dest <= 51; - src <= 32; - when "0111111" => - dest <= 7; - src <= 7; - when "1000000" => - dest <= 27; - src <= 64; - when "1000001" => - dest <= 7; - src <= 42; - when "1000010" => - dest <= 67; - src <= 54; - when "1000011" => - dest <= 19; - src <= 7; - when "1000100" => - dest <= 59; - src <= 51; - when "1000101" => - dest <= 7; - src <= 44; - when "1000110" => - dest <= 11; - src <= 7; - when "1000111" => - dest <= 7; - src <= 59; - when "1001000" => - dest <= 76; - src <= 7; - when "1001001" => - dest <= 73; - src <= 19; - when "1001010" => - dest <= 62; - src <= 67; - when "1001011" => - dest <= 42; - src <= 27; - when "1001100" => - dest <= 7; - src <= 7; - when "1001101" => - dest <= 63; - src <= 75; - when "1001110" => - dest <= 7; - src <= 10; - when "1001111" => - dest <= 13; - src <= 13; - when "1010000" => - dest <= 80; - src <= 33; - when "1010001" => - dest <= 23; - src <= 7; - when "1010010" => - dest <= 60; - src <= 53; - when "1010011" => - dest <= 35; - src <= 23; - when "1010100" => - dest <= 7; - src <= 15; - when "1010101" => - dest <= 68; - src <= 73; - when "1010110" => - dest <= 18; - src <= 7; - when "1010111" => - dest <= 7; - src <= 63; - when "1011000" => - dest <= 10; - src <= 35; - when "1011001" => - dest <= 78; - src <= 60; - when "1011010" => - dest <= 72; - src <= 7; - when "1011011" => - dest <= 77; - src <= 18; - when "1011100" => - dest <= 33; - src <= 68; - when "1011101" => - dest <= 70; - src <= 17; - when "1011110" => - dest <= 9; - src <= 76; - when "1011111" => - dest <= 14; - src <= 14; - when "1100000" => - dest <= 69; - src <= 9; - when "1100001" => - dest <= 26; - src <= 25; - when "1100010" => - dest <= 61; - src <= 62; - when "1100011" => - dest <= 1; - src <= 77; - when "1100100" => - dest <= 24; - src <= 72; - when "1100101" => - dest <= 7; - src <= 26; - when "1100110" => - dest <= 34; - src <= 69; - when "1100111" => - dest <= 7; - src <= 34; - when "1101000" => - dest <= 17; - src <= 4; - when "1101001" => - dest <= 0; - src <= 71; - when "1101010" => - dest <= 15; - src <= 7; - when "1101011" => - dest <= 7; - src <= 61; - when "1101100" => - dest <= 5; - src <= 78; - when "1101101" => - dest <= 7; - src <= 5; - when "1101110" => - dest <= 7; - src <= 80; - when "1101111" => - dest <= 47; - src <= 7; - when "1110000" => - dest <= 39; - src <= 0; - when others => - dest <= 7; - src <= 7; - - end case; - end process; - - end generate NI_NUM7; - - NI_NUM8 : if NI_NUM = 8 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 5; - src <= 8; - when "0000001" => - dest <= 0; - src <= 8; - when "0000010" => - dest <= 8; - src <= 8; - when "0000011" => - dest <= 49; - src <= 8; - when "0000100" => - dest <= 44; - src <= 7; - when "0000101" => - dest <= 8; - src <= 2; - when "0000110" => - dest <= 39; - src <= 8; - when "0000111" => - dest <= 8; - src <= 8; - when "0001000" => - dest <= 57; - src <= 40; - when "0001001" => - dest <= 17; - src <= 48; - when "0001010" => - dest <= 8; - src <= 53; - when "0001011" => - dest <= 47; - src <= 8; - when "0001100" => - dest <= 4; - src <= 80; - when "0001101" => - dest <= 8; - src <= 39; - when "0001110" => - dest <= 31; - src <= 8; - when "0001111" => - dest <= 53; - src <= 8; - when "0010000" => - dest <= 7; - src <= 49; - when "0010001" => - dest <= 41; - src <= 31; - when "0010010" => - dest <= 38; - src <= 3; - when "0010011" => - dest <= 63; - src <= 0; - when "0010100" => - dest <= 8; - src <= 41; - when "0010101" => - dest <= 58; - src <= 44; - when "0010110" => - dest <= 30; - src <= 8; - when "0010111" => - dest <= 8; - src <= 57; - when "0011000" => - dest <= 50; - src <= 25; - when "0011001" => - dest <= 8; - src <= 8; - when "0011010" => - dest <= 3; - src <= 47; - when "0011011" => - dest <= 8; - src <= 50; - when "0011100" => - dest <= 66; - src <= 8; - when "0011101" => - dest <= 22; - src <= 8; - when "0011110" => - dest <= 8; - src <= 30; - when "0011111" => - dest <= 56; - src <= 58; - when "0100000" => - dest <= 32; - src <= 4; - when "0100001" => - dest <= 8; - src <= 38; - when "0100010" => - dest <= 46; - src <= 8; - when "0100011" => - dest <= 42; - src <= 8; - when "0100100" => - dest <= 8; - src <= 22; - when "0100101" => - dest <= 8; - src <= 66; - when "0100110" => - dest <= 80; - src <= 8; - when "0100111" => - dest <= 67; - src <= 32; - when "0101000" => - dest <= 29; - src <= 56; - when "0101001" => - dest <= 26; - src <= 17; - when "0101010" => - dest <= 59; - src <= 42; - when "0101011" => - dest <= 8; - src <= 46; - when "0101100" => - dest <= 37; - src <= 8; - when "0101101" => - dest <= 51; - src <= 71; - when "0101110" => - dest <= 21; - src <= 8; - when "0101111" => - dest <= 8; - src <= 21; - when "0110000" => - dest <= 8; - src <= 59; - when "0110001" => - dest <= 75; - src <= 8; - when "0110010" => - dest <= 76; - src <= 29; - when "0110011" => - dest <= 8; - src <= 8; - when "0110100" => - dest <= 65; - src <= 51; - when "0110101" => - dest <= 8; - src <= 37; - when "0110110" => - dest <= 13; - src <= 67; - when "0110111" => - dest <= 55; - src <= 8; - when "0111000" => - dest <= 8; - src <= 13; - when "0111001" => - dest <= 23; - src <= 12; - when "0111010" => - dest <= 45; - src <= 8; - when "0111011" => - dest <= 33; - src <= 23; - when "0111100" => - dest <= 8; - src <= 8; - when "0111101" => - dest <= 36; - src <= 75; - when "0111110" => - dest <= 52; - src <= 33; - when "0111111" => - dest <= 8; - src <= 8; - when "1000000" => - dest <= 28; - src <= 65; - when "1000001" => - dest <= 8; - src <= 43; - when "1000010" => - dest <= 68; - src <= 55; - when "1000011" => - dest <= 20; - src <= 8; - when "1000100" => - dest <= 60; - src <= 52; - when "1000101" => - dest <= 8; - src <= 36; - when "1000110" => - dest <= 12; - src <= 8; - when "1000111" => - dest <= 8; - src <= 60; - when "1001000" => - dest <= 77; - src <= 8; - when "1001001" => - dest <= 74; - src <= 20; - when "1001010" => - dest <= 54; - src <= 68; - when "1001011" => - dest <= 43; - src <= 28; - when "1001100" => - dest <= 8; - src <= 8; - when "1001101" => - dest <= 64; - src <= 76; - when "1001110" => - dest <= 8; - src <= 11; - when "1001111" => - dest <= 14; - src <= 14; - when "1010000" => - dest <= 72; - src <= 34; - when "1010001" => - dest <= 24; - src <= 8; - when "1010010" => - dest <= 61; - src <= 45; - when "1010011" => - dest <= 27; - src <= 24; - when "1010100" => - dest <= 8; - src <= 16; - when "1010101" => - dest <= 69; - src <= 74; - when "1010110" => - dest <= 19; - src <= 8; - when "1010111" => - dest <= 8; - src <= 64; - when "1011000" => - dest <= 11; - src <= 27; - when "1011001" => - dest <= 79; - src <= 61; - when "1011010" => - dest <= 73; - src <= 8; - when "1011011" => - dest <= 78; - src <= 19; - when "1011100" => - dest <= 34; - src <= 69; - when "1011101" => - dest <= 71; - src <= 9; - when "1011110" => - dest <= 10; - src <= 77; - when "1011111" => - dest <= 15; - src <= 15; - when "1100000" => - dest <= 70; - src <= 10; - when "1100001" => - dest <= 18; - src <= 26; - when "1100010" => - dest <= 62; - src <= 54; - when "1100011" => - dest <= 2; - src <= 78; - when "1100100" => - dest <= 25; - src <= 73; - when "1100101" => - dest <= 8; - src <= 18; - when "1100110" => - dest <= 35; - src <= 70; - when "1100111" => - dest <= 8; - src <= 35; - when "1101000" => - dest <= 9; - src <= 5; - when "1101001" => - dest <= 1; - src <= 63; - when "1101010" => - dest <= 16; - src <= 8; - when "1101011" => - dest <= 8; - src <= 62; - when "1101100" => - dest <= 6; - src <= 79; - when "1101101" => - dest <= 8; - src <= 6; - when "1101110" => - dest <= 8; - src <= 72; - when "1101111" => - dest <= 48; - src <= 8; - when "1110000" => - dest <= 40; - src <= 1; - when others => - dest <= 8; - src <= 8; - - end case; - end process; - - end generate NI_NUM8; - - NI_NUM9 : if NI_NUM = 9 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 15; - src <= 9; - when "0000001" => - dest <= 10; - src <= 9; - when "0000010" => - dest <= 9; - src <= 9; - when "0000011" => - dest <= 59; - src <= 9; - when "0000100" => - dest <= 45; - src <= 17; - when "0000101" => - dest <= 9; - src <= 12; - when "0000110" => - dest <= 49; - src <= 9; - when "0000111" => - dest <= 9; - src <= 9; - when "0001000" => - dest <= 67; - src <= 50; - when "0001001" => - dest <= 18; - src <= 58; - when "0001010" => - dest <= 9; - src <= 54; - when "0001011" => - dest <= 57; - src <= 9; - when "0001100" => - dest <= 14; - src <= 0; - when "0001101" => - dest <= 9; - src <= 49; - when "0001110" => - dest <= 41; - src <= 9; - when "0001111" => - dest <= 54; - src <= 9; - when "0010000" => - dest <= 17; - src <= 59; - when "0010001" => - dest <= 51; - src <= 41; - when "0010010" => - dest <= 48; - src <= 13; - when "0010011" => - dest <= 73; - src <= 10; - when "0010100" => - dest <= 9; - src <= 51; - when "0010101" => - dest <= 68; - src <= 45; - when "0010110" => - dest <= 40; - src <= 9; - when "0010111" => - dest <= 9; - src <= 67; - when "0011000" => - dest <= 60; - src <= 35; - when "0011001" => - dest <= 9; - src <= 9; - when "0011010" => - dest <= 13; - src <= 57; - when "0011011" => - dest <= 9; - src <= 60; - when "0011100" => - dest <= 76; - src <= 9; - when "0011101" => - dest <= 32; - src <= 9; - when "0011110" => - dest <= 9; - src <= 40; - when "0011111" => - dest <= 66; - src <= 68; - when "0100000" => - dest <= 42; - src <= 14; - when "0100001" => - dest <= 9; - src <= 48; - when "0100010" => - dest <= 56; - src <= 9; - when "0100011" => - dest <= 52; - src <= 9; - when "0100100" => - dest <= 9; - src <= 32; - when "0100101" => - dest <= 9; - src <= 76; - when "0100110" => - dest <= 0; - src <= 9; - when "0100111" => - dest <= 77; - src <= 42; - when "0101000" => - dest <= 39; - src <= 66; - when "0101001" => - dest <= 27; - src <= 18; - when "0101010" => - dest <= 69; - src <= 52; - when "0101011" => - dest <= 9; - src <= 56; - when "0101100" => - dest <= 47; - src <= 9; - when "0101101" => - dest <= 61; - src <= 72; - when "0101110" => - dest <= 31; - src <= 9; - when "0101111" => - dest <= 9; - src <= 31; - when "0110000" => - dest <= 9; - src <= 69; - when "0110001" => - dest <= 4; - src <= 9; - when "0110010" => - dest <= 5; - src <= 39; - when "0110011" => - dest <= 9; - src <= 9; - when "0110100" => - dest <= 75; - src <= 61; - when "0110101" => - dest <= 9; - src <= 47; - when "0110110" => - dest <= 23; - src <= 77; - when "0110111" => - dest <= 65; - src <= 9; - when "0111000" => - dest <= 9; - src <= 23; - when "0111001" => - dest <= 33; - src <= 22; - when "0111010" => - dest <= 55; - src <= 9; - when "0111011" => - dest <= 43; - src <= 33; - when "0111100" => - dest <= 9; - src <= 9; - when "0111101" => - dest <= 46; - src <= 4; - when "0111110" => - dest <= 62; - src <= 43; - when "0111111" => - dest <= 9; - src <= 9; - when "1000000" => - dest <= 38; - src <= 75; - when "1000001" => - dest <= 9; - src <= 53; - when "1000010" => - dest <= 78; - src <= 65; - when "1000011" => - dest <= 30; - src <= 9; - when "1000100" => - dest <= 70; - src <= 62; - when "1000101" => - dest <= 9; - src <= 46; - when "1000110" => - dest <= 22; - src <= 9; - when "1000111" => - dest <= 9; - src <= 70; - when "1001000" => - dest <= 6; - src <= 9; - when "1001001" => - dest <= 3; - src <= 30; - when "1001010" => - dest <= 64; - src <= 78; - when "1001011" => - dest <= 53; - src <= 38; - when "1001100" => - dest <= 9; - src <= 9; - when "1001101" => - dest <= 74; - src <= 5; - when "1001110" => - dest <= 9; - src <= 21; - when "1001111" => - dest <= 24; - src <= 24; - when "1010000" => - dest <= 1; - src <= 44; - when "1010001" => - dest <= 34; - src <= 9; - when "1010010" => - dest <= 71; - src <= 55; - when "1010011" => - dest <= 37; - src <= 34; - when "1010100" => - dest <= 9; - src <= 26; - when "1010101" => - dest <= 79; - src <= 3; - when "1010110" => - dest <= 29; - src <= 9; - when "1010111" => - dest <= 9; - src <= 74; - when "1011000" => - dest <= 21; - src <= 37; - when "1011001" => - dest <= 8; - src <= 71; - when "1011010" => - dest <= 2; - src <= 9; - when "1011011" => - dest <= 7; - src <= 29; - when "1011100" => - dest <= 44; - src <= 79; - when "1011101" => - dest <= 72; - src <= 19; - when "1011110" => - dest <= 20; - src <= 6; - when "1011111" => - dest <= 25; - src <= 25; - when "1100000" => - dest <= 80; - src <= 20; - when "1100001" => - dest <= 28; - src <= 27; - when "1100010" => - dest <= 63; - src <= 64; - when "1100011" => - dest <= 12; - src <= 7; - when "1100100" => - dest <= 35; - src <= 2; - when "1100101" => - dest <= 9; - src <= 28; - when "1100110" => - dest <= 36; - src <= 80; - when "1100111" => - dest <= 9; - src <= 36; - when "1101000" => - dest <= 19; - src <= 15; - when "1101001" => - dest <= 11; - src <= 73; - when "1101010" => - dest <= 26; - src <= 9; - when "1101011" => - dest <= 9; - src <= 63; - when "1101100" => - dest <= 16; - src <= 8; - when "1101101" => - dest <= 9; - src <= 16; - when "1101110" => - dest <= 9; - src <= 1; - when "1101111" => - dest <= 58; - src <= 9; - when "1110000" => - dest <= 50; - src <= 11; - when others => - dest <= 9; - src <= 9; - - end case; - end process; - - end generate NI_NUM9; - - NI_NUM10 : if NI_NUM = 10 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 16; - src <= 10; - when "0000001" => - dest <= 11; - src <= 10; - when "0000010" => - dest <= 10; - src <= 10; - when "0000011" => - dest <= 60; - src <= 10; - when "0000100" => - dest <= 46; - src <= 9; - when "0000101" => - dest <= 10; - src <= 13; - when "0000110" => - dest <= 50; - src <= 10; - when "0000111" => - dest <= 10; - src <= 10; - when "0001000" => - dest <= 68; - src <= 51; - when "0001001" => - dest <= 19; - src <= 59; - when "0001010" => - dest <= 10; - src <= 55; - when "0001011" => - dest <= 58; - src <= 10; - when "0001100" => - dest <= 15; - src <= 1; - when "0001101" => - dest <= 10; - src <= 50; - when "0001110" => - dest <= 42; - src <= 10; - when "0001111" => - dest <= 55; - src <= 10; - when "0010000" => - dest <= 9; - src <= 60; - when "0010001" => - dest <= 52; - src <= 42; - when "0010010" => - dest <= 49; - src <= 14; - when "0010011" => - dest <= 74; - src <= 11; - when "0010100" => - dest <= 10; - src <= 52; - when "0010101" => - dest <= 69; - src <= 46; - when "0010110" => - dest <= 41; - src <= 10; - when "0010111" => - dest <= 10; - src <= 68; - when "0011000" => - dest <= 61; - src <= 27; - when "0011001" => - dest <= 10; - src <= 10; - when "0011010" => - dest <= 14; - src <= 58; - when "0011011" => - dest <= 10; - src <= 61; - when "0011100" => - dest <= 77; - src <= 10; - when "0011101" => - dest <= 33; - src <= 10; - when "0011110" => - dest <= 10; - src <= 41; - when "0011111" => - dest <= 67; - src <= 69; - when "0100000" => - dest <= 43; - src <= 15; - when "0100001" => - dest <= 10; - src <= 49; - when "0100010" => - dest <= 57; - src <= 10; - when "0100011" => - dest <= 53; - src <= 10; - when "0100100" => - dest <= 10; - src <= 33; - when "0100101" => - dest <= 10; - src <= 77; - when "0100110" => - dest <= 1; - src <= 10; - when "0100111" => - dest <= 78; - src <= 43; - when "0101000" => - dest <= 40; - src <= 67; - when "0101001" => - dest <= 28; - src <= 19; - when "0101010" => - dest <= 70; - src <= 53; - when "0101011" => - dest <= 10; - src <= 57; - when "0101100" => - dest <= 48; - src <= 10; - when "0101101" => - dest <= 62; - src <= 73; - when "0101110" => - dest <= 32; - src <= 10; - when "0101111" => - dest <= 10; - src <= 32; - when "0110000" => - dest <= 10; - src <= 70; - when "0110001" => - dest <= 5; - src <= 10; - when "0110010" => - dest <= 6; - src <= 40; - when "0110011" => - dest <= 10; - src <= 10; - when "0110100" => - dest <= 76; - src <= 62; - when "0110101" => - dest <= 10; - src <= 48; - when "0110110" => - dest <= 24; - src <= 78; - when "0110111" => - dest <= 66; - src <= 10; - when "0111000" => - dest <= 10; - src <= 24; - when "0111001" => - dest <= 34; - src <= 23; - when "0111010" => - dest <= 56; - src <= 10; - when "0111011" => - dest <= 44; - src <= 34; - when "0111100" => - dest <= 10; - src <= 10; - when "0111101" => - dest <= 47; - src <= 5; - when "0111110" => - dest <= 54; - src <= 44; - when "0111111" => - dest <= 10; - src <= 10; - when "1000000" => - dest <= 39; - src <= 76; - when "1000001" => - dest <= 10; - src <= 45; - when "1000010" => - dest <= 79; - src <= 66; - when "1000011" => - dest <= 31; - src <= 10; - when "1000100" => - dest <= 71; - src <= 54; - when "1000101" => - dest <= 10; - src <= 47; - when "1000110" => - dest <= 23; - src <= 10; - when "1000111" => - dest <= 10; - src <= 71; - when "1001000" => - dest <= 7; - src <= 10; - when "1001001" => - dest <= 4; - src <= 31; - when "1001010" => - dest <= 65; - src <= 79; - when "1001011" => - dest <= 45; - src <= 39; - when "1001100" => - dest <= 10; - src <= 10; - when "1001101" => - dest <= 75; - src <= 6; - when "1001110" => - dest <= 10; - src <= 22; - when "1001111" => - dest <= 25; - src <= 25; - when "1010000" => - dest <= 2; - src <= 36; - when "1010001" => - dest <= 35; - src <= 10; - when "1010010" => - dest <= 63; - src <= 56; - when "1010011" => - dest <= 38; - src <= 35; - when "1010100" => - dest <= 10; - src <= 18; - when "1010101" => - dest <= 80; - src <= 4; - when "1010110" => - dest <= 30; - src <= 10; - when "1010111" => - dest <= 10; - src <= 75; - when "1011000" => - dest <= 22; - src <= 38; - when "1011001" => - dest <= 0; - src <= 63; - when "1011010" => - dest <= 3; - src <= 10; - when "1011011" => - dest <= 8; - src <= 30; - when "1011100" => - dest <= 36; - src <= 80; - when "1011101" => - dest <= 73; - src <= 20; - when "1011110" => - dest <= 21; - src <= 7; - when "1011111" => - dest <= 26; - src <= 26; - when "1100000" => - dest <= 72; - src <= 21; - when "1100001" => - dest <= 29; - src <= 28; - when "1100010" => - dest <= 64; - src <= 65; - when "1100011" => - dest <= 13; - src <= 8; - when "1100100" => - dest <= 27; - src <= 3; - when "1100101" => - dest <= 10; - src <= 29; - when "1100110" => - dest <= 37; - src <= 72; - when "1100111" => - dest <= 10; - src <= 37; - when "1101000" => - dest <= 20; - src <= 16; - when "1101001" => - dest <= 12; - src <= 74; - when "1101010" => - dest <= 18; - src <= 10; - when "1101011" => - dest <= 10; - src <= 64; - when "1101100" => - dest <= 17; - src <= 0; - when "1101101" => - dest <= 10; - src <= 17; - when "1101110" => - dest <= 10; - src <= 2; - when "1101111" => - dest <= 59; - src <= 10; - when "1110000" => - dest <= 51; - src <= 12; - when others => - dest <= 10; - src <= 10; - - end case; - end process; - - end generate NI_NUM10; - - NI_NUM11 : if NI_NUM = 11 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 17; - src <= 11; - when "0000001" => - dest <= 12; - src <= 11; - when "0000010" => - dest <= 11; - src <= 11; - when "0000011" => - dest <= 61; - src <= 11; - when "0000100" => - dest <= 47; - src <= 10; - when "0000101" => - dest <= 11; - src <= 14; - when "0000110" => - dest <= 51; - src <= 11; - when "0000111" => - dest <= 11; - src <= 11; - when "0001000" => - dest <= 69; - src <= 52; - when "0001001" => - dest <= 20; - src <= 60; - when "0001010" => - dest <= 11; - src <= 56; - when "0001011" => - dest <= 59; - src <= 11; - when "0001100" => - dest <= 16; - src <= 2; - when "0001101" => - dest <= 11; - src <= 51; - when "0001110" => - dest <= 43; - src <= 11; - when "0001111" => - dest <= 56; - src <= 11; - when "0010000" => - dest <= 10; - src <= 61; - when "0010001" => - dest <= 53; - src <= 43; - when "0010010" => - dest <= 50; - src <= 15; - when "0010011" => - dest <= 75; - src <= 12; - when "0010100" => - dest <= 11; - src <= 53; - when "0010101" => - dest <= 70; - src <= 47; - when "0010110" => - dest <= 42; - src <= 11; - when "0010111" => - dest <= 11; - src <= 69; - when "0011000" => - dest <= 62; - src <= 28; - when "0011001" => - dest <= 11; - src <= 11; - when "0011010" => - dest <= 15; - src <= 59; - when "0011011" => - dest <= 11; - src <= 62; - when "0011100" => - dest <= 78; - src <= 11; - when "0011101" => - dest <= 34; - src <= 11; - when "0011110" => - dest <= 11; - src <= 42; - when "0011111" => - dest <= 68; - src <= 70; - when "0100000" => - dest <= 44; - src <= 16; - when "0100001" => - dest <= 11; - src <= 50; - when "0100010" => - dest <= 58; - src <= 11; - when "0100011" => - dest <= 45; - src <= 11; - when "0100100" => - dest <= 11; - src <= 34; - when "0100101" => - dest <= 11; - src <= 78; - when "0100110" => - dest <= 2; - src <= 11; - when "0100111" => - dest <= 79; - src <= 44; - when "0101000" => - dest <= 41; - src <= 68; - when "0101001" => - dest <= 29; - src <= 20; - when "0101010" => - dest <= 71; - src <= 45; - when "0101011" => - dest <= 11; - src <= 58; - when "0101100" => - dest <= 49; - src <= 11; - when "0101101" => - dest <= 54; - src <= 74; - when "0101110" => - dest <= 33; - src <= 11; - when "0101111" => - dest <= 11; - src <= 33; - when "0110000" => - dest <= 11; - src <= 71; - when "0110001" => - dest <= 6; - src <= 11; - when "0110010" => - dest <= 7; - src <= 41; - when "0110011" => - dest <= 11; - src <= 11; - when "0110100" => - dest <= 77; - src <= 54; - when "0110101" => - dest <= 11; - src <= 49; - when "0110110" => - dest <= 25; - src <= 79; - when "0110111" => - dest <= 67; - src <= 11; - when "0111000" => - dest <= 11; - src <= 25; - when "0111001" => - dest <= 35; - src <= 24; - when "0111010" => - dest <= 57; - src <= 11; - when "0111011" => - dest <= 36; - src <= 35; - when "0111100" => - dest <= 11; - src <= 11; - when "0111101" => - dest <= 48; - src <= 6; - when "0111110" => - dest <= 55; - src <= 36; - when "0111111" => - dest <= 11; - src <= 11; - when "1000000" => - dest <= 40; - src <= 77; - when "1000001" => - dest <= 11; - src <= 46; - when "1000010" => - dest <= 80; - src <= 67; - when "1000011" => - dest <= 32; - src <= 11; - when "1000100" => - dest <= 63; - src <= 55; - when "1000101" => - dest <= 11; - src <= 48; - when "1000110" => - dest <= 24; - src <= 11; - when "1000111" => - dest <= 11; - src <= 63; - when "1001000" => - dest <= 8; - src <= 11; - when "1001001" => - dest <= 5; - src <= 32; - when "1001010" => - dest <= 66; - src <= 80; - when "1001011" => - dest <= 46; - src <= 40; - when "1001100" => - dest <= 11; - src <= 11; - when "1001101" => - dest <= 76; - src <= 7; - when "1001110" => - dest <= 11; - src <= 23; - when "1001111" => - dest <= 26; - src <= 26; - when "1010000" => - dest <= 3; - src <= 37; - when "1010001" => - dest <= 27; - src <= 11; - when "1010010" => - dest <= 64; - src <= 57; - when "1010011" => - dest <= 39; - src <= 27; - when "1010100" => - dest <= 11; - src <= 19; - when "1010101" => - dest <= 72; - src <= 5; - when "1010110" => - dest <= 31; - src <= 11; - when "1010111" => - dest <= 11; - src <= 76; - when "1011000" => - dest <= 23; - src <= 39; - when "1011001" => - dest <= 1; - src <= 64; - when "1011010" => - dest <= 4; - src <= 11; - when "1011011" => - dest <= 0; - src <= 31; - when "1011100" => - dest <= 37; - src <= 72; - when "1011101" => - dest <= 74; - src <= 21; - when "1011110" => - dest <= 22; - src <= 8; - when "1011111" => - dest <= 18; - src <= 18; - when "1100000" => - dest <= 73; - src <= 22; - when "1100001" => - dest <= 30; - src <= 29; - when "1100010" => - dest <= 65; - src <= 66; - when "1100011" => - dest <= 14; - src <= 0; - when "1100100" => - dest <= 28; - src <= 4; - when "1100101" => - dest <= 11; - src <= 30; - when "1100110" => - dest <= 38; - src <= 73; - when "1100111" => - dest <= 11; - src <= 38; - when "1101000" => - dest <= 21; - src <= 17; - when "1101001" => - dest <= 13; - src <= 75; - when "1101010" => - dest <= 19; - src <= 11; - when "1101011" => - dest <= 11; - src <= 65; - when "1101100" => - dest <= 9; - src <= 1; - when "1101101" => - dest <= 11; - src <= 9; - when "1101110" => - dest <= 11; - src <= 3; - when "1101111" => - dest <= 60; - src <= 11; - when "1110000" => - dest <= 52; - src <= 13; - when others => - dest <= 11; - src <= 11; - - end case; - end process; - - end generate NI_NUM11; - - NI_NUM12 : if NI_NUM = 12 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 9; - src <= 12; - when "0000001" => - dest <= 13; - src <= 12; - when "0000010" => - dest <= 12; - src <= 12; - when "0000011" => - dest <= 62; - src <= 12; - when "0000100" => - dest <= 48; - src <= 11; - when "0000101" => - dest <= 12; - src <= 15; - when "0000110" => - dest <= 52; - src <= 12; - when "0000111" => - dest <= 12; - src <= 12; - when "0001000" => - dest <= 70; - src <= 53; - when "0001001" => - dest <= 21; - src <= 61; - when "0001010" => - dest <= 12; - src <= 57; - when "0001011" => - dest <= 60; - src <= 12; - when "0001100" => - dest <= 17; - src <= 3; - when "0001101" => - dest <= 12; - src <= 52; - when "0001110" => - dest <= 44; - src <= 12; - when "0001111" => - dest <= 57; - src <= 12; - when "0010000" => - dest <= 11; - src <= 62; - when "0010001" => - dest <= 45; - src <= 44; - when "0010010" => - dest <= 51; - src <= 16; - when "0010011" => - dest <= 76; - src <= 13; - when "0010100" => - dest <= 12; - src <= 45; - when "0010101" => - dest <= 71; - src <= 48; - when "0010110" => - dest <= 43; - src <= 12; - when "0010111" => - dest <= 12; - src <= 70; - when "0011000" => - dest <= 54; - src <= 29; - when "0011001" => - dest <= 12; - src <= 12; - when "0011010" => - dest <= 16; - src <= 60; - when "0011011" => - dest <= 12; - src <= 54; - when "0011100" => - dest <= 79; - src <= 12; - when "0011101" => - dest <= 35; - src <= 12; - when "0011110" => - dest <= 12; - src <= 43; - when "0011111" => - dest <= 69; - src <= 71; - when "0100000" => - dest <= 36; - src <= 17; - when "0100001" => - dest <= 12; - src <= 51; - when "0100010" => - dest <= 59; - src <= 12; - when "0100011" => - dest <= 46; - src <= 12; - when "0100100" => - dest <= 12; - src <= 35; - when "0100101" => - dest <= 12; - src <= 79; - when "0100110" => - dest <= 3; - src <= 12; - when "0100111" => - dest <= 80; - src <= 36; - when "0101000" => - dest <= 42; - src <= 69; - when "0101001" => - dest <= 30; - src <= 21; - when "0101010" => - dest <= 63; - src <= 46; - when "0101011" => - dest <= 12; - src <= 59; - when "0101100" => - dest <= 50; - src <= 12; - when "0101101" => - dest <= 55; - src <= 75; - when "0101110" => - dest <= 34; - src <= 12; - when "0101111" => - dest <= 12; - src <= 34; - when "0110000" => - dest <= 12; - src <= 63; - when "0110001" => - dest <= 7; - src <= 12; - when "0110010" => - dest <= 8; - src <= 42; - when "0110011" => - dest <= 12; - src <= 12; - when "0110100" => - dest <= 78; - src <= 55; - when "0110101" => - dest <= 12; - src <= 50; - when "0110110" => - dest <= 26; - src <= 80; - when "0110111" => - dest <= 68; - src <= 12; - when "0111000" => - dest <= 12; - src <= 26; - when "0111001" => - dest <= 27; - src <= 25; - when "0111010" => - dest <= 58; - src <= 12; - when "0111011" => - dest <= 37; - src <= 27; - when "0111100" => - dest <= 12; - src <= 12; - when "0111101" => - dest <= 49; - src <= 7; - when "0111110" => - dest <= 56; - src <= 37; - when "0111111" => - dest <= 12; - src <= 12; - when "1000000" => - dest <= 41; - src <= 78; - when "1000001" => - dest <= 12; - src <= 47; - when "1000010" => - dest <= 72; - src <= 68; - when "1000011" => - dest <= 33; - src <= 12; - when "1000100" => - dest <= 64; - src <= 56; - when "1000101" => - dest <= 12; - src <= 49; - when "1000110" => - dest <= 25; - src <= 12; - when "1000111" => - dest <= 12; - src <= 64; - when "1001000" => - dest <= 0; - src <= 12; - when "1001001" => - dest <= 6; - src <= 33; - when "1001010" => - dest <= 67; - src <= 72; - when "1001011" => - dest <= 47; - src <= 41; - when "1001100" => - dest <= 12; - src <= 12; - when "1001101" => - dest <= 77; - src <= 8; - when "1001110" => - dest <= 12; - src <= 24; - when "1001111" => - dest <= 18; - src <= 18; - when "1010000" => - dest <= 4; - src <= 38; - when "1010001" => - dest <= 28; - src <= 12; - when "1010010" => - dest <= 65; - src <= 58; - when "1010011" => - dest <= 40; - src <= 28; - when "1010100" => - dest <= 12; - src <= 20; - when "1010101" => - dest <= 73; - src <= 6; - when "1010110" => - dest <= 32; - src <= 12; - when "1010111" => - dest <= 12; - src <= 77; - when "1011000" => - dest <= 24; - src <= 40; - when "1011001" => - dest <= 2; - src <= 65; - when "1011010" => - dest <= 5; - src <= 12; - when "1011011" => - dest <= 1; - src <= 32; - when "1011100" => - dest <= 38; - src <= 73; - when "1011101" => - dest <= 75; - src <= 22; - when "1011110" => - dest <= 23; - src <= 0; - when "1011111" => - dest <= 19; - src <= 19; - when "1100000" => - dest <= 74; - src <= 23; - when "1100001" => - dest <= 31; - src <= 30; - when "1100010" => - dest <= 66; - src <= 67; - when "1100011" => - dest <= 15; - src <= 1; - when "1100100" => - dest <= 29; - src <= 5; - when "1100101" => - dest <= 12; - src <= 31; - when "1100110" => - dest <= 39; - src <= 74; - when "1100111" => - dest <= 12; - src <= 39; - when "1101000" => - dest <= 22; - src <= 9; - when "1101001" => - dest <= 14; - src <= 76; - when "1101010" => - dest <= 20; - src <= 12; - when "1101011" => - dest <= 12; - src <= 66; - when "1101100" => - dest <= 10; - src <= 2; - when "1101101" => - dest <= 12; - src <= 10; - when "1101110" => - dest <= 12; - src <= 4; - when "1101111" => - dest <= 61; - src <= 12; - when "1110000" => - dest <= 53; - src <= 14; - when others => - dest <= 12; - src <= 12; - - end case; - end process; - - end generate NI_NUM12; - - NI_NUM13 : if NI_NUM = 13 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 10; - src <= 13; - when "0000001" => - dest <= 14; - src <= 13; - when "0000010" => - dest <= 13; - src <= 13; - when "0000011" => - dest <= 54; - src <= 13; - when "0000100" => - dest <= 49; - src <= 12; - when "0000101" => - dest <= 13; - src <= 16; - when "0000110" => - dest <= 53; - src <= 13; - when "0000111" => - dest <= 13; - src <= 13; - when "0001000" => - dest <= 71; - src <= 45; - when "0001001" => - dest <= 22; - src <= 62; - when "0001010" => - dest <= 13; - src <= 58; - when "0001011" => - dest <= 61; - src <= 13; - when "0001100" => - dest <= 9; - src <= 4; - when "0001101" => - dest <= 13; - src <= 53; - when "0001110" => - dest <= 36; - src <= 13; - when "0001111" => - dest <= 58; - src <= 13; - when "0010000" => - dest <= 12; - src <= 54; - when "0010001" => - dest <= 46; - src <= 36; - when "0010010" => - dest <= 52; - src <= 17; - when "0010011" => - dest <= 77; - src <= 14; - when "0010100" => - dest <= 13; - src <= 46; - when "0010101" => - dest <= 63; - src <= 49; - when "0010110" => - dest <= 44; - src <= 13; - when "0010111" => - dest <= 13; - src <= 71; - when "0011000" => - dest <= 55; - src <= 30; - when "0011001" => - dest <= 13; - src <= 13; - when "0011010" => - dest <= 17; - src <= 61; - when "0011011" => - dest <= 13; - src <= 55; - when "0011100" => - dest <= 80; - src <= 13; - when "0011101" => - dest <= 27; - src <= 13; - when "0011110" => - dest <= 13; - src <= 44; - when "0011111" => - dest <= 70; - src <= 63; - when "0100000" => - dest <= 37; - src <= 9; - when "0100001" => - dest <= 13; - src <= 52; - when "0100010" => - dest <= 60; - src <= 13; - when "0100011" => - dest <= 47; - src <= 13; - when "0100100" => - dest <= 13; - src <= 27; - when "0100101" => - dest <= 13; - src <= 80; - when "0100110" => - dest <= 4; - src <= 13; - when "0100111" => - dest <= 72; - src <= 37; - when "0101000" => - dest <= 43; - src <= 70; - when "0101001" => - dest <= 31; - src <= 22; - when "0101010" => - dest <= 64; - src <= 47; - when "0101011" => - dest <= 13; - src <= 60; - when "0101100" => - dest <= 51; - src <= 13; - when "0101101" => - dest <= 56; - src <= 76; - when "0101110" => - dest <= 35; - src <= 13; - when "0101111" => - dest <= 13; - src <= 35; - when "0110000" => - dest <= 13; - src <= 64; - when "0110001" => - dest <= 8; - src <= 13; - when "0110010" => - dest <= 0; - src <= 43; - when "0110011" => - dest <= 13; - src <= 13; - when "0110100" => - dest <= 79; - src <= 56; - when "0110101" => - dest <= 13; - src <= 51; - when "0110110" => - dest <= 18; - src <= 72; - when "0110111" => - dest <= 69; - src <= 13; - when "0111000" => - dest <= 13; - src <= 18; - when "0111001" => - dest <= 28; - src <= 26; - when "0111010" => - dest <= 59; - src <= 13; - when "0111011" => - dest <= 38; - src <= 28; - when "0111100" => - dest <= 13; - src <= 13; - when "0111101" => - dest <= 50; - src <= 8; - when "0111110" => - dest <= 57; - src <= 38; - when "0111111" => - dest <= 13; - src <= 13; - when "1000000" => - dest <= 42; - src <= 79; - when "1000001" => - dest <= 13; - src <= 48; - when "1000010" => - dest <= 73; - src <= 69; - when "1000011" => - dest <= 34; - src <= 13; - when "1000100" => - dest <= 65; - src <= 57; - when "1000101" => - dest <= 13; - src <= 50; - when "1000110" => - dest <= 26; - src <= 13; - when "1000111" => - dest <= 13; - src <= 65; - when "1001000" => - dest <= 1; - src <= 13; - when "1001001" => - dest <= 7; - src <= 34; - when "1001010" => - dest <= 68; - src <= 73; - when "1001011" => - dest <= 48; - src <= 42; - when "1001100" => - dest <= 13; - src <= 13; - when "1001101" => - dest <= 78; - src <= 0; - when "1001110" => - dest <= 13; - src <= 25; - when "1001111" => - dest <= 19; - src <= 19; - when "1010000" => - dest <= 5; - src <= 39; - when "1010001" => - dest <= 29; - src <= 13; - when "1010010" => - dest <= 66; - src <= 59; - when "1010011" => - dest <= 41; - src <= 29; - when "1010100" => - dest <= 13; - src <= 21; - when "1010101" => - dest <= 74; - src <= 7; - when "1010110" => - dest <= 33; - src <= 13; - when "1010111" => - dest <= 13; - src <= 78; - when "1011000" => - dest <= 25; - src <= 41; - when "1011001" => - dest <= 3; - src <= 66; - when "1011010" => - dest <= 6; - src <= 13; - when "1011011" => - dest <= 2; - src <= 33; - when "1011100" => - dest <= 39; - src <= 74; - when "1011101" => - dest <= 76; - src <= 23; - when "1011110" => - dest <= 24; - src <= 1; - when "1011111" => - dest <= 20; - src <= 20; - when "1100000" => - dest <= 75; - src <= 24; - when "1100001" => - dest <= 32; - src <= 31; - when "1100010" => - dest <= 67; - src <= 68; - when "1100011" => - dest <= 16; - src <= 2; - when "1100100" => - dest <= 30; - src <= 6; - when "1100101" => - dest <= 13; - src <= 32; - when "1100110" => - dest <= 40; - src <= 75; - when "1100111" => - dest <= 13; - src <= 40; - when "1101000" => - dest <= 23; - src <= 10; - when "1101001" => - dest <= 15; - src <= 77; - when "1101010" => - dest <= 21; - src <= 13; - when "1101011" => - dest <= 13; - src <= 67; - when "1101100" => - dest <= 11; - src <= 3; - when "1101101" => - dest <= 13; - src <= 11; - when "1101110" => - dest <= 13; - src <= 5; - when "1101111" => - dest <= 62; - src <= 13; - when "1110000" => - dest <= 45; - src <= 15; - when others => - dest <= 13; - src <= 13; - - end case; - end process; - - end generate NI_NUM13; - - NI_NUM14 : if NI_NUM = 14 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 11; - src <= 14; - when "0000001" => - dest <= 15; - src <= 14; - when "0000010" => - dest <= 14; - src <= 14; - when "0000011" => - dest <= 55; - src <= 14; - when "0000100" => - dest <= 50; - src <= 13; - when "0000101" => - dest <= 14; - src <= 17; - when "0000110" => - dest <= 45; - src <= 14; - when "0000111" => - dest <= 14; - src <= 14; - when "0001000" => - dest <= 63; - src <= 46; - when "0001001" => - dest <= 23; - src <= 54; - when "0001010" => - dest <= 14; - src <= 59; - when "0001011" => - dest <= 62; - src <= 14; - when "0001100" => - dest <= 10; - src <= 5; - when "0001101" => - dest <= 14; - src <= 45; - when "0001110" => - dest <= 37; - src <= 14; - when "0001111" => - dest <= 59; - src <= 14; - when "0010000" => - dest <= 13; - src <= 55; - when "0010001" => - dest <= 47; - src <= 37; - when "0010010" => - dest <= 53; - src <= 9; - when "0010011" => - dest <= 78; - src <= 15; - when "0010100" => - dest <= 14; - src <= 47; - when "0010101" => - dest <= 64; - src <= 50; - when "0010110" => - dest <= 36; - src <= 14; - when "0010111" => - dest <= 14; - src <= 63; - when "0011000" => - dest <= 56; - src <= 31; - when "0011001" => - dest <= 14; - src <= 14; - when "0011010" => - dest <= 9; - src <= 62; - when "0011011" => - dest <= 14; - src <= 56; - when "0011100" => - dest <= 72; - src <= 14; - when "0011101" => - dest <= 28; - src <= 14; - when "0011110" => - dest <= 14; - src <= 36; - when "0011111" => - dest <= 71; - src <= 64; - when "0100000" => - dest <= 38; - src <= 10; - when "0100001" => - dest <= 14; - src <= 53; - when "0100010" => - dest <= 61; - src <= 14; - when "0100011" => - dest <= 48; - src <= 14; - when "0100100" => - dest <= 14; - src <= 28; - when "0100101" => - dest <= 14; - src <= 72; - when "0100110" => - dest <= 5; - src <= 14; - when "0100111" => - dest <= 73; - src <= 38; - when "0101000" => - dest <= 44; - src <= 71; - when "0101001" => - dest <= 32; - src <= 23; - when "0101010" => - dest <= 65; - src <= 48; - when "0101011" => - dest <= 14; - src <= 61; - when "0101100" => - dest <= 52; - src <= 14; - when "0101101" => - dest <= 57; - src <= 77; - when "0101110" => - dest <= 27; - src <= 14; - when "0101111" => - dest <= 14; - src <= 27; - when "0110000" => - dest <= 14; - src <= 65; - when "0110001" => - dest <= 0; - src <= 14; - when "0110010" => - dest <= 1; - src <= 44; - when "0110011" => - dest <= 14; - src <= 14; - when "0110100" => - dest <= 80; - src <= 57; - when "0110101" => - dest <= 14; - src <= 52; - when "0110110" => - dest <= 19; - src <= 73; - when "0110111" => - dest <= 70; - src <= 14; - when "0111000" => - dest <= 14; - src <= 19; - when "0111001" => - dest <= 29; - src <= 18; - when "0111010" => - dest <= 60; - src <= 14; - when "0111011" => - dest <= 39; - src <= 29; - when "0111100" => - dest <= 14; - src <= 14; - when "0111101" => - dest <= 51; - src <= 0; - when "0111110" => - dest <= 58; - src <= 39; - when "0111111" => - dest <= 14; - src <= 14; - when "1000000" => - dest <= 43; - src <= 80; - when "1000001" => - dest <= 14; - src <= 49; - when "1000010" => - dest <= 74; - src <= 70; - when "1000011" => - dest <= 35; - src <= 14; - when "1000100" => - dest <= 66; - src <= 58; - when "1000101" => - dest <= 14; - src <= 51; - when "1000110" => - dest <= 18; - src <= 14; - when "1000111" => - dest <= 14; - src <= 66; - when "1001000" => - dest <= 2; - src <= 14; - when "1001001" => - dest <= 8; - src <= 35; - when "1001010" => - dest <= 69; - src <= 74; - when "1001011" => - dest <= 49; - src <= 43; - when "1001100" => - dest <= 14; - src <= 14; - when "1001101" => - dest <= 79; - src <= 1; - when "1001110" => - dest <= 14; - src <= 26; - when "1001111" => - dest <= 20; - src <= 20; - when "1010000" => - dest <= 6; - src <= 40; - when "1010001" => - dest <= 30; - src <= 14; - when "1010010" => - dest <= 67; - src <= 60; - when "1010011" => - dest <= 42; - src <= 30; - when "1010100" => - dest <= 14; - src <= 22; - when "1010101" => - dest <= 75; - src <= 8; - when "1010110" => - dest <= 34; - src <= 14; - when "1010111" => - dest <= 14; - src <= 79; - when "1011000" => - dest <= 26; - src <= 42; - when "1011001" => - dest <= 4; - src <= 67; - when "1011010" => - dest <= 7; - src <= 14; - when "1011011" => - dest <= 3; - src <= 34; - when "1011100" => - dest <= 40; - src <= 75; - when "1011101" => - dest <= 77; - src <= 24; - when "1011110" => - dest <= 25; - src <= 2; - when "1011111" => - dest <= 21; - src <= 21; - when "1100000" => - dest <= 76; - src <= 25; - when "1100001" => - dest <= 33; - src <= 32; - when "1100010" => - dest <= 68; - src <= 69; - when "1100011" => - dest <= 17; - src <= 3; - when "1100100" => - dest <= 31; - src <= 7; - when "1100101" => - dest <= 14; - src <= 33; - when "1100110" => - dest <= 41; - src <= 76; - when "1100111" => - dest <= 14; - src <= 41; - when "1101000" => - dest <= 24; - src <= 11; - when "1101001" => - dest <= 16; - src <= 78; - when "1101010" => - dest <= 22; - src <= 14; - when "1101011" => - dest <= 14; - src <= 68; - when "1101100" => - dest <= 12; - src <= 4; - when "1101101" => - dest <= 14; - src <= 12; - when "1101110" => - dest <= 14; - src <= 6; - when "1101111" => - dest <= 54; - src <= 14; - when "1110000" => - dest <= 46; - src <= 16; - when others => - dest <= 14; - src <= 14; - - end case; - end process; - - end generate NI_NUM14; - - NI_NUM15 : if NI_NUM = 15 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 12; - src <= 15; - when "0000001" => - dest <= 16; - src <= 15; - when "0000010" => - dest <= 15; - src <= 15; - when "0000011" => - dest <= 56; - src <= 15; - when "0000100" => - dest <= 51; - src <= 14; - when "0000101" => - dest <= 15; - src <= 9; - when "0000110" => - dest <= 46; - src <= 15; - when "0000111" => - dest <= 15; - src <= 15; - when "0001000" => - dest <= 64; - src <= 47; - when "0001001" => - dest <= 24; - src <= 55; - when "0001010" => - dest <= 15; - src <= 60; - when "0001011" => - dest <= 54; - src <= 15; - when "0001100" => - dest <= 11; - src <= 6; - when "0001101" => - dest <= 15; - src <= 46; - when "0001110" => - dest <= 38; - src <= 15; - when "0001111" => - dest <= 60; - src <= 15; - when "0010000" => - dest <= 14; - src <= 56; - when "0010001" => - dest <= 48; - src <= 38; - when "0010010" => - dest <= 45; - src <= 10; - when "0010011" => - dest <= 79; - src <= 16; - when "0010100" => - dest <= 15; - src <= 48; - when "0010101" => - dest <= 65; - src <= 51; - when "0010110" => - dest <= 37; - src <= 15; - when "0010111" => - dest <= 15; - src <= 64; - when "0011000" => - dest <= 57; - src <= 32; - when "0011001" => - dest <= 15; - src <= 15; - when "0011010" => - dest <= 10; - src <= 54; - when "0011011" => - dest <= 15; - src <= 57; - when "0011100" => - dest <= 73; - src <= 15; - when "0011101" => - dest <= 29; - src <= 15; - when "0011110" => - dest <= 15; - src <= 37; - when "0011111" => - dest <= 63; - src <= 65; - when "0100000" => - dest <= 39; - src <= 11; - when "0100001" => - dest <= 15; - src <= 45; - when "0100010" => - dest <= 62; - src <= 15; - when "0100011" => - dest <= 49; - src <= 15; - when "0100100" => - dest <= 15; - src <= 29; - when "0100101" => - dest <= 15; - src <= 73; - when "0100110" => - dest <= 6; - src <= 15; - when "0100111" => - dest <= 74; - src <= 39; - when "0101000" => - dest <= 36; - src <= 63; - when "0101001" => - dest <= 33; - src <= 24; - when "0101010" => - dest <= 66; - src <= 49; - when "0101011" => - dest <= 15; - src <= 62; - when "0101100" => - dest <= 53; - src <= 15; - when "0101101" => - dest <= 58; - src <= 78; - when "0101110" => - dest <= 28; - src <= 15; - when "0101111" => - dest <= 15; - src <= 28; - when "0110000" => - dest <= 15; - src <= 66; - when "0110001" => - dest <= 1; - src <= 15; - when "0110010" => - dest <= 2; - src <= 36; - when "0110011" => - dest <= 15; - src <= 15; - when "0110100" => - dest <= 72; - src <= 58; - when "0110101" => - dest <= 15; - src <= 53; - when "0110110" => - dest <= 20; - src <= 74; - when "0110111" => - dest <= 71; - src <= 15; - when "0111000" => - dest <= 15; - src <= 20; - when "0111001" => - dest <= 30; - src <= 19; - when "0111010" => - dest <= 61; - src <= 15; - when "0111011" => - dest <= 40; - src <= 30; - when "0111100" => - dest <= 15; - src <= 15; - when "0111101" => - dest <= 52; - src <= 1; - when "0111110" => - dest <= 59; - src <= 40; - when "0111111" => - dest <= 15; - src <= 15; - when "1000000" => - dest <= 44; - src <= 72; - when "1000001" => - dest <= 15; - src <= 50; - when "1000010" => - dest <= 75; - src <= 71; - when "1000011" => - dest <= 27; - src <= 15; - when "1000100" => - dest <= 67; - src <= 59; - when "1000101" => - dest <= 15; - src <= 52; - when "1000110" => - dest <= 19; - src <= 15; - when "1000111" => - dest <= 15; - src <= 67; - when "1001000" => - dest <= 3; - src <= 15; - when "1001001" => - dest <= 0; - src <= 27; - when "1001010" => - dest <= 70; - src <= 75; - when "1001011" => - dest <= 50; - src <= 44; - when "1001100" => - dest <= 15; - src <= 15; - when "1001101" => - dest <= 80; - src <= 2; - when "1001110" => - dest <= 15; - src <= 18; - when "1001111" => - dest <= 21; - src <= 21; - when "1010000" => - dest <= 7; - src <= 41; - when "1010001" => - dest <= 31; - src <= 15; - when "1010010" => - dest <= 68; - src <= 61; - when "1010011" => - dest <= 43; - src <= 31; - when "1010100" => - dest <= 15; - src <= 23; - when "1010101" => - dest <= 76; - src <= 0; - when "1010110" => - dest <= 35; - src <= 15; - when "1010111" => - dest <= 15; - src <= 80; - when "1011000" => - dest <= 18; - src <= 43; - when "1011001" => - dest <= 5; - src <= 68; - when "1011010" => - dest <= 8; - src <= 15; - when "1011011" => - dest <= 4; - src <= 35; - when "1011100" => - dest <= 41; - src <= 76; - when "1011101" => - dest <= 78; - src <= 25; - when "1011110" => - dest <= 26; - src <= 3; - when "1011111" => - dest <= 22; - src <= 22; - when "1100000" => - dest <= 77; - src <= 26; - when "1100001" => - dest <= 34; - src <= 33; - when "1100010" => - dest <= 69; - src <= 70; - when "1100011" => - dest <= 9; - src <= 4; - when "1100100" => - dest <= 32; - src <= 8; - when "1100101" => - dest <= 15; - src <= 34; - when "1100110" => - dest <= 42; - src <= 77; - when "1100111" => - dest <= 15; - src <= 42; - when "1101000" => - dest <= 25; - src <= 12; - when "1101001" => - dest <= 17; - src <= 79; - when "1101010" => - dest <= 23; - src <= 15; - when "1101011" => - dest <= 15; - src <= 69; - when "1101100" => - dest <= 13; - src <= 5; - when "1101101" => - dest <= 15; - src <= 13; - when "1101110" => - dest <= 15; - src <= 7; - when "1101111" => - dest <= 55; - src <= 15; - when "1110000" => - dest <= 47; - src <= 17; - when others => - dest <= 15; - src <= 15; - - end case; - end process; - - end generate NI_NUM15; - - NI_NUM16 : if NI_NUM = 16 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 13; - src <= 16; - when "0000001" => - dest <= 17; - src <= 16; - when "0000010" => - dest <= 16; - src <= 16; - when "0000011" => - dest <= 57; - src <= 16; - when "0000100" => - dest <= 52; - src <= 15; - when "0000101" => - dest <= 16; - src <= 10; - when "0000110" => - dest <= 47; - src <= 16; - when "0000111" => - dest <= 16; - src <= 16; - when "0001000" => - dest <= 65; - src <= 48; - when "0001001" => - dest <= 25; - src <= 56; - when "0001010" => - dest <= 16; - src <= 61; - when "0001011" => - dest <= 55; - src <= 16; - when "0001100" => - dest <= 12; - src <= 7; - when "0001101" => - dest <= 16; - src <= 47; - when "0001110" => - dest <= 39; - src <= 16; - when "0001111" => - dest <= 61; - src <= 16; - when "0010000" => - dest <= 15; - src <= 57; - when "0010001" => - dest <= 49; - src <= 39; - when "0010010" => - dest <= 46; - src <= 11; - when "0010011" => - dest <= 80; - src <= 17; - when "0010100" => - dest <= 16; - src <= 49; - when "0010101" => - dest <= 66; - src <= 52; - when "0010110" => - dest <= 38; - src <= 16; - when "0010111" => - dest <= 16; - src <= 65; - when "0011000" => - dest <= 58; - src <= 33; - when "0011001" => - dest <= 16; - src <= 16; - when "0011010" => - dest <= 11; - src <= 55; - when "0011011" => - dest <= 16; - src <= 58; - when "0011100" => - dest <= 74; - src <= 16; - when "0011101" => - dest <= 30; - src <= 16; - when "0011110" => - dest <= 16; - src <= 38; - when "0011111" => - dest <= 64; - src <= 66; - when "0100000" => - dest <= 40; - src <= 12; - when "0100001" => - dest <= 16; - src <= 46; - when "0100010" => - dest <= 54; - src <= 16; - when "0100011" => - dest <= 50; - src <= 16; - when "0100100" => - dest <= 16; - src <= 30; - when "0100101" => - dest <= 16; - src <= 74; - when "0100110" => - dest <= 7; - src <= 16; - when "0100111" => - dest <= 75; - src <= 40; - when "0101000" => - dest <= 37; - src <= 64; - when "0101001" => - dest <= 34; - src <= 25; - when "0101010" => - dest <= 67; - src <= 50; - when "0101011" => - dest <= 16; - src <= 54; - when "0101100" => - dest <= 45; - src <= 16; - when "0101101" => - dest <= 59; - src <= 79; - when "0101110" => - dest <= 29; - src <= 16; - when "0101111" => - dest <= 16; - src <= 29; - when "0110000" => - dest <= 16; - src <= 67; - when "0110001" => - dest <= 2; - src <= 16; - when "0110010" => - dest <= 3; - src <= 37; - when "0110011" => - dest <= 16; - src <= 16; - when "0110100" => - dest <= 73; - src <= 59; - when "0110101" => - dest <= 16; - src <= 45; - when "0110110" => - dest <= 21; - src <= 75; - when "0110111" => - dest <= 63; - src <= 16; - when "0111000" => - dest <= 16; - src <= 21; - when "0111001" => - dest <= 31; - src <= 20; - when "0111010" => - dest <= 62; - src <= 16; - when "0111011" => - dest <= 41; - src <= 31; - when "0111100" => - dest <= 16; - src <= 16; - when "0111101" => - dest <= 53; - src <= 2; - when "0111110" => - dest <= 60; - src <= 41; - when "0111111" => - dest <= 16; - src <= 16; - when "1000000" => - dest <= 36; - src <= 73; - when "1000001" => - dest <= 16; - src <= 51; - when "1000010" => - dest <= 76; - src <= 63; - when "1000011" => - dest <= 28; - src <= 16; - when "1000100" => - dest <= 68; - src <= 60; - when "1000101" => - dest <= 16; - src <= 53; - when "1000110" => - dest <= 20; - src <= 16; - when "1000111" => - dest <= 16; - src <= 68; - when "1001000" => - dest <= 4; - src <= 16; - when "1001001" => - dest <= 1; - src <= 28; - when "1001010" => - dest <= 71; - src <= 76; - when "1001011" => - dest <= 51; - src <= 36; - when "1001100" => - dest <= 16; - src <= 16; - when "1001101" => - dest <= 72; - src <= 3; - when "1001110" => - dest <= 16; - src <= 19; - when "1001111" => - dest <= 22; - src <= 22; - when "1010000" => - dest <= 8; - src <= 42; - when "1010001" => - dest <= 32; - src <= 16; - when "1010010" => - dest <= 69; - src <= 62; - when "1010011" => - dest <= 44; - src <= 32; - when "1010100" => - dest <= 16; - src <= 24; - when "1010101" => - dest <= 77; - src <= 1; - when "1010110" => - dest <= 27; - src <= 16; - when "1010111" => - dest <= 16; - src <= 72; - when "1011000" => - dest <= 19; - src <= 44; - when "1011001" => - dest <= 6; - src <= 69; - when "1011010" => - dest <= 0; - src <= 16; - when "1011011" => - dest <= 5; - src <= 27; - when "1011100" => - dest <= 42; - src <= 77; - when "1011101" => - dest <= 79; - src <= 26; - when "1011110" => - dest <= 18; - src <= 4; - when "1011111" => - dest <= 23; - src <= 23; - when "1100000" => - dest <= 78; - src <= 18; - when "1100001" => - dest <= 35; - src <= 34; - when "1100010" => - dest <= 70; - src <= 71; - when "1100011" => - dest <= 10; - src <= 5; - when "1100100" => - dest <= 33; - src <= 0; - when "1100101" => - dest <= 16; - src <= 35; - when "1100110" => - dest <= 43; - src <= 78; - when "1100111" => - dest <= 16; - src <= 43; - when "1101000" => - dest <= 26; - src <= 13; - when "1101001" => - dest <= 9; - src <= 80; - when "1101010" => - dest <= 24; - src <= 16; - when "1101011" => - dest <= 16; - src <= 70; - when "1101100" => - dest <= 14; - src <= 6; - when "1101101" => - dest <= 16; - src <= 14; - when "1101110" => - dest <= 16; - src <= 8; - when "1101111" => - dest <= 56; - src <= 16; - when "1110000" => - dest <= 48; - src <= 9; - when others => - dest <= 16; - src <= 16; - - end case; - end process; - - end generate NI_NUM16; - - NI_NUM17 : if NI_NUM = 17 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 14; - src <= 17; - when "0000001" => - dest <= 9; - src <= 17; - when "0000010" => - dest <= 17; - src <= 17; - when "0000011" => - dest <= 58; - src <= 17; - when "0000100" => - dest <= 53; - src <= 16; - when "0000101" => - dest <= 17; - src <= 11; - when "0000110" => - dest <= 48; - src <= 17; - when "0000111" => - dest <= 17; - src <= 17; - when "0001000" => - dest <= 66; - src <= 49; - when "0001001" => - dest <= 26; - src <= 57; - when "0001010" => - dest <= 17; - src <= 62; - when "0001011" => - dest <= 56; - src <= 17; - when "0001100" => - dest <= 13; - src <= 8; - when "0001101" => - dest <= 17; - src <= 48; - when "0001110" => - dest <= 40; - src <= 17; - when "0001111" => - dest <= 62; - src <= 17; - when "0010000" => - dest <= 16; - src <= 58; - when "0010001" => - dest <= 50; - src <= 40; - when "0010010" => - dest <= 47; - src <= 12; - when "0010011" => - dest <= 72; - src <= 9; - when "0010100" => - dest <= 17; - src <= 50; - when "0010101" => - dest <= 67; - src <= 53; - when "0010110" => - dest <= 39; - src <= 17; - when "0010111" => - dest <= 17; - src <= 66; - when "0011000" => - dest <= 59; - src <= 34; - when "0011001" => - dest <= 17; - src <= 17; - when "0011010" => - dest <= 12; - src <= 56; - when "0011011" => - dest <= 17; - src <= 59; - when "0011100" => - dest <= 75; - src <= 17; - when "0011101" => - dest <= 31; - src <= 17; - when "0011110" => - dest <= 17; - src <= 39; - when "0011111" => - dest <= 65; - src <= 67; - when "0100000" => - dest <= 41; - src <= 13; - when "0100001" => - dest <= 17; - src <= 47; - when "0100010" => - dest <= 55; - src <= 17; - when "0100011" => - dest <= 51; - src <= 17; - when "0100100" => - dest <= 17; - src <= 31; - when "0100101" => - dest <= 17; - src <= 75; - when "0100110" => - dest <= 8; - src <= 17; - when "0100111" => - dest <= 76; - src <= 41; - when "0101000" => - dest <= 38; - src <= 65; - when "0101001" => - dest <= 35; - src <= 26; - when "0101010" => - dest <= 68; - src <= 51; - when "0101011" => - dest <= 17; - src <= 55; - when "0101100" => - dest <= 46; - src <= 17; - when "0101101" => - dest <= 60; - src <= 80; - when "0101110" => - dest <= 30; - src <= 17; - when "0101111" => - dest <= 17; - src <= 30; - when "0110000" => - dest <= 17; - src <= 68; - when "0110001" => - dest <= 3; - src <= 17; - when "0110010" => - dest <= 4; - src <= 38; - when "0110011" => - dest <= 17; - src <= 17; - when "0110100" => - dest <= 74; - src <= 60; - when "0110101" => - dest <= 17; - src <= 46; - when "0110110" => - dest <= 22; - src <= 76; - when "0110111" => - dest <= 64; - src <= 17; - when "0111000" => - dest <= 17; - src <= 22; - when "0111001" => - dest <= 32; - src <= 21; - when "0111010" => - dest <= 54; - src <= 17; - when "0111011" => - dest <= 42; - src <= 32; - when "0111100" => - dest <= 17; - src <= 17; - when "0111101" => - dest <= 45; - src <= 3; - when "0111110" => - dest <= 61; - src <= 42; - when "0111111" => - dest <= 17; - src <= 17; - when "1000000" => - dest <= 37; - src <= 74; - when "1000001" => - dest <= 17; - src <= 52; - when "1000010" => - dest <= 77; - src <= 64; - when "1000011" => - dest <= 29; - src <= 17; - when "1000100" => - dest <= 69; - src <= 61; - when "1000101" => - dest <= 17; - src <= 45; - when "1000110" => - dest <= 21; - src <= 17; - when "1000111" => - dest <= 17; - src <= 69; - when "1001000" => - dest <= 5; - src <= 17; - when "1001001" => - dest <= 2; - src <= 29; - when "1001010" => - dest <= 63; - src <= 77; - when "1001011" => - dest <= 52; - src <= 37; - when "1001100" => - dest <= 17; - src <= 17; - when "1001101" => - dest <= 73; - src <= 4; - when "1001110" => - dest <= 17; - src <= 20; - when "1001111" => - dest <= 23; - src <= 23; - when "1010000" => - dest <= 0; - src <= 43; - when "1010001" => - dest <= 33; - src <= 17; - when "1010010" => - dest <= 70; - src <= 54; - when "1010011" => - dest <= 36; - src <= 33; - when "1010100" => - dest <= 17; - src <= 25; - when "1010101" => - dest <= 78; - src <= 2; - when "1010110" => - dest <= 28; - src <= 17; - when "1010111" => - dest <= 17; - src <= 73; - when "1011000" => - dest <= 20; - src <= 36; - when "1011001" => - dest <= 7; - src <= 70; - when "1011010" => - dest <= 1; - src <= 17; - when "1011011" => - dest <= 6; - src <= 28; - when "1011100" => - dest <= 43; - src <= 78; - when "1011101" => - dest <= 80; - src <= 18; - when "1011110" => - dest <= 19; - src <= 5; - when "1011111" => - dest <= 24; - src <= 24; - when "1100000" => - dest <= 79; - src <= 19; - when "1100001" => - dest <= 27; - src <= 35; - when "1100010" => - dest <= 71; - src <= 63; - when "1100011" => - dest <= 11; - src <= 6; - when "1100100" => - dest <= 34; - src <= 1; - when "1100101" => - dest <= 17; - src <= 27; - when "1100110" => - dest <= 44; - src <= 79; - when "1100111" => - dest <= 17; - src <= 44; - when "1101000" => - dest <= 18; - src <= 14; - when "1101001" => - dest <= 10; - src <= 72; - when "1101010" => - dest <= 25; - src <= 17; - when "1101011" => - dest <= 17; - src <= 71; - when "1101100" => - dest <= 15; - src <= 7; - when "1101101" => - dest <= 17; - src <= 15; - when "1101110" => - dest <= 17; - src <= 0; - when "1101111" => - dest <= 57; - src <= 17; - when "1110000" => - dest <= 49; - src <= 10; - when others => - dest <= 17; - src <= 17; - - end case; - end process; - - end generate NI_NUM17; - - NI_NUM18 : if NI_NUM = 18 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 24; - src <= 18; - when "0000001" => - dest <= 19; - src <= 18; - when "0000010" => - dest <= 18; - src <= 18; - when "0000011" => - dest <= 68; - src <= 18; - when "0000100" => - dest <= 54; - src <= 26; - when "0000101" => - dest <= 18; - src <= 21; - when "0000110" => - dest <= 58; - src <= 18; - when "0000111" => - dest <= 18; - src <= 18; - when "0001000" => - dest <= 76; - src <= 59; - when "0001001" => - dest <= 27; - src <= 67; - when "0001010" => - dest <= 18; - src <= 63; - when "0001011" => - dest <= 66; - src <= 18; - when "0001100" => - dest <= 23; - src <= 9; - when "0001101" => - dest <= 18; - src <= 58; - when "0001110" => - dest <= 50; - src <= 18; - when "0001111" => - dest <= 63; - src <= 18; - when "0010000" => - dest <= 26; - src <= 68; - when "0010001" => - dest <= 60; - src <= 50; - when "0010010" => - dest <= 57; - src <= 22; - when "0010011" => - dest <= 1; - src <= 19; - when "0010100" => - dest <= 18; - src <= 60; - when "0010101" => - dest <= 77; - src <= 54; - when "0010110" => - dest <= 49; - src <= 18; - when "0010111" => - dest <= 18; - src <= 76; - when "0011000" => - dest <= 69; - src <= 44; - when "0011001" => - dest <= 18; - src <= 18; - when "0011010" => - dest <= 22; - src <= 66; - when "0011011" => - dest <= 18; - src <= 69; - when "0011100" => - dest <= 4; - src <= 18; - when "0011101" => - dest <= 41; - src <= 18; - when "0011110" => - dest <= 18; - src <= 49; - when "0011111" => - dest <= 75; - src <= 77; - when "0100000" => - dest <= 51; - src <= 23; - when "0100001" => - dest <= 18; - src <= 57; - when "0100010" => - dest <= 65; - src <= 18; - when "0100011" => - dest <= 61; - src <= 18; - when "0100100" => - dest <= 18; - src <= 41; - when "0100101" => - dest <= 18; - src <= 4; - when "0100110" => - dest <= 9; - src <= 18; - when "0100111" => - dest <= 5; - src <= 51; - when "0101000" => - dest <= 48; - src <= 75; - when "0101001" => - dest <= 36; - src <= 27; - when "0101010" => - dest <= 78; - src <= 61; - when "0101011" => - dest <= 18; - src <= 65; - when "0101100" => - dest <= 56; - src <= 18; - when "0101101" => - dest <= 70; - src <= 0; - when "0101110" => - dest <= 40; - src <= 18; - when "0101111" => - dest <= 18; - src <= 40; - when "0110000" => - dest <= 18; - src <= 78; - when "0110001" => - dest <= 13; - src <= 18; - when "0110010" => - dest <= 14; - src <= 48; - when "0110011" => - dest <= 18; - src <= 18; - when "0110100" => - dest <= 3; - src <= 70; - when "0110101" => - dest <= 18; - src <= 56; - when "0110110" => - dest <= 32; - src <= 5; - when "0110111" => - dest <= 74; - src <= 18; - when "0111000" => - dest <= 18; - src <= 32; - when "0111001" => - dest <= 42; - src <= 31; - when "0111010" => - dest <= 64; - src <= 18; - when "0111011" => - dest <= 52; - src <= 42; - when "0111100" => - dest <= 18; - src <= 18; - when "0111101" => - dest <= 55; - src <= 13; - when "0111110" => - dest <= 71; - src <= 52; - when "0111111" => - dest <= 18; - src <= 18; - when "1000000" => - dest <= 47; - src <= 3; - when "1000001" => - dest <= 18; - src <= 62; - when "1000010" => - dest <= 6; - src <= 74; - when "1000011" => - dest <= 39; - src <= 18; - when "1000100" => - dest <= 79; - src <= 71; - when "1000101" => - dest <= 18; - src <= 55; - when "1000110" => - dest <= 31; - src <= 18; - when "1000111" => - dest <= 18; - src <= 79; - when "1001000" => - dest <= 15; - src <= 18; - when "1001001" => - dest <= 12; - src <= 39; - when "1001010" => - dest <= 73; - src <= 6; - when "1001011" => - dest <= 62; - src <= 47; - when "1001100" => - dest <= 18; - src <= 18; - when "1001101" => - dest <= 2; - src <= 14; - when "1001110" => - dest <= 18; - src <= 30; - when "1001111" => - dest <= 33; - src <= 33; - when "1010000" => - dest <= 10; - src <= 53; - when "1010001" => - dest <= 43; - src <= 18; - when "1010010" => - dest <= 80; - src <= 64; - when "1010011" => - dest <= 46; - src <= 43; - when "1010100" => - dest <= 18; - src <= 35; - when "1010101" => - dest <= 7; - src <= 12; - when "1010110" => - dest <= 38; - src <= 18; - when "1010111" => - dest <= 18; - src <= 2; - when "1011000" => - dest <= 30; - src <= 46; - when "1011001" => - dest <= 17; - src <= 80; - when "1011010" => - dest <= 11; - src <= 18; - when "1011011" => - dest <= 16; - src <= 38; - when "1011100" => - dest <= 53; - src <= 7; - when "1011101" => - dest <= 0; - src <= 28; - when "1011110" => - dest <= 29; - src <= 15; - when "1011111" => - dest <= 34; - src <= 34; - when "1100000" => - dest <= 8; - src <= 29; - when "1100001" => - dest <= 37; - src <= 36; - when "1100010" => - dest <= 72; - src <= 73; - when "1100011" => - dest <= 21; - src <= 16; - when "1100100" => - dest <= 44; - src <= 11; - when "1100101" => - dest <= 18; - src <= 37; - when "1100110" => - dest <= 45; - src <= 8; - when "1100111" => - dest <= 18; - src <= 45; - when "1101000" => - dest <= 28; - src <= 24; - when "1101001" => - dest <= 20; - src <= 1; - when "1101010" => - dest <= 35; - src <= 18; - when "1101011" => - dest <= 18; - src <= 72; - when "1101100" => - dest <= 25; - src <= 17; - when "1101101" => - dest <= 18; - src <= 25; - when "1101110" => - dest <= 18; - src <= 10; - when "1101111" => - dest <= 67; - src <= 18; - when "1110000" => - dest <= 59; - src <= 20; - when others => - dest <= 18; - src <= 18; - - end case; - end process; - - end generate NI_NUM18; - - NI_NUM19 : if NI_NUM = 19 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 25; - src <= 19; - when "0000001" => - dest <= 20; - src <= 19; - when "0000010" => - dest <= 19; - src <= 19; - when "0000011" => - dest <= 69; - src <= 19; - when "0000100" => - dest <= 55; - src <= 18; - when "0000101" => - dest <= 19; - src <= 22; - when "0000110" => - dest <= 59; - src <= 19; - when "0000111" => - dest <= 19; - src <= 19; - when "0001000" => - dest <= 77; - src <= 60; - when "0001001" => - dest <= 28; - src <= 68; - when "0001010" => - dest <= 19; - src <= 64; - when "0001011" => - dest <= 67; - src <= 19; - when "0001100" => - dest <= 24; - src <= 10; - when "0001101" => - dest <= 19; - src <= 59; - when "0001110" => - dest <= 51; - src <= 19; - when "0001111" => - dest <= 64; - src <= 19; - when "0010000" => - dest <= 18; - src <= 69; - when "0010001" => - dest <= 61; - src <= 51; - when "0010010" => - dest <= 58; - src <= 23; - when "0010011" => - dest <= 2; - src <= 20; - when "0010100" => - dest <= 19; - src <= 61; - when "0010101" => - dest <= 78; - src <= 55; - when "0010110" => - dest <= 50; - src <= 19; - when "0010111" => - dest <= 19; - src <= 77; - when "0011000" => - dest <= 70; - src <= 36; - when "0011001" => - dest <= 19; - src <= 19; - when "0011010" => - dest <= 23; - src <= 67; - when "0011011" => - dest <= 19; - src <= 70; - when "0011100" => - dest <= 5; - src <= 19; - when "0011101" => - dest <= 42; - src <= 19; - when "0011110" => - dest <= 19; - src <= 50; - when "0011111" => - dest <= 76; - src <= 78; - when "0100000" => - dest <= 52; - src <= 24; - when "0100001" => - dest <= 19; - src <= 58; - when "0100010" => - dest <= 66; - src <= 19; - when "0100011" => - dest <= 62; - src <= 19; - when "0100100" => - dest <= 19; - src <= 42; - when "0100101" => - dest <= 19; - src <= 5; - when "0100110" => - dest <= 10; - src <= 19; - when "0100111" => - dest <= 6; - src <= 52; - when "0101000" => - dest <= 49; - src <= 76; - when "0101001" => - dest <= 37; - src <= 28; - when "0101010" => - dest <= 79; - src <= 62; - when "0101011" => - dest <= 19; - src <= 66; - when "0101100" => - dest <= 57; - src <= 19; - when "0101101" => - dest <= 71; - src <= 1; - when "0101110" => - dest <= 41; - src <= 19; - when "0101111" => - dest <= 19; - src <= 41; - when "0110000" => - dest <= 19; - src <= 79; - when "0110001" => - dest <= 14; - src <= 19; - when "0110010" => - dest <= 15; - src <= 49; - when "0110011" => - dest <= 19; - src <= 19; - when "0110100" => - dest <= 4; - src <= 71; - when "0110101" => - dest <= 19; - src <= 57; - when "0110110" => - dest <= 33; - src <= 6; - when "0110111" => - dest <= 75; - src <= 19; - when "0111000" => - dest <= 19; - src <= 33; - when "0111001" => - dest <= 43; - src <= 32; - when "0111010" => - dest <= 65; - src <= 19; - when "0111011" => - dest <= 53; - src <= 43; - when "0111100" => - dest <= 19; - src <= 19; - when "0111101" => - dest <= 56; - src <= 14; - when "0111110" => - dest <= 63; - src <= 53; - when "0111111" => - dest <= 19; - src <= 19; - when "1000000" => - dest <= 48; - src <= 4; - when "1000001" => - dest <= 19; - src <= 54; - when "1000010" => - dest <= 7; - src <= 75; - when "1000011" => - dest <= 40; - src <= 19; - when "1000100" => - dest <= 80; - src <= 63; - when "1000101" => - dest <= 19; - src <= 56; - when "1000110" => - dest <= 32; - src <= 19; - when "1000111" => - dest <= 19; - src <= 80; - when "1001000" => - dest <= 16; - src <= 19; - when "1001001" => - dest <= 13; - src <= 40; - when "1001010" => - dest <= 74; - src <= 7; - when "1001011" => - dest <= 54; - src <= 48; - when "1001100" => - dest <= 19; - src <= 19; - when "1001101" => - dest <= 3; - src <= 15; - when "1001110" => - dest <= 19; - src <= 31; - when "1001111" => - dest <= 34; - src <= 34; - when "1010000" => - dest <= 11; - src <= 45; - when "1010001" => - dest <= 44; - src <= 19; - when "1010010" => - dest <= 72; - src <= 65; - when "1010011" => - dest <= 47; - src <= 44; - when "1010100" => - dest <= 19; - src <= 27; - when "1010101" => - dest <= 8; - src <= 13; - when "1010110" => - dest <= 39; - src <= 19; - when "1010111" => - dest <= 19; - src <= 3; - when "1011000" => - dest <= 31; - src <= 47; - when "1011001" => - dest <= 9; - src <= 72; - when "1011010" => - dest <= 12; - src <= 19; - when "1011011" => - dest <= 17; - src <= 39; - when "1011100" => - dest <= 45; - src <= 8; - when "1011101" => - dest <= 1; - src <= 29; - when "1011110" => - dest <= 30; - src <= 16; - when "1011111" => - dest <= 35; - src <= 35; - when "1100000" => - dest <= 0; - src <= 30; - when "1100001" => - dest <= 38; - src <= 37; - when "1100010" => - dest <= 73; - src <= 74; - when "1100011" => - dest <= 22; - src <= 17; - when "1100100" => - dest <= 36; - src <= 12; - when "1100101" => - dest <= 19; - src <= 38; - when "1100110" => - dest <= 46; - src <= 0; - when "1100111" => - dest <= 19; - src <= 46; - when "1101000" => - dest <= 29; - src <= 25; - when "1101001" => - dest <= 21; - src <= 2; - when "1101010" => - dest <= 27; - src <= 19; - when "1101011" => - dest <= 19; - src <= 73; - when "1101100" => - dest <= 26; - src <= 9; - when "1101101" => - dest <= 19; - src <= 26; - when "1101110" => - dest <= 19; - src <= 11; - when "1101111" => - dest <= 68; - src <= 19; - when "1110000" => - dest <= 60; - src <= 21; - when others => - dest <= 19; - src <= 19; - - end case; - end process; - - end generate NI_NUM19; - - NI_NUM20 : if NI_NUM = 20 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 26; - src <= 20; - when "0000001" => - dest <= 21; - src <= 20; - when "0000010" => - dest <= 20; - src <= 20; - when "0000011" => - dest <= 70; - src <= 20; - when "0000100" => - dest <= 56; - src <= 19; - when "0000101" => - dest <= 20; - src <= 23; - when "0000110" => - dest <= 60; - src <= 20; - when "0000111" => - dest <= 20; - src <= 20; - when "0001000" => - dest <= 78; - src <= 61; - when "0001001" => - dest <= 29; - src <= 69; - when "0001010" => - dest <= 20; - src <= 65; - when "0001011" => - dest <= 68; - src <= 20; - when "0001100" => - dest <= 25; - src <= 11; - when "0001101" => - dest <= 20; - src <= 60; - when "0001110" => - dest <= 52; - src <= 20; - when "0001111" => - dest <= 65; - src <= 20; - when "0010000" => - dest <= 19; - src <= 70; - when "0010001" => - dest <= 62; - src <= 52; - when "0010010" => - dest <= 59; - src <= 24; - when "0010011" => - dest <= 3; - src <= 21; - when "0010100" => - dest <= 20; - src <= 62; - when "0010101" => - dest <= 79; - src <= 56; - when "0010110" => - dest <= 51; - src <= 20; - when "0010111" => - dest <= 20; - src <= 78; - when "0011000" => - dest <= 71; - src <= 37; - when "0011001" => - dest <= 20; - src <= 20; - when "0011010" => - dest <= 24; - src <= 68; - when "0011011" => - dest <= 20; - src <= 71; - when "0011100" => - dest <= 6; - src <= 20; - when "0011101" => - dest <= 43; - src <= 20; - when "0011110" => - dest <= 20; - src <= 51; - when "0011111" => - dest <= 77; - src <= 79; - when "0100000" => - dest <= 53; - src <= 25; - when "0100001" => - dest <= 20; - src <= 59; - when "0100010" => - dest <= 67; - src <= 20; - when "0100011" => - dest <= 54; - src <= 20; - when "0100100" => - dest <= 20; - src <= 43; - when "0100101" => - dest <= 20; - src <= 6; - when "0100110" => - dest <= 11; - src <= 20; - when "0100111" => - dest <= 7; - src <= 53; - when "0101000" => - dest <= 50; - src <= 77; - when "0101001" => - dest <= 38; - src <= 29; - when "0101010" => - dest <= 80; - src <= 54; - when "0101011" => - dest <= 20; - src <= 67; - when "0101100" => - dest <= 58; - src <= 20; - when "0101101" => - dest <= 63; - src <= 2; - when "0101110" => - dest <= 42; - src <= 20; - when "0101111" => - dest <= 20; - src <= 42; - when "0110000" => - dest <= 20; - src <= 80; - when "0110001" => - dest <= 15; - src <= 20; - when "0110010" => - dest <= 16; - src <= 50; - when "0110011" => - dest <= 20; - src <= 20; - when "0110100" => - dest <= 5; - src <= 63; - when "0110101" => - dest <= 20; - src <= 58; - when "0110110" => - dest <= 34; - src <= 7; - when "0110111" => - dest <= 76; - src <= 20; - when "0111000" => - dest <= 20; - src <= 34; - when "0111001" => - dest <= 44; - src <= 33; - when "0111010" => - dest <= 66; - src <= 20; - when "0111011" => - dest <= 45; - src <= 44; - when "0111100" => - dest <= 20; - src <= 20; - when "0111101" => - dest <= 57; - src <= 15; - when "0111110" => - dest <= 64; - src <= 45; - when "0111111" => - dest <= 20; - src <= 20; - when "1000000" => - dest <= 49; - src <= 5; - when "1000001" => - dest <= 20; - src <= 55; - when "1000010" => - dest <= 8; - src <= 76; - when "1000011" => - dest <= 41; - src <= 20; - when "1000100" => - dest <= 72; - src <= 64; - when "1000101" => - dest <= 20; - src <= 57; - when "1000110" => - dest <= 33; - src <= 20; - when "1000111" => - dest <= 20; - src <= 72; - when "1001000" => - dest <= 17; - src <= 20; - when "1001001" => - dest <= 14; - src <= 41; - when "1001010" => - dest <= 75; - src <= 8; - when "1001011" => - dest <= 55; - src <= 49; - when "1001100" => - dest <= 20; - src <= 20; - when "1001101" => - dest <= 4; - src <= 16; - when "1001110" => - dest <= 20; - src <= 32; - when "1001111" => - dest <= 35; - src <= 35; - when "1010000" => - dest <= 12; - src <= 46; - when "1010001" => - dest <= 36; - src <= 20; - when "1010010" => - dest <= 73; - src <= 66; - when "1010011" => - dest <= 48; - src <= 36; - when "1010100" => - dest <= 20; - src <= 28; - when "1010101" => - dest <= 0; - src <= 14; - when "1010110" => - dest <= 40; - src <= 20; - when "1010111" => - dest <= 20; - src <= 4; - when "1011000" => - dest <= 32; - src <= 48; - when "1011001" => - dest <= 10; - src <= 73; - when "1011010" => - dest <= 13; - src <= 20; - when "1011011" => - dest <= 9; - src <= 40; - when "1011100" => - dest <= 46; - src <= 0; - when "1011101" => - dest <= 2; - src <= 30; - when "1011110" => - dest <= 31; - src <= 17; - when "1011111" => - dest <= 27; - src <= 27; - when "1100000" => - dest <= 1; - src <= 31; - when "1100001" => - dest <= 39; - src <= 38; - when "1100010" => - dest <= 74; - src <= 75; - when "1100011" => - dest <= 23; - src <= 9; - when "1100100" => - dest <= 37; - src <= 13; - when "1100101" => - dest <= 20; - src <= 39; - when "1100110" => - dest <= 47; - src <= 1; - when "1100111" => - dest <= 20; - src <= 47; - when "1101000" => - dest <= 30; - src <= 26; - when "1101001" => - dest <= 22; - src <= 3; - when "1101010" => - dest <= 28; - src <= 20; - when "1101011" => - dest <= 20; - src <= 74; - when "1101100" => - dest <= 18; - src <= 10; - when "1101101" => - dest <= 20; - src <= 18; - when "1101110" => - dest <= 20; - src <= 12; - when "1101111" => - dest <= 69; - src <= 20; - when "1110000" => - dest <= 61; - src <= 22; - when others => - dest <= 20; - src <= 20; - - end case; - end process; - - end generate NI_NUM20; - - NI_NUM21 : if NI_NUM = 21 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 18; - src <= 21; - when "0000001" => - dest <= 22; - src <= 21; - when "0000010" => - dest <= 21; - src <= 21; - when "0000011" => - dest <= 71; - src <= 21; - when "0000100" => - dest <= 57; - src <= 20; - when "0000101" => - dest <= 21; - src <= 24; - when "0000110" => - dest <= 61; - src <= 21; - when "0000111" => - dest <= 21; - src <= 21; - when "0001000" => - dest <= 79; - src <= 62; - when "0001001" => - dest <= 30; - src <= 70; - when "0001010" => - dest <= 21; - src <= 66; - when "0001011" => - dest <= 69; - src <= 21; - when "0001100" => - dest <= 26; - src <= 12; - when "0001101" => - dest <= 21; - src <= 61; - when "0001110" => - dest <= 53; - src <= 21; - when "0001111" => - dest <= 66; - src <= 21; - when "0010000" => - dest <= 20; - src <= 71; - when "0010001" => - dest <= 54; - src <= 53; - when "0010010" => - dest <= 60; - src <= 25; - when "0010011" => - dest <= 4; - src <= 22; - when "0010100" => - dest <= 21; - src <= 54; - when "0010101" => - dest <= 80; - src <= 57; - when "0010110" => - dest <= 52; - src <= 21; - when "0010111" => - dest <= 21; - src <= 79; - when "0011000" => - dest <= 63; - src <= 38; - when "0011001" => - dest <= 21; - src <= 21; - when "0011010" => - dest <= 25; - src <= 69; - when "0011011" => - dest <= 21; - src <= 63; - when "0011100" => - dest <= 7; - src <= 21; - when "0011101" => - dest <= 44; - src <= 21; - when "0011110" => - dest <= 21; - src <= 52; - when "0011111" => - dest <= 78; - src <= 80; - when "0100000" => - dest <= 45; - src <= 26; - when "0100001" => - dest <= 21; - src <= 60; - when "0100010" => - dest <= 68; - src <= 21; - when "0100011" => - dest <= 55; - src <= 21; - when "0100100" => - dest <= 21; - src <= 44; - when "0100101" => - dest <= 21; - src <= 7; - when "0100110" => - dest <= 12; - src <= 21; - when "0100111" => - dest <= 8; - src <= 45; - when "0101000" => - dest <= 51; - src <= 78; - when "0101001" => - dest <= 39; - src <= 30; - when "0101010" => - dest <= 72; - src <= 55; - when "0101011" => - dest <= 21; - src <= 68; - when "0101100" => - dest <= 59; - src <= 21; - when "0101101" => - dest <= 64; - src <= 3; - when "0101110" => - dest <= 43; - src <= 21; - when "0101111" => - dest <= 21; - src <= 43; - when "0110000" => - dest <= 21; - src <= 72; - when "0110001" => - dest <= 16; - src <= 21; - when "0110010" => - dest <= 17; - src <= 51; - when "0110011" => - dest <= 21; - src <= 21; - when "0110100" => - dest <= 6; - src <= 64; - when "0110101" => - dest <= 21; - src <= 59; - when "0110110" => - dest <= 35; - src <= 8; - when "0110111" => - dest <= 77; - src <= 21; - when "0111000" => - dest <= 21; - src <= 35; - when "0111001" => - dest <= 36; - src <= 34; - when "0111010" => - dest <= 67; - src <= 21; - when "0111011" => - dest <= 46; - src <= 36; - when "0111100" => - dest <= 21; - src <= 21; - when "0111101" => - dest <= 58; - src <= 16; - when "0111110" => - dest <= 65; - src <= 46; - when "0111111" => - dest <= 21; - src <= 21; - when "1000000" => - dest <= 50; - src <= 6; - when "1000001" => - dest <= 21; - src <= 56; - when "1000010" => - dest <= 0; - src <= 77; - when "1000011" => - dest <= 42; - src <= 21; - when "1000100" => - dest <= 73; - src <= 65; - when "1000101" => - dest <= 21; - src <= 58; - when "1000110" => - dest <= 34; - src <= 21; - when "1000111" => - dest <= 21; - src <= 73; - when "1001000" => - dest <= 9; - src <= 21; - when "1001001" => - dest <= 15; - src <= 42; - when "1001010" => - dest <= 76; - src <= 0; - when "1001011" => - dest <= 56; - src <= 50; - when "1001100" => - dest <= 21; - src <= 21; - when "1001101" => - dest <= 5; - src <= 17; - when "1001110" => - dest <= 21; - src <= 33; - when "1001111" => - dest <= 27; - src <= 27; - when "1010000" => - dest <= 13; - src <= 47; - when "1010001" => - dest <= 37; - src <= 21; - when "1010010" => - dest <= 74; - src <= 67; - when "1010011" => - dest <= 49; - src <= 37; - when "1010100" => - dest <= 21; - src <= 29; - when "1010101" => - dest <= 1; - src <= 15; - when "1010110" => - dest <= 41; - src <= 21; - when "1010111" => - dest <= 21; - src <= 5; - when "1011000" => - dest <= 33; - src <= 49; - when "1011001" => - dest <= 11; - src <= 74; - when "1011010" => - dest <= 14; - src <= 21; - when "1011011" => - dest <= 10; - src <= 41; - when "1011100" => - dest <= 47; - src <= 1; - when "1011101" => - dest <= 3; - src <= 31; - when "1011110" => - dest <= 32; - src <= 9; - when "1011111" => - dest <= 28; - src <= 28; - when "1100000" => - dest <= 2; - src <= 32; - when "1100001" => - dest <= 40; - src <= 39; - when "1100010" => - dest <= 75; - src <= 76; - when "1100011" => - dest <= 24; - src <= 10; - when "1100100" => - dest <= 38; - src <= 14; - when "1100101" => - dest <= 21; - src <= 40; - when "1100110" => - dest <= 48; - src <= 2; - when "1100111" => - dest <= 21; - src <= 48; - when "1101000" => - dest <= 31; - src <= 18; - when "1101001" => - dest <= 23; - src <= 4; - when "1101010" => - dest <= 29; - src <= 21; - when "1101011" => - dest <= 21; - src <= 75; - when "1101100" => - dest <= 19; - src <= 11; - when "1101101" => - dest <= 21; - src <= 19; - when "1101110" => - dest <= 21; - src <= 13; - when "1101111" => - dest <= 70; - src <= 21; - when "1110000" => - dest <= 62; - src <= 23; - when others => - dest <= 21; - src <= 21; - - end case; - end process; - - end generate NI_NUM21; - - NI_NUM22 : if NI_NUM = 22 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 19; - src <= 22; - when "0000001" => - dest <= 23; - src <= 22; - when "0000010" => - dest <= 22; - src <= 22; - when "0000011" => - dest <= 63; - src <= 22; - when "0000100" => - dest <= 58; - src <= 21; - when "0000101" => - dest <= 22; - src <= 25; - when "0000110" => - dest <= 62; - src <= 22; - when "0000111" => - dest <= 22; - src <= 22; - when "0001000" => - dest <= 80; - src <= 54; - when "0001001" => - dest <= 31; - src <= 71; - when "0001010" => - dest <= 22; - src <= 67; - when "0001011" => - dest <= 70; - src <= 22; - when "0001100" => - dest <= 18; - src <= 13; - when "0001101" => - dest <= 22; - src <= 62; - when "0001110" => - dest <= 45; - src <= 22; - when "0001111" => - dest <= 67; - src <= 22; - when "0010000" => - dest <= 21; - src <= 63; - when "0010001" => - dest <= 55; - src <= 45; - when "0010010" => - dest <= 61; - src <= 26; - when "0010011" => - dest <= 5; - src <= 23; - when "0010100" => - dest <= 22; - src <= 55; - when "0010101" => - dest <= 72; - src <= 58; - when "0010110" => - dest <= 53; - src <= 22; - when "0010111" => - dest <= 22; - src <= 80; - when "0011000" => - dest <= 64; - src <= 39; - when "0011001" => - dest <= 22; - src <= 22; - when "0011010" => - dest <= 26; - src <= 70; - when "0011011" => - dest <= 22; - src <= 64; - when "0011100" => - dest <= 8; - src <= 22; - when "0011101" => - dest <= 36; - src <= 22; - when "0011110" => - dest <= 22; - src <= 53; - when "0011111" => - dest <= 79; - src <= 72; - when "0100000" => - dest <= 46; - src <= 18; - when "0100001" => - dest <= 22; - src <= 61; - when "0100010" => - dest <= 69; - src <= 22; - when "0100011" => - dest <= 56; - src <= 22; - when "0100100" => - dest <= 22; - src <= 36; - when "0100101" => - dest <= 22; - src <= 8; - when "0100110" => - dest <= 13; - src <= 22; - when "0100111" => - dest <= 0; - src <= 46; - when "0101000" => - dest <= 52; - src <= 79; - when "0101001" => - dest <= 40; - src <= 31; - when "0101010" => - dest <= 73; - src <= 56; - when "0101011" => - dest <= 22; - src <= 69; - when "0101100" => - dest <= 60; - src <= 22; - when "0101101" => - dest <= 65; - src <= 4; - when "0101110" => - dest <= 44; - src <= 22; - when "0101111" => - dest <= 22; - src <= 44; - when "0110000" => - dest <= 22; - src <= 73; - when "0110001" => - dest <= 17; - src <= 22; - when "0110010" => - dest <= 9; - src <= 52; - when "0110011" => - dest <= 22; - src <= 22; - when "0110100" => - dest <= 7; - src <= 65; - when "0110101" => - dest <= 22; - src <= 60; - when "0110110" => - dest <= 27; - src <= 0; - when "0110111" => - dest <= 78; - src <= 22; - when "0111000" => - dest <= 22; - src <= 27; - when "0111001" => - dest <= 37; - src <= 35; - when "0111010" => - dest <= 68; - src <= 22; - when "0111011" => - dest <= 47; - src <= 37; - when "0111100" => - dest <= 22; - src <= 22; - when "0111101" => - dest <= 59; - src <= 17; - when "0111110" => - dest <= 66; - src <= 47; - when "0111111" => - dest <= 22; - src <= 22; - when "1000000" => - dest <= 51; - src <= 7; - when "1000001" => - dest <= 22; - src <= 57; - when "1000010" => - dest <= 1; - src <= 78; - when "1000011" => - dest <= 43; - src <= 22; - when "1000100" => - dest <= 74; - src <= 66; - when "1000101" => - dest <= 22; - src <= 59; - when "1000110" => - dest <= 35; - src <= 22; - when "1000111" => - dest <= 22; - src <= 74; - when "1001000" => - dest <= 10; - src <= 22; - when "1001001" => - dest <= 16; - src <= 43; - when "1001010" => - dest <= 77; - src <= 1; - when "1001011" => - dest <= 57; - src <= 51; - when "1001100" => - dest <= 22; - src <= 22; - when "1001101" => - dest <= 6; - src <= 9; - when "1001110" => - dest <= 22; - src <= 34; - when "1001111" => - dest <= 28; - src <= 28; - when "1010000" => - dest <= 14; - src <= 48; - when "1010001" => - dest <= 38; - src <= 22; - when "1010010" => - dest <= 75; - src <= 68; - when "1010011" => - dest <= 50; - src <= 38; - when "1010100" => - dest <= 22; - src <= 30; - when "1010101" => - dest <= 2; - src <= 16; - when "1010110" => - dest <= 42; - src <= 22; - when "1010111" => - dest <= 22; - src <= 6; - when "1011000" => - dest <= 34; - src <= 50; - when "1011001" => - dest <= 12; - src <= 75; - when "1011010" => - dest <= 15; - src <= 22; - when "1011011" => - dest <= 11; - src <= 42; - when "1011100" => - dest <= 48; - src <= 2; - when "1011101" => - dest <= 4; - src <= 32; - when "1011110" => - dest <= 33; - src <= 10; - when "1011111" => - dest <= 29; - src <= 29; - when "1100000" => - dest <= 3; - src <= 33; - when "1100001" => - dest <= 41; - src <= 40; - when "1100010" => - dest <= 76; - src <= 77; - when "1100011" => - dest <= 25; - src <= 11; - when "1100100" => - dest <= 39; - src <= 15; - when "1100101" => - dest <= 22; - src <= 41; - when "1100110" => - dest <= 49; - src <= 3; - when "1100111" => - dest <= 22; - src <= 49; - when "1101000" => - dest <= 32; - src <= 19; - when "1101001" => - dest <= 24; - src <= 5; - when "1101010" => - dest <= 30; - src <= 22; - when "1101011" => - dest <= 22; - src <= 76; - when "1101100" => - dest <= 20; - src <= 12; - when "1101101" => - dest <= 22; - src <= 20; - when "1101110" => - dest <= 22; - src <= 14; - when "1101111" => - dest <= 71; - src <= 22; - when "1110000" => - dest <= 54; - src <= 24; - when others => - dest <= 22; - src <= 22; - - end case; - end process; - - end generate NI_NUM22; - - NI_NUM23 : if NI_NUM = 23 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 20; - src <= 23; - when "0000001" => - dest <= 24; - src <= 23; - when "0000010" => - dest <= 23; - src <= 23; - when "0000011" => - dest <= 64; - src <= 23; - when "0000100" => - dest <= 59; - src <= 22; - when "0000101" => - dest <= 23; - src <= 26; - when "0000110" => - dest <= 54; - src <= 23; - when "0000111" => - dest <= 23; - src <= 23; - when "0001000" => - dest <= 72; - src <= 55; - when "0001001" => - dest <= 32; - src <= 63; - when "0001010" => - dest <= 23; - src <= 68; - when "0001011" => - dest <= 71; - src <= 23; - when "0001100" => - dest <= 19; - src <= 14; - when "0001101" => - dest <= 23; - src <= 54; - when "0001110" => - dest <= 46; - src <= 23; - when "0001111" => - dest <= 68; - src <= 23; - when "0010000" => - dest <= 22; - src <= 64; - when "0010001" => - dest <= 56; - src <= 46; - when "0010010" => - dest <= 62; - src <= 18; - when "0010011" => - dest <= 6; - src <= 24; - when "0010100" => - dest <= 23; - src <= 56; - when "0010101" => - dest <= 73; - src <= 59; - when "0010110" => - dest <= 45; - src <= 23; - when "0010111" => - dest <= 23; - src <= 72; - when "0011000" => - dest <= 65; - src <= 40; - when "0011001" => - dest <= 23; - src <= 23; - when "0011010" => - dest <= 18; - src <= 71; - when "0011011" => - dest <= 23; - src <= 65; - when "0011100" => - dest <= 0; - src <= 23; - when "0011101" => - dest <= 37; - src <= 23; - when "0011110" => - dest <= 23; - src <= 45; - when "0011111" => - dest <= 80; - src <= 73; - when "0100000" => - dest <= 47; - src <= 19; - when "0100001" => - dest <= 23; - src <= 62; - when "0100010" => - dest <= 70; - src <= 23; - when "0100011" => - dest <= 57; - src <= 23; - when "0100100" => - dest <= 23; - src <= 37; - when "0100101" => - dest <= 23; - src <= 0; - when "0100110" => - dest <= 14; - src <= 23; - when "0100111" => - dest <= 1; - src <= 47; - when "0101000" => - dest <= 53; - src <= 80; - when "0101001" => - dest <= 41; - src <= 32; - when "0101010" => - dest <= 74; - src <= 57; - when "0101011" => - dest <= 23; - src <= 70; - when "0101100" => - dest <= 61; - src <= 23; - when "0101101" => - dest <= 66; - src <= 5; - when "0101110" => - dest <= 36; - src <= 23; - when "0101111" => - dest <= 23; - src <= 36; - when "0110000" => - dest <= 23; - src <= 74; - when "0110001" => - dest <= 9; - src <= 23; - when "0110010" => - dest <= 10; - src <= 53; - when "0110011" => - dest <= 23; - src <= 23; - when "0110100" => - dest <= 8; - src <= 66; - when "0110101" => - dest <= 23; - src <= 61; - when "0110110" => - dest <= 28; - src <= 1; - when "0110111" => - dest <= 79; - src <= 23; - when "0111000" => - dest <= 23; - src <= 28; - when "0111001" => - dest <= 38; - src <= 27; - when "0111010" => - dest <= 69; - src <= 23; - when "0111011" => - dest <= 48; - src <= 38; - when "0111100" => - dest <= 23; - src <= 23; - when "0111101" => - dest <= 60; - src <= 9; - when "0111110" => - dest <= 67; - src <= 48; - when "0111111" => - dest <= 23; - src <= 23; - when "1000000" => - dest <= 52; - src <= 8; - when "1000001" => - dest <= 23; - src <= 58; - when "1000010" => - dest <= 2; - src <= 79; - when "1000011" => - dest <= 44; - src <= 23; - when "1000100" => - dest <= 75; - src <= 67; - when "1000101" => - dest <= 23; - src <= 60; - when "1000110" => - dest <= 27; - src <= 23; - when "1000111" => - dest <= 23; - src <= 75; - when "1001000" => - dest <= 11; - src <= 23; - when "1001001" => - dest <= 17; - src <= 44; - when "1001010" => - dest <= 78; - src <= 2; - when "1001011" => - dest <= 58; - src <= 52; - when "1001100" => - dest <= 23; - src <= 23; - when "1001101" => - dest <= 7; - src <= 10; - when "1001110" => - dest <= 23; - src <= 35; - when "1001111" => - dest <= 29; - src <= 29; - when "1010000" => - dest <= 15; - src <= 49; - when "1010001" => - dest <= 39; - src <= 23; - when "1010010" => - dest <= 76; - src <= 69; - when "1010011" => - dest <= 51; - src <= 39; - when "1010100" => - dest <= 23; - src <= 31; - when "1010101" => - dest <= 3; - src <= 17; - when "1010110" => - dest <= 43; - src <= 23; - when "1010111" => - dest <= 23; - src <= 7; - when "1011000" => - dest <= 35; - src <= 51; - when "1011001" => - dest <= 13; - src <= 76; - when "1011010" => - dest <= 16; - src <= 23; - when "1011011" => - dest <= 12; - src <= 43; - when "1011100" => - dest <= 49; - src <= 3; - when "1011101" => - dest <= 5; - src <= 33; - when "1011110" => - dest <= 34; - src <= 11; - when "1011111" => - dest <= 30; - src <= 30; - when "1100000" => - dest <= 4; - src <= 34; - when "1100001" => - dest <= 42; - src <= 41; - when "1100010" => - dest <= 77; - src <= 78; - when "1100011" => - dest <= 26; - src <= 12; - when "1100100" => - dest <= 40; - src <= 16; - when "1100101" => - dest <= 23; - src <= 42; - when "1100110" => - dest <= 50; - src <= 4; - when "1100111" => - dest <= 23; - src <= 50; - when "1101000" => - dest <= 33; - src <= 20; - when "1101001" => - dest <= 25; - src <= 6; - when "1101010" => - dest <= 31; - src <= 23; - when "1101011" => - dest <= 23; - src <= 77; - when "1101100" => - dest <= 21; - src <= 13; - when "1101101" => - dest <= 23; - src <= 21; - when "1101110" => - dest <= 23; - src <= 15; - when "1101111" => - dest <= 63; - src <= 23; - when "1110000" => - dest <= 55; - src <= 25; - when others => - dest <= 23; - src <= 23; - - end case; - end process; - - end generate NI_NUM23; - - NI_NUM24 : if NI_NUM = 24 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 21; - src <= 24; - when "0000001" => - dest <= 25; - src <= 24; - when "0000010" => - dest <= 24; - src <= 24; - when "0000011" => - dest <= 65; - src <= 24; - when "0000100" => - dest <= 60; - src <= 23; - when "0000101" => - dest <= 24; - src <= 18; - when "0000110" => - dest <= 55; - src <= 24; - when "0000111" => - dest <= 24; - src <= 24; - when "0001000" => - dest <= 73; - src <= 56; - when "0001001" => - dest <= 33; - src <= 64; - when "0001010" => - dest <= 24; - src <= 69; - when "0001011" => - dest <= 63; - src <= 24; - when "0001100" => - dest <= 20; - src <= 15; - when "0001101" => - dest <= 24; - src <= 55; - when "0001110" => - dest <= 47; - src <= 24; - when "0001111" => - dest <= 69; - src <= 24; - when "0010000" => - dest <= 23; - src <= 65; - when "0010001" => - dest <= 57; - src <= 47; - when "0010010" => - dest <= 54; - src <= 19; - when "0010011" => - dest <= 7; - src <= 25; - when "0010100" => - dest <= 24; - src <= 57; - when "0010101" => - dest <= 74; - src <= 60; - when "0010110" => - dest <= 46; - src <= 24; - when "0010111" => - dest <= 24; - src <= 73; - when "0011000" => - dest <= 66; - src <= 41; - when "0011001" => - dest <= 24; - src <= 24; - when "0011010" => - dest <= 19; - src <= 63; - when "0011011" => - dest <= 24; - src <= 66; - when "0011100" => - dest <= 1; - src <= 24; - when "0011101" => - dest <= 38; - src <= 24; - when "0011110" => - dest <= 24; - src <= 46; - when "0011111" => - dest <= 72; - src <= 74; - when "0100000" => - dest <= 48; - src <= 20; - when "0100001" => - dest <= 24; - src <= 54; - when "0100010" => - dest <= 71; - src <= 24; - when "0100011" => - dest <= 58; - src <= 24; - when "0100100" => - dest <= 24; - src <= 38; - when "0100101" => - dest <= 24; - src <= 1; - when "0100110" => - dest <= 15; - src <= 24; - when "0100111" => - dest <= 2; - src <= 48; - when "0101000" => - dest <= 45; - src <= 72; - when "0101001" => - dest <= 42; - src <= 33; - when "0101010" => - dest <= 75; - src <= 58; - when "0101011" => - dest <= 24; - src <= 71; - when "0101100" => - dest <= 62; - src <= 24; - when "0101101" => - dest <= 67; - src <= 6; - when "0101110" => - dest <= 37; - src <= 24; - when "0101111" => - dest <= 24; - src <= 37; - when "0110000" => - dest <= 24; - src <= 75; - when "0110001" => - dest <= 10; - src <= 24; - when "0110010" => - dest <= 11; - src <= 45; - when "0110011" => - dest <= 24; - src <= 24; - when "0110100" => - dest <= 0; - src <= 67; - when "0110101" => - dest <= 24; - src <= 62; - when "0110110" => - dest <= 29; - src <= 2; - when "0110111" => - dest <= 80; - src <= 24; - when "0111000" => - dest <= 24; - src <= 29; - when "0111001" => - dest <= 39; - src <= 28; - when "0111010" => - dest <= 70; - src <= 24; - when "0111011" => - dest <= 49; - src <= 39; - when "0111100" => - dest <= 24; - src <= 24; - when "0111101" => - dest <= 61; - src <= 10; - when "0111110" => - dest <= 68; - src <= 49; - when "0111111" => - dest <= 24; - src <= 24; - when "1000000" => - dest <= 53; - src <= 0; - when "1000001" => - dest <= 24; - src <= 59; - when "1000010" => - dest <= 3; - src <= 80; - when "1000011" => - dest <= 36; - src <= 24; - when "1000100" => - dest <= 76; - src <= 68; - when "1000101" => - dest <= 24; - src <= 61; - when "1000110" => - dest <= 28; - src <= 24; - when "1000111" => - dest <= 24; - src <= 76; - when "1001000" => - dest <= 12; - src <= 24; - when "1001001" => - dest <= 9; - src <= 36; - when "1001010" => - dest <= 79; - src <= 3; - when "1001011" => - dest <= 59; - src <= 53; - when "1001100" => - dest <= 24; - src <= 24; - when "1001101" => - dest <= 8; - src <= 11; - when "1001110" => - dest <= 24; - src <= 27; - when "1001111" => - dest <= 30; - src <= 30; - when "1010000" => - dest <= 16; - src <= 50; - when "1010001" => - dest <= 40; - src <= 24; - when "1010010" => - dest <= 77; - src <= 70; - when "1010011" => - dest <= 52; - src <= 40; - when "1010100" => - dest <= 24; - src <= 32; - when "1010101" => - dest <= 4; - src <= 9; - when "1010110" => - dest <= 44; - src <= 24; - when "1010111" => - dest <= 24; - src <= 8; - when "1011000" => - dest <= 27; - src <= 52; - when "1011001" => - dest <= 14; - src <= 77; - when "1011010" => - dest <= 17; - src <= 24; - when "1011011" => - dest <= 13; - src <= 44; - when "1011100" => - dest <= 50; - src <= 4; - when "1011101" => - dest <= 6; - src <= 34; - when "1011110" => - dest <= 35; - src <= 12; - when "1011111" => - dest <= 31; - src <= 31; - when "1100000" => - dest <= 5; - src <= 35; - when "1100001" => - dest <= 43; - src <= 42; - when "1100010" => - dest <= 78; - src <= 79; - when "1100011" => - dest <= 18; - src <= 13; - when "1100100" => - dest <= 41; - src <= 17; - when "1100101" => - dest <= 24; - src <= 43; - when "1100110" => - dest <= 51; - src <= 5; - when "1100111" => - dest <= 24; - src <= 51; - when "1101000" => - dest <= 34; - src <= 21; - when "1101001" => - dest <= 26; - src <= 7; - when "1101010" => - dest <= 32; - src <= 24; - when "1101011" => - dest <= 24; - src <= 78; - when "1101100" => - dest <= 22; - src <= 14; - when "1101101" => - dest <= 24; - src <= 22; - when "1101110" => - dest <= 24; - src <= 16; - when "1101111" => - dest <= 64; - src <= 24; - when "1110000" => - dest <= 56; - src <= 26; - when others => - dest <= 24; - src <= 24; - - end case; - end process; - - end generate NI_NUM24; - - NI_NUM25 : if NI_NUM = 25 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 22; - src <= 25; - when "0000001" => - dest <= 26; - src <= 25; - when "0000010" => - dest <= 25; - src <= 25; - when "0000011" => - dest <= 66; - src <= 25; - when "0000100" => - dest <= 61; - src <= 24; - when "0000101" => - dest <= 25; - src <= 19; - when "0000110" => - dest <= 56; - src <= 25; - when "0000111" => - dest <= 25; - src <= 25; - when "0001000" => - dest <= 74; - src <= 57; - when "0001001" => - dest <= 34; - src <= 65; - when "0001010" => - dest <= 25; - src <= 70; - when "0001011" => - dest <= 64; - src <= 25; - when "0001100" => - dest <= 21; - src <= 16; - when "0001101" => - dest <= 25; - src <= 56; - when "0001110" => - dest <= 48; - src <= 25; - when "0001111" => - dest <= 70; - src <= 25; - when "0010000" => - dest <= 24; - src <= 66; - when "0010001" => - dest <= 58; - src <= 48; - when "0010010" => - dest <= 55; - src <= 20; - when "0010011" => - dest <= 8; - src <= 26; - when "0010100" => - dest <= 25; - src <= 58; - when "0010101" => - dest <= 75; - src <= 61; - when "0010110" => - dest <= 47; - src <= 25; - when "0010111" => - dest <= 25; - src <= 74; - when "0011000" => - dest <= 67; - src <= 42; - when "0011001" => - dest <= 25; - src <= 25; - when "0011010" => - dest <= 20; - src <= 64; - when "0011011" => - dest <= 25; - src <= 67; - when "0011100" => - dest <= 2; - src <= 25; - when "0011101" => - dest <= 39; - src <= 25; - when "0011110" => - dest <= 25; - src <= 47; - when "0011111" => - dest <= 73; - src <= 75; - when "0100000" => - dest <= 49; - src <= 21; - when "0100001" => - dest <= 25; - src <= 55; - when "0100010" => - dest <= 63; - src <= 25; - when "0100011" => - dest <= 59; - src <= 25; - when "0100100" => - dest <= 25; - src <= 39; - when "0100101" => - dest <= 25; - src <= 2; - when "0100110" => - dest <= 16; - src <= 25; - when "0100111" => - dest <= 3; - src <= 49; - when "0101000" => - dest <= 46; - src <= 73; - when "0101001" => - dest <= 43; - src <= 34; - when "0101010" => - dest <= 76; - src <= 59; - when "0101011" => - dest <= 25; - src <= 63; - when "0101100" => - dest <= 54; - src <= 25; - when "0101101" => - dest <= 68; - src <= 7; - when "0101110" => - dest <= 38; - src <= 25; - when "0101111" => - dest <= 25; - src <= 38; - when "0110000" => - dest <= 25; - src <= 76; - when "0110001" => - dest <= 11; - src <= 25; - when "0110010" => - dest <= 12; - src <= 46; - when "0110011" => - dest <= 25; - src <= 25; - when "0110100" => - dest <= 1; - src <= 68; - when "0110101" => - dest <= 25; - src <= 54; - when "0110110" => - dest <= 30; - src <= 3; - when "0110111" => - dest <= 72; - src <= 25; - when "0111000" => - dest <= 25; - src <= 30; - when "0111001" => - dest <= 40; - src <= 29; - when "0111010" => - dest <= 71; - src <= 25; - when "0111011" => - dest <= 50; - src <= 40; - when "0111100" => - dest <= 25; - src <= 25; - when "0111101" => - dest <= 62; - src <= 11; - when "0111110" => - dest <= 69; - src <= 50; - when "0111111" => - dest <= 25; - src <= 25; - when "1000000" => - dest <= 45; - src <= 1; - when "1000001" => - dest <= 25; - src <= 60; - when "1000010" => - dest <= 4; - src <= 72; - when "1000011" => - dest <= 37; - src <= 25; - when "1000100" => - dest <= 77; - src <= 69; - when "1000101" => - dest <= 25; - src <= 62; - when "1000110" => - dest <= 29; - src <= 25; - when "1000111" => - dest <= 25; - src <= 77; - when "1001000" => - dest <= 13; - src <= 25; - when "1001001" => - dest <= 10; - src <= 37; - when "1001010" => - dest <= 80; - src <= 4; - when "1001011" => - dest <= 60; - src <= 45; - when "1001100" => - dest <= 25; - src <= 25; - when "1001101" => - dest <= 0; - src <= 12; - when "1001110" => - dest <= 25; - src <= 28; - when "1001111" => - dest <= 31; - src <= 31; - when "1010000" => - dest <= 17; - src <= 51; - when "1010001" => - dest <= 41; - src <= 25; - when "1010010" => - dest <= 78; - src <= 71; - when "1010011" => - dest <= 53; - src <= 41; - when "1010100" => - dest <= 25; - src <= 33; - when "1010101" => - dest <= 5; - src <= 10; - when "1010110" => - dest <= 36; - src <= 25; - when "1010111" => - dest <= 25; - src <= 0; - when "1011000" => - dest <= 28; - src <= 53; - when "1011001" => - dest <= 15; - src <= 78; - when "1011010" => - dest <= 9; - src <= 25; - when "1011011" => - dest <= 14; - src <= 36; - when "1011100" => - dest <= 51; - src <= 5; - when "1011101" => - dest <= 7; - src <= 35; - when "1011110" => - dest <= 27; - src <= 13; - when "1011111" => - dest <= 32; - src <= 32; - when "1100000" => - dest <= 6; - src <= 27; - when "1100001" => - dest <= 44; - src <= 43; - when "1100010" => - dest <= 79; - src <= 80; - when "1100011" => - dest <= 19; - src <= 14; - when "1100100" => - dest <= 42; - src <= 9; - when "1100101" => - dest <= 25; - src <= 44; - when "1100110" => - dest <= 52; - src <= 6; - when "1100111" => - dest <= 25; - src <= 52; - when "1101000" => - dest <= 35; - src <= 22; - when "1101001" => - dest <= 18; - src <= 8; - when "1101010" => - dest <= 33; - src <= 25; - when "1101011" => - dest <= 25; - src <= 79; - when "1101100" => - dest <= 23; - src <= 15; - when "1101101" => - dest <= 25; - src <= 23; - when "1101110" => - dest <= 25; - src <= 17; - when "1101111" => - dest <= 65; - src <= 25; - when "1110000" => - dest <= 57; - src <= 18; - when others => - dest <= 25; - src <= 25; - - end case; - end process; - - end generate NI_NUM25; - - NI_NUM26 : if NI_NUM = 26 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 23; - src <= 26; - when "0000001" => - dest <= 18; - src <= 26; - when "0000010" => - dest <= 26; - src <= 26; - when "0000011" => - dest <= 67; - src <= 26; - when "0000100" => - dest <= 62; - src <= 25; - when "0000101" => - dest <= 26; - src <= 20; - when "0000110" => - dest <= 57; - src <= 26; - when "0000111" => - dest <= 26; - src <= 26; - when "0001000" => - dest <= 75; - src <= 58; - when "0001001" => - dest <= 35; - src <= 66; - when "0001010" => - dest <= 26; - src <= 71; - when "0001011" => - dest <= 65; - src <= 26; - when "0001100" => - dest <= 22; - src <= 17; - when "0001101" => - dest <= 26; - src <= 57; - when "0001110" => - dest <= 49; - src <= 26; - when "0001111" => - dest <= 71; - src <= 26; - when "0010000" => - dest <= 25; - src <= 67; - when "0010001" => - dest <= 59; - src <= 49; - when "0010010" => - dest <= 56; - src <= 21; - when "0010011" => - dest <= 0; - src <= 18; - when "0010100" => - dest <= 26; - src <= 59; - when "0010101" => - dest <= 76; - src <= 62; - when "0010110" => - dest <= 48; - src <= 26; - when "0010111" => - dest <= 26; - src <= 75; - when "0011000" => - dest <= 68; - src <= 43; - when "0011001" => - dest <= 26; - src <= 26; - when "0011010" => - dest <= 21; - src <= 65; - when "0011011" => - dest <= 26; - src <= 68; - when "0011100" => - dest <= 3; - src <= 26; - when "0011101" => - dest <= 40; - src <= 26; - when "0011110" => - dest <= 26; - src <= 48; - when "0011111" => - dest <= 74; - src <= 76; - when "0100000" => - dest <= 50; - src <= 22; - when "0100001" => - dest <= 26; - src <= 56; - when "0100010" => - dest <= 64; - src <= 26; - when "0100011" => - dest <= 60; - src <= 26; - when "0100100" => - dest <= 26; - src <= 40; - when "0100101" => - dest <= 26; - src <= 3; - when "0100110" => - dest <= 17; - src <= 26; - when "0100111" => - dest <= 4; - src <= 50; - when "0101000" => - dest <= 47; - src <= 74; - when "0101001" => - dest <= 44; - src <= 35; - when "0101010" => - dest <= 77; - src <= 60; - when "0101011" => - dest <= 26; - src <= 64; - when "0101100" => - dest <= 55; - src <= 26; - when "0101101" => - dest <= 69; - src <= 8; - when "0101110" => - dest <= 39; - src <= 26; - when "0101111" => - dest <= 26; - src <= 39; - when "0110000" => - dest <= 26; - src <= 77; - when "0110001" => - dest <= 12; - src <= 26; - when "0110010" => - dest <= 13; - src <= 47; - when "0110011" => - dest <= 26; - src <= 26; - when "0110100" => - dest <= 2; - src <= 69; - when "0110101" => - dest <= 26; - src <= 55; - when "0110110" => - dest <= 31; - src <= 4; - when "0110111" => - dest <= 73; - src <= 26; - when "0111000" => - dest <= 26; - src <= 31; - when "0111001" => - dest <= 41; - src <= 30; - when "0111010" => - dest <= 63; - src <= 26; - when "0111011" => - dest <= 51; - src <= 41; - when "0111100" => - dest <= 26; - src <= 26; - when "0111101" => - dest <= 54; - src <= 12; - when "0111110" => - dest <= 70; - src <= 51; - when "0111111" => - dest <= 26; - src <= 26; - when "1000000" => - dest <= 46; - src <= 2; - when "1000001" => - dest <= 26; - src <= 61; - when "1000010" => - dest <= 5; - src <= 73; - when "1000011" => - dest <= 38; - src <= 26; - when "1000100" => - dest <= 78; - src <= 70; - when "1000101" => - dest <= 26; - src <= 54; - when "1000110" => - dest <= 30; - src <= 26; - when "1000111" => - dest <= 26; - src <= 78; - when "1001000" => - dest <= 14; - src <= 26; - when "1001001" => - dest <= 11; - src <= 38; - when "1001010" => - dest <= 72; - src <= 5; - when "1001011" => - dest <= 61; - src <= 46; - when "1001100" => - dest <= 26; - src <= 26; - when "1001101" => - dest <= 1; - src <= 13; - when "1001110" => - dest <= 26; - src <= 29; - when "1001111" => - dest <= 32; - src <= 32; - when "1010000" => - dest <= 9; - src <= 52; - when "1010001" => - dest <= 42; - src <= 26; - when "1010010" => - dest <= 79; - src <= 63; - when "1010011" => - dest <= 45; - src <= 42; - when "1010100" => - dest <= 26; - src <= 34; - when "1010101" => - dest <= 6; - src <= 11; - when "1010110" => - dest <= 37; - src <= 26; - when "1010111" => - dest <= 26; - src <= 1; - when "1011000" => - dest <= 29; - src <= 45; - when "1011001" => - dest <= 16; - src <= 79; - when "1011010" => - dest <= 10; - src <= 26; - when "1011011" => - dest <= 15; - src <= 37; - when "1011100" => - dest <= 52; - src <= 6; - when "1011101" => - dest <= 8; - src <= 27; - when "1011110" => - dest <= 28; - src <= 14; - when "1011111" => - dest <= 33; - src <= 33; - when "1100000" => - dest <= 7; - src <= 28; - when "1100001" => - dest <= 36; - src <= 44; - when "1100010" => - dest <= 80; - src <= 72; - when "1100011" => - dest <= 20; - src <= 15; - when "1100100" => - dest <= 43; - src <= 10; - when "1100101" => - dest <= 26; - src <= 36; - when "1100110" => - dest <= 53; - src <= 7; - when "1100111" => - dest <= 26; - src <= 53; - when "1101000" => - dest <= 27; - src <= 23; - when "1101001" => - dest <= 19; - src <= 0; - when "1101010" => - dest <= 34; - src <= 26; - when "1101011" => - dest <= 26; - src <= 80; - when "1101100" => - dest <= 24; - src <= 16; - when "1101101" => - dest <= 26; - src <= 24; - when "1101110" => - dest <= 26; - src <= 9; - when "1101111" => - dest <= 66; - src <= 26; - when "1110000" => - dest <= 58; - src <= 19; - when others => - dest <= 26; - src <= 26; - - end case; - end process; - - end generate NI_NUM26; - - NI_NUM27 : if NI_NUM = 27 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 33; - src <= 27; - when "0000001" => - dest <= 28; - src <= 27; - when "0000010" => - dest <= 27; - src <= 27; - when "0000011" => - dest <= 77; - src <= 27; - when "0000100" => - dest <= 63; - src <= 35; - when "0000101" => - dest <= 27; - src <= 30; - when "0000110" => - dest <= 67; - src <= 27; - when "0000111" => - dest <= 27; - src <= 27; - when "0001000" => - dest <= 4; - src <= 68; - when "0001001" => - dest <= 36; - src <= 76; - when "0001010" => - dest <= 27; - src <= 72; - when "0001011" => - dest <= 75; - src <= 27; - when "0001100" => - dest <= 32; - src <= 18; - when "0001101" => - dest <= 27; - src <= 67; - when "0001110" => - dest <= 59; - src <= 27; - when "0001111" => - dest <= 72; - src <= 27; - when "0010000" => - dest <= 35; - src <= 77; - when "0010001" => - dest <= 69; - src <= 59; - when "0010010" => - dest <= 66; - src <= 31; - when "0010011" => - dest <= 10; - src <= 28; - when "0010100" => - dest <= 27; - src <= 69; - when "0010101" => - dest <= 5; - src <= 63; - when "0010110" => - dest <= 58; - src <= 27; - when "0010111" => - dest <= 27; - src <= 4; - when "0011000" => - dest <= 78; - src <= 53; - when "0011001" => - dest <= 27; - src <= 27; - when "0011010" => - dest <= 31; - src <= 75; - when "0011011" => - dest <= 27; - src <= 78; - when "0011100" => - dest <= 13; - src <= 27; - when "0011101" => - dest <= 50; - src <= 27; - when "0011110" => - dest <= 27; - src <= 58; - when "0011111" => - dest <= 3; - src <= 5; - when "0100000" => - dest <= 60; - src <= 32; - when "0100001" => - dest <= 27; - src <= 66; - when "0100010" => - dest <= 74; - src <= 27; - when "0100011" => - dest <= 70; - src <= 27; - when "0100100" => - dest <= 27; - src <= 50; - when "0100101" => - dest <= 27; - src <= 13; - when "0100110" => - dest <= 18; - src <= 27; - when "0100111" => - dest <= 14; - src <= 60; - when "0101000" => - dest <= 57; - src <= 3; - when "0101001" => - dest <= 45; - src <= 36; - when "0101010" => - dest <= 6; - src <= 70; - when "0101011" => - dest <= 27; - src <= 74; - when "0101100" => - dest <= 65; - src <= 27; - when "0101101" => - dest <= 79; - src <= 9; - when "0101110" => - dest <= 49; - src <= 27; - when "0101111" => - dest <= 27; - src <= 49; - when "0110000" => - dest <= 27; - src <= 6; - when "0110001" => - dest <= 22; - src <= 27; - when "0110010" => - dest <= 23; - src <= 57; - when "0110011" => - dest <= 27; - src <= 27; - when "0110100" => - dest <= 12; - src <= 79; - when "0110101" => - dest <= 27; - src <= 65; - when "0110110" => - dest <= 41; - src <= 14; - when "0110111" => - dest <= 2; - src <= 27; - when "0111000" => - dest <= 27; - src <= 41; - when "0111001" => - dest <= 51; - src <= 40; - when "0111010" => - dest <= 73; - src <= 27; - when "0111011" => - dest <= 61; - src <= 51; - when "0111100" => - dest <= 27; - src <= 27; - when "0111101" => - dest <= 64; - src <= 22; - when "0111110" => - dest <= 80; - src <= 61; - when "0111111" => - dest <= 27; - src <= 27; - when "1000000" => - dest <= 56; - src <= 12; - when "1000001" => - dest <= 27; - src <= 71; - when "1000010" => - dest <= 15; - src <= 2; - when "1000011" => - dest <= 48; - src <= 27; - when "1000100" => - dest <= 7; - src <= 80; - when "1000101" => - dest <= 27; - src <= 64; - when "1000110" => - dest <= 40; - src <= 27; - when "1000111" => - dest <= 27; - src <= 7; - when "1001000" => - dest <= 24; - src <= 27; - when "1001001" => - dest <= 21; - src <= 48; - when "1001010" => - dest <= 1; - src <= 15; - when "1001011" => - dest <= 71; - src <= 56; - when "1001100" => - dest <= 27; - src <= 27; - when "1001101" => - dest <= 11; - src <= 23; - when "1001110" => - dest <= 27; - src <= 39; - when "1001111" => - dest <= 42; - src <= 42; - when "1010000" => - dest <= 19; - src <= 62; - when "1010001" => - dest <= 52; - src <= 27; - when "1010010" => - dest <= 8; - src <= 73; - when "1010011" => - dest <= 55; - src <= 52; - when "1010100" => - dest <= 27; - src <= 44; - when "1010101" => - dest <= 16; - src <= 21; - when "1010110" => - dest <= 47; - src <= 27; - when "1010111" => - dest <= 27; - src <= 11; - when "1011000" => - dest <= 39; - src <= 55; - when "1011001" => - dest <= 26; - src <= 8; - when "1011010" => - dest <= 20; - src <= 27; - when "1011011" => - dest <= 25; - src <= 47; - when "1011100" => - dest <= 62; - src <= 16; - when "1011101" => - dest <= 9; - src <= 37; - when "1011110" => - dest <= 38; - src <= 24; - when "1011111" => - dest <= 43; - src <= 43; - when "1100000" => - dest <= 17; - src <= 38; - when "1100001" => - dest <= 46; - src <= 45; - when "1100010" => - dest <= 0; - src <= 1; - when "1100011" => - dest <= 30; - src <= 25; - when "1100100" => - dest <= 53; - src <= 20; - when "1100101" => - dest <= 27; - src <= 46; - when "1100110" => - dest <= 54; - src <= 17; - when "1100111" => - dest <= 27; - src <= 54; - when "1101000" => - dest <= 37; - src <= 33; - when "1101001" => - dest <= 29; - src <= 10; - when "1101010" => - dest <= 44; - src <= 27; - when "1101011" => - dest <= 27; - src <= 0; - when "1101100" => - dest <= 34; - src <= 26; - when "1101101" => - dest <= 27; - src <= 34; - when "1101110" => - dest <= 27; - src <= 19; - when "1101111" => - dest <= 76; - src <= 27; - when "1110000" => - dest <= 68; - src <= 29; - when others => - dest <= 27; - src <= 27; - - end case; - end process; - - end generate NI_NUM27; - - NI_NUM28 : if NI_NUM = 28 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 34; - src <= 28; - when "0000001" => - dest <= 29; - src <= 28; - when "0000010" => - dest <= 28; - src <= 28; - when "0000011" => - dest <= 78; - src <= 28; - when "0000100" => - dest <= 64; - src <= 27; - when "0000101" => - dest <= 28; - src <= 31; - when "0000110" => - dest <= 68; - src <= 28; - when "0000111" => - dest <= 28; - src <= 28; - when "0001000" => - dest <= 5; - src <= 69; - when "0001001" => - dest <= 37; - src <= 77; - when "0001010" => - dest <= 28; - src <= 73; - when "0001011" => - dest <= 76; - src <= 28; - when "0001100" => - dest <= 33; - src <= 19; - when "0001101" => - dest <= 28; - src <= 68; - when "0001110" => - dest <= 60; - src <= 28; - when "0001111" => - dest <= 73; - src <= 28; - when "0010000" => - dest <= 27; - src <= 78; - when "0010001" => - dest <= 70; - src <= 60; - when "0010010" => - dest <= 67; - src <= 32; - when "0010011" => - dest <= 11; - src <= 29; - when "0010100" => - dest <= 28; - src <= 70; - when "0010101" => - dest <= 6; - src <= 64; - when "0010110" => - dest <= 59; - src <= 28; - when "0010111" => - dest <= 28; - src <= 5; - when "0011000" => - dest <= 79; - src <= 45; - when "0011001" => - dest <= 28; - src <= 28; - when "0011010" => - dest <= 32; - src <= 76; - when "0011011" => - dest <= 28; - src <= 79; - when "0011100" => - dest <= 14; - src <= 28; - when "0011101" => - dest <= 51; - src <= 28; - when "0011110" => - dest <= 28; - src <= 59; - when "0011111" => - dest <= 4; - src <= 6; - when "0100000" => - dest <= 61; - src <= 33; - when "0100001" => - dest <= 28; - src <= 67; - when "0100010" => - dest <= 75; - src <= 28; - when "0100011" => - dest <= 71; - src <= 28; - when "0100100" => - dest <= 28; - src <= 51; - when "0100101" => - dest <= 28; - src <= 14; - when "0100110" => - dest <= 19; - src <= 28; - when "0100111" => - dest <= 15; - src <= 61; - when "0101000" => - dest <= 58; - src <= 4; - when "0101001" => - dest <= 46; - src <= 37; - when "0101010" => - dest <= 7; - src <= 71; - when "0101011" => - dest <= 28; - src <= 75; - when "0101100" => - dest <= 66; - src <= 28; - when "0101101" => - dest <= 80; - src <= 10; - when "0101110" => - dest <= 50; - src <= 28; - when "0101111" => - dest <= 28; - src <= 50; - when "0110000" => - dest <= 28; - src <= 7; - when "0110001" => - dest <= 23; - src <= 28; - when "0110010" => - dest <= 24; - src <= 58; - when "0110011" => - dest <= 28; - src <= 28; - when "0110100" => - dest <= 13; - src <= 80; - when "0110101" => - dest <= 28; - src <= 66; - when "0110110" => - dest <= 42; - src <= 15; - when "0110111" => - dest <= 3; - src <= 28; - when "0111000" => - dest <= 28; - src <= 42; - when "0111001" => - dest <= 52; - src <= 41; - when "0111010" => - dest <= 74; - src <= 28; - when "0111011" => - dest <= 62; - src <= 52; - when "0111100" => - dest <= 28; - src <= 28; - when "0111101" => - dest <= 65; - src <= 23; - when "0111110" => - dest <= 72; - src <= 62; - when "0111111" => - dest <= 28; - src <= 28; - when "1000000" => - dest <= 57; - src <= 13; - when "1000001" => - dest <= 28; - src <= 63; - when "1000010" => - dest <= 16; - src <= 3; - when "1000011" => - dest <= 49; - src <= 28; - when "1000100" => - dest <= 8; - src <= 72; - when "1000101" => - dest <= 28; - src <= 65; - when "1000110" => - dest <= 41; - src <= 28; - when "1000111" => - dest <= 28; - src <= 8; - when "1001000" => - dest <= 25; - src <= 28; - when "1001001" => - dest <= 22; - src <= 49; - when "1001010" => - dest <= 2; - src <= 16; - when "1001011" => - dest <= 63; - src <= 57; - when "1001100" => - dest <= 28; - src <= 28; - when "1001101" => - dest <= 12; - src <= 24; - when "1001110" => - dest <= 28; - src <= 40; - when "1001111" => - dest <= 43; - src <= 43; - when "1010000" => - dest <= 20; - src <= 54; - when "1010001" => - dest <= 53; - src <= 28; - when "1010010" => - dest <= 0; - src <= 74; - when "1010011" => - dest <= 56; - src <= 53; - when "1010100" => - dest <= 28; - src <= 36; - when "1010101" => - dest <= 17; - src <= 22; - when "1010110" => - dest <= 48; - src <= 28; - when "1010111" => - dest <= 28; - src <= 12; - when "1011000" => - dest <= 40; - src <= 56; - when "1011001" => - dest <= 18; - src <= 0; - when "1011010" => - dest <= 21; - src <= 28; - when "1011011" => - dest <= 26; - src <= 48; - when "1011100" => - dest <= 54; - src <= 17; - when "1011101" => - dest <= 10; - src <= 38; - when "1011110" => - dest <= 39; - src <= 25; - when "1011111" => - dest <= 44; - src <= 44; - when "1100000" => - dest <= 9; - src <= 39; - when "1100001" => - dest <= 47; - src <= 46; - when "1100010" => - dest <= 1; - src <= 2; - when "1100011" => - dest <= 31; - src <= 26; - when "1100100" => - dest <= 45; - src <= 21; - when "1100101" => - dest <= 28; - src <= 47; - when "1100110" => - dest <= 55; - src <= 9; - when "1100111" => - dest <= 28; - src <= 55; - when "1101000" => - dest <= 38; - src <= 34; - when "1101001" => - dest <= 30; - src <= 11; - when "1101010" => - dest <= 36; - src <= 28; - when "1101011" => - dest <= 28; - src <= 1; - when "1101100" => - dest <= 35; - src <= 18; - when "1101101" => - dest <= 28; - src <= 35; - when "1101110" => - dest <= 28; - src <= 20; - when "1101111" => - dest <= 77; - src <= 28; - when "1110000" => - dest <= 69; - src <= 30; - when others => - dest <= 28; - src <= 28; - - end case; - end process; - - end generate NI_NUM28; - - NI_NUM29 : if NI_NUM = 29 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 35; - src <= 29; - when "0000001" => - dest <= 30; - src <= 29; - when "0000010" => - dest <= 29; - src <= 29; - when "0000011" => - dest <= 79; - src <= 29; - when "0000100" => - dest <= 65; - src <= 28; - when "0000101" => - dest <= 29; - src <= 32; - when "0000110" => - dest <= 69; - src <= 29; - when "0000111" => - dest <= 29; - src <= 29; - when "0001000" => - dest <= 6; - src <= 70; - when "0001001" => - dest <= 38; - src <= 78; - when "0001010" => - dest <= 29; - src <= 74; - when "0001011" => - dest <= 77; - src <= 29; - when "0001100" => - dest <= 34; - src <= 20; - when "0001101" => - dest <= 29; - src <= 69; - when "0001110" => - dest <= 61; - src <= 29; - when "0001111" => - dest <= 74; - src <= 29; - when "0010000" => - dest <= 28; - src <= 79; - when "0010001" => - dest <= 71; - src <= 61; - when "0010010" => - dest <= 68; - src <= 33; - when "0010011" => - dest <= 12; - src <= 30; - when "0010100" => - dest <= 29; - src <= 71; - when "0010101" => - dest <= 7; - src <= 65; - when "0010110" => - dest <= 60; - src <= 29; - when "0010111" => - dest <= 29; - src <= 6; - when "0011000" => - dest <= 80; - src <= 46; - when "0011001" => - dest <= 29; - src <= 29; - when "0011010" => - dest <= 33; - src <= 77; - when "0011011" => - dest <= 29; - src <= 80; - when "0011100" => - dest <= 15; - src <= 29; - when "0011101" => - dest <= 52; - src <= 29; - when "0011110" => - dest <= 29; - src <= 60; - when "0011111" => - dest <= 5; - src <= 7; - when "0100000" => - dest <= 62; - src <= 34; - when "0100001" => - dest <= 29; - src <= 68; - when "0100010" => - dest <= 76; - src <= 29; - when "0100011" => - dest <= 63; - src <= 29; - when "0100100" => - dest <= 29; - src <= 52; - when "0100101" => - dest <= 29; - src <= 15; - when "0100110" => - dest <= 20; - src <= 29; - when "0100111" => - dest <= 16; - src <= 62; - when "0101000" => - dest <= 59; - src <= 5; - when "0101001" => - dest <= 47; - src <= 38; - when "0101010" => - dest <= 8; - src <= 63; - when "0101011" => - dest <= 29; - src <= 76; - when "0101100" => - dest <= 67; - src <= 29; - when "0101101" => - dest <= 72; - src <= 11; - when "0101110" => - dest <= 51; - src <= 29; - when "0101111" => - dest <= 29; - src <= 51; - when "0110000" => - dest <= 29; - src <= 8; - when "0110001" => - dest <= 24; - src <= 29; - when "0110010" => - dest <= 25; - src <= 59; - when "0110011" => - dest <= 29; - src <= 29; - when "0110100" => - dest <= 14; - src <= 72; - when "0110101" => - dest <= 29; - src <= 67; - when "0110110" => - dest <= 43; - src <= 16; - when "0110111" => - dest <= 4; - src <= 29; - when "0111000" => - dest <= 29; - src <= 43; - when "0111001" => - dest <= 53; - src <= 42; - when "0111010" => - dest <= 75; - src <= 29; - when "0111011" => - dest <= 54; - src <= 53; - when "0111100" => - dest <= 29; - src <= 29; - when "0111101" => - dest <= 66; - src <= 24; - when "0111110" => - dest <= 73; - src <= 54; - when "0111111" => - dest <= 29; - src <= 29; - when "1000000" => - dest <= 58; - src <= 14; - when "1000001" => - dest <= 29; - src <= 64; - when "1000010" => - dest <= 17; - src <= 4; - when "1000011" => - dest <= 50; - src <= 29; - when "1000100" => - dest <= 0; - src <= 73; - when "1000101" => - dest <= 29; - src <= 66; - when "1000110" => - dest <= 42; - src <= 29; - when "1000111" => - dest <= 29; - src <= 0; - when "1001000" => - dest <= 26; - src <= 29; - when "1001001" => - dest <= 23; - src <= 50; - when "1001010" => - dest <= 3; - src <= 17; - when "1001011" => - dest <= 64; - src <= 58; - when "1001100" => - dest <= 29; - src <= 29; - when "1001101" => - dest <= 13; - src <= 25; - when "1001110" => - dest <= 29; - src <= 41; - when "1001111" => - dest <= 44; - src <= 44; - when "1010000" => - dest <= 21; - src <= 55; - when "1010001" => - dest <= 45; - src <= 29; - when "1010010" => - dest <= 1; - src <= 75; - when "1010011" => - dest <= 57; - src <= 45; - when "1010100" => - dest <= 29; - src <= 37; - when "1010101" => - dest <= 9; - src <= 23; - when "1010110" => - dest <= 49; - src <= 29; - when "1010111" => - dest <= 29; - src <= 13; - when "1011000" => - dest <= 41; - src <= 57; - when "1011001" => - dest <= 19; - src <= 1; - when "1011010" => - dest <= 22; - src <= 29; - when "1011011" => - dest <= 18; - src <= 49; - when "1011100" => - dest <= 55; - src <= 9; - when "1011101" => - dest <= 11; - src <= 39; - when "1011110" => - dest <= 40; - src <= 26; - when "1011111" => - dest <= 36; - src <= 36; - when "1100000" => - dest <= 10; - src <= 40; - when "1100001" => - dest <= 48; - src <= 47; - when "1100010" => - dest <= 2; - src <= 3; - when "1100011" => - dest <= 32; - src <= 18; - when "1100100" => - dest <= 46; - src <= 22; - when "1100101" => - dest <= 29; - src <= 48; - when "1100110" => - dest <= 56; - src <= 10; - when "1100111" => - dest <= 29; - src <= 56; - when "1101000" => - dest <= 39; - src <= 35; - when "1101001" => - dest <= 31; - src <= 12; - when "1101010" => - dest <= 37; - src <= 29; - when "1101011" => - dest <= 29; - src <= 2; - when "1101100" => - dest <= 27; - src <= 19; - when "1101101" => - dest <= 29; - src <= 27; - when "1101110" => - dest <= 29; - src <= 21; - when "1101111" => - dest <= 78; - src <= 29; - when "1110000" => - dest <= 70; - src <= 31; - when others => - dest <= 29; - src <= 29; - - end case; - end process; - - end generate NI_NUM29; - - NI_NUM30 : if NI_NUM = 30 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 27; - src <= 30; - when "0000001" => - dest <= 31; - src <= 30; - when "0000010" => - dest <= 30; - src <= 30; - when "0000011" => - dest <= 80; - src <= 30; - when "0000100" => - dest <= 66; - src <= 29; - when "0000101" => - dest <= 30; - src <= 33; - when "0000110" => - dest <= 70; - src <= 30; - when "0000111" => - dest <= 30; - src <= 30; - when "0001000" => - dest <= 7; - src <= 71; - when "0001001" => - dest <= 39; - src <= 79; - when "0001010" => - dest <= 30; - src <= 75; - when "0001011" => - dest <= 78; - src <= 30; - when "0001100" => - dest <= 35; - src <= 21; - when "0001101" => - dest <= 30; - src <= 70; - when "0001110" => - dest <= 62; - src <= 30; - when "0001111" => - dest <= 75; - src <= 30; - when "0010000" => - dest <= 29; - src <= 80; - when "0010001" => - dest <= 63; - src <= 62; - when "0010010" => - dest <= 69; - src <= 34; - when "0010011" => - dest <= 13; - src <= 31; - when "0010100" => - dest <= 30; - src <= 63; - when "0010101" => - dest <= 8; - src <= 66; - when "0010110" => - dest <= 61; - src <= 30; - when "0010111" => - dest <= 30; - src <= 7; - when "0011000" => - dest <= 72; - src <= 47; - when "0011001" => - dest <= 30; - src <= 30; - when "0011010" => - dest <= 34; - src <= 78; - when "0011011" => - dest <= 30; - src <= 72; - when "0011100" => - dest <= 16; - src <= 30; - when "0011101" => - dest <= 53; - src <= 30; - when "0011110" => - dest <= 30; - src <= 61; - when "0011111" => - dest <= 6; - src <= 8; - when "0100000" => - dest <= 54; - src <= 35; - when "0100001" => - dest <= 30; - src <= 69; - when "0100010" => - dest <= 77; - src <= 30; - when "0100011" => - dest <= 64; - src <= 30; - when "0100100" => - dest <= 30; - src <= 53; - when "0100101" => - dest <= 30; - src <= 16; - when "0100110" => - dest <= 21; - src <= 30; - when "0100111" => - dest <= 17; - src <= 54; - when "0101000" => - dest <= 60; - src <= 6; - when "0101001" => - dest <= 48; - src <= 39; - when "0101010" => - dest <= 0; - src <= 64; - when "0101011" => - dest <= 30; - src <= 77; - when "0101100" => - dest <= 68; - src <= 30; - when "0101101" => - dest <= 73; - src <= 12; - when "0101110" => - dest <= 52; - src <= 30; - when "0101111" => - dest <= 30; - src <= 52; - when "0110000" => - dest <= 30; - src <= 0; - when "0110001" => - dest <= 25; - src <= 30; - when "0110010" => - dest <= 26; - src <= 60; - when "0110011" => - dest <= 30; - src <= 30; - when "0110100" => - dest <= 15; - src <= 73; - when "0110101" => - dest <= 30; - src <= 68; - when "0110110" => - dest <= 44; - src <= 17; - when "0110111" => - dest <= 5; - src <= 30; - when "0111000" => - dest <= 30; - src <= 44; - when "0111001" => - dest <= 45; - src <= 43; - when "0111010" => - dest <= 76; - src <= 30; - when "0111011" => - dest <= 55; - src <= 45; - when "0111100" => - dest <= 30; - src <= 30; - when "0111101" => - dest <= 67; - src <= 25; - when "0111110" => - dest <= 74; - src <= 55; - when "0111111" => - dest <= 30; - src <= 30; - when "1000000" => - dest <= 59; - src <= 15; - when "1000001" => - dest <= 30; - src <= 65; - when "1000010" => - dest <= 9; - src <= 5; - when "1000011" => - dest <= 51; - src <= 30; - when "1000100" => - dest <= 1; - src <= 74; - when "1000101" => - dest <= 30; - src <= 67; - when "1000110" => - dest <= 43; - src <= 30; - when "1000111" => - dest <= 30; - src <= 1; - when "1001000" => - dest <= 18; - src <= 30; - when "1001001" => - dest <= 24; - src <= 51; - when "1001010" => - dest <= 4; - src <= 9; - when "1001011" => - dest <= 65; - src <= 59; - when "1001100" => - dest <= 30; - src <= 30; - when "1001101" => - dest <= 14; - src <= 26; - when "1001110" => - dest <= 30; - src <= 42; - when "1001111" => - dest <= 36; - src <= 36; - when "1010000" => - dest <= 22; - src <= 56; - when "1010001" => - dest <= 46; - src <= 30; - when "1010010" => - dest <= 2; - src <= 76; - when "1010011" => - dest <= 58; - src <= 46; - when "1010100" => - dest <= 30; - src <= 38; - when "1010101" => - dest <= 10; - src <= 24; - when "1010110" => - dest <= 50; - src <= 30; - when "1010111" => - dest <= 30; - src <= 14; - when "1011000" => - dest <= 42; - src <= 58; - when "1011001" => - dest <= 20; - src <= 2; - when "1011010" => - dest <= 23; - src <= 30; - when "1011011" => - dest <= 19; - src <= 50; - when "1011100" => - dest <= 56; - src <= 10; - when "1011101" => - dest <= 12; - src <= 40; - when "1011110" => - dest <= 41; - src <= 18; - when "1011111" => - dest <= 37; - src <= 37; - when "1100000" => - dest <= 11; - src <= 41; - when "1100001" => - dest <= 49; - src <= 48; - when "1100010" => - dest <= 3; - src <= 4; - when "1100011" => - dest <= 33; - src <= 19; - when "1100100" => - dest <= 47; - src <= 23; - when "1100101" => - dest <= 30; - src <= 49; - when "1100110" => - dest <= 57; - src <= 11; - when "1100111" => - dest <= 30; - src <= 57; - when "1101000" => - dest <= 40; - src <= 27; - when "1101001" => - dest <= 32; - src <= 13; - when "1101010" => - dest <= 38; - src <= 30; - when "1101011" => - dest <= 30; - src <= 3; - when "1101100" => - dest <= 28; - src <= 20; - when "1101101" => - dest <= 30; - src <= 28; - when "1101110" => - dest <= 30; - src <= 22; - when "1101111" => - dest <= 79; - src <= 30; - when "1110000" => - dest <= 71; - src <= 32; - when others => - dest <= 30; - src <= 30; - - end case; - end process; - - end generate NI_NUM30; - - NI_NUM31 : if NI_NUM = 31 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 28; - src <= 31; - when "0000001" => - dest <= 32; - src <= 31; - when "0000010" => - dest <= 31; - src <= 31; - when "0000011" => - dest <= 72; - src <= 31; - when "0000100" => - dest <= 67; - src <= 30; - when "0000101" => - dest <= 31; - src <= 34; - when "0000110" => - dest <= 71; - src <= 31; - when "0000111" => - dest <= 31; - src <= 31; - when "0001000" => - dest <= 8; - src <= 63; - when "0001001" => - dest <= 40; - src <= 80; - when "0001010" => - dest <= 31; - src <= 76; - when "0001011" => - dest <= 79; - src <= 31; - when "0001100" => - dest <= 27; - src <= 22; - when "0001101" => - dest <= 31; - src <= 71; - when "0001110" => - dest <= 54; - src <= 31; - when "0001111" => - dest <= 76; - src <= 31; - when "0010000" => - dest <= 30; - src <= 72; - when "0010001" => - dest <= 64; - src <= 54; - when "0010010" => - dest <= 70; - src <= 35; - when "0010011" => - dest <= 14; - src <= 32; - when "0010100" => - dest <= 31; - src <= 64; - when "0010101" => - dest <= 0; - src <= 67; - when "0010110" => - dest <= 62; - src <= 31; - when "0010111" => - dest <= 31; - src <= 8; - when "0011000" => - dest <= 73; - src <= 48; - when "0011001" => - dest <= 31; - src <= 31; - when "0011010" => - dest <= 35; - src <= 79; - when "0011011" => - dest <= 31; - src <= 73; - when "0011100" => - dest <= 17; - src <= 31; - when "0011101" => - dest <= 45; - src <= 31; - when "0011110" => - dest <= 31; - src <= 62; - when "0011111" => - dest <= 7; - src <= 0; - when "0100000" => - dest <= 55; - src <= 27; - when "0100001" => - dest <= 31; - src <= 70; - when "0100010" => - dest <= 78; - src <= 31; - when "0100011" => - dest <= 65; - src <= 31; - when "0100100" => - dest <= 31; - src <= 45; - when "0100101" => - dest <= 31; - src <= 17; - when "0100110" => - dest <= 22; - src <= 31; - when "0100111" => - dest <= 9; - src <= 55; - when "0101000" => - dest <= 61; - src <= 7; - when "0101001" => - dest <= 49; - src <= 40; - when "0101010" => - dest <= 1; - src <= 65; - when "0101011" => - dest <= 31; - src <= 78; - when "0101100" => - dest <= 69; - src <= 31; - when "0101101" => - dest <= 74; - src <= 13; - when "0101110" => - dest <= 53; - src <= 31; - when "0101111" => - dest <= 31; - src <= 53; - when "0110000" => - dest <= 31; - src <= 1; - when "0110001" => - dest <= 26; - src <= 31; - when "0110010" => - dest <= 18; - src <= 61; - when "0110011" => - dest <= 31; - src <= 31; - when "0110100" => - dest <= 16; - src <= 74; - when "0110101" => - dest <= 31; - src <= 69; - when "0110110" => - dest <= 36; - src <= 9; - when "0110111" => - dest <= 6; - src <= 31; - when "0111000" => - dest <= 31; - src <= 36; - when "0111001" => - dest <= 46; - src <= 44; - when "0111010" => - dest <= 77; - src <= 31; - when "0111011" => - dest <= 56; - src <= 46; - when "0111100" => - dest <= 31; - src <= 31; - when "0111101" => - dest <= 68; - src <= 26; - when "0111110" => - dest <= 75; - src <= 56; - when "0111111" => - dest <= 31; - src <= 31; - when "1000000" => - dest <= 60; - src <= 16; - when "1000001" => - dest <= 31; - src <= 66; - when "1000010" => - dest <= 10; - src <= 6; - when "1000011" => - dest <= 52; - src <= 31; - when "1000100" => - dest <= 2; - src <= 75; - when "1000101" => - dest <= 31; - src <= 68; - when "1000110" => - dest <= 44; - src <= 31; - when "1000111" => - dest <= 31; - src <= 2; - when "1001000" => - dest <= 19; - src <= 31; - when "1001001" => - dest <= 25; - src <= 52; - when "1001010" => - dest <= 5; - src <= 10; - when "1001011" => - dest <= 66; - src <= 60; - when "1001100" => - dest <= 31; - src <= 31; - when "1001101" => - dest <= 15; - src <= 18; - when "1001110" => - dest <= 31; - src <= 43; - when "1001111" => - dest <= 37; - src <= 37; - when "1010000" => - dest <= 23; - src <= 57; - when "1010001" => - dest <= 47; - src <= 31; - when "1010010" => - dest <= 3; - src <= 77; - when "1010011" => - dest <= 59; - src <= 47; - when "1010100" => - dest <= 31; - src <= 39; - when "1010101" => - dest <= 11; - src <= 25; - when "1010110" => - dest <= 51; - src <= 31; - when "1010111" => - dest <= 31; - src <= 15; - when "1011000" => - dest <= 43; - src <= 59; - when "1011001" => - dest <= 21; - src <= 3; - when "1011010" => - dest <= 24; - src <= 31; - when "1011011" => - dest <= 20; - src <= 51; - when "1011100" => - dest <= 57; - src <= 11; - when "1011101" => - dest <= 13; - src <= 41; - when "1011110" => - dest <= 42; - src <= 19; - when "1011111" => - dest <= 38; - src <= 38; - when "1100000" => - dest <= 12; - src <= 42; - when "1100001" => - dest <= 50; - src <= 49; - when "1100010" => - dest <= 4; - src <= 5; - when "1100011" => - dest <= 34; - src <= 20; - when "1100100" => - dest <= 48; - src <= 24; - when "1100101" => - dest <= 31; - src <= 50; - when "1100110" => - dest <= 58; - src <= 12; - when "1100111" => - dest <= 31; - src <= 58; - when "1101000" => - dest <= 41; - src <= 28; - when "1101001" => - dest <= 33; - src <= 14; - when "1101010" => - dest <= 39; - src <= 31; - when "1101011" => - dest <= 31; - src <= 4; - when "1101100" => - dest <= 29; - src <= 21; - when "1101101" => - dest <= 31; - src <= 29; - when "1101110" => - dest <= 31; - src <= 23; - when "1101111" => - dest <= 80; - src <= 31; - when "1110000" => - dest <= 63; - src <= 33; - when others => - dest <= 31; - src <= 31; - - end case; - end process; - - end generate NI_NUM31; - - NI_NUM32 : if NI_NUM = 32 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 29; - src <= 32; - when "0000001" => - dest <= 33; - src <= 32; - when "0000010" => - dest <= 32; - src <= 32; - when "0000011" => - dest <= 73; - src <= 32; - when "0000100" => - dest <= 68; - src <= 31; - when "0000101" => - dest <= 32; - src <= 35; - when "0000110" => - dest <= 63; - src <= 32; - when "0000111" => - dest <= 32; - src <= 32; - when "0001000" => - dest <= 0; - src <= 64; - when "0001001" => - dest <= 41; - src <= 72; - when "0001010" => - dest <= 32; - src <= 77; - when "0001011" => - dest <= 80; - src <= 32; - when "0001100" => - dest <= 28; - src <= 23; - when "0001101" => - dest <= 32; - src <= 63; - when "0001110" => - dest <= 55; - src <= 32; - when "0001111" => - dest <= 77; - src <= 32; - when "0010000" => - dest <= 31; - src <= 73; - when "0010001" => - dest <= 65; - src <= 55; - when "0010010" => - dest <= 71; - src <= 27; - when "0010011" => - dest <= 15; - src <= 33; - when "0010100" => - dest <= 32; - src <= 65; - when "0010101" => - dest <= 1; - src <= 68; - when "0010110" => - dest <= 54; - src <= 32; - when "0010111" => - dest <= 32; - src <= 0; - when "0011000" => - dest <= 74; - src <= 49; - when "0011001" => - dest <= 32; - src <= 32; - when "0011010" => - dest <= 27; - src <= 80; - when "0011011" => - dest <= 32; - src <= 74; - when "0011100" => - dest <= 9; - src <= 32; - when "0011101" => - dest <= 46; - src <= 32; - when "0011110" => - dest <= 32; - src <= 54; - when "0011111" => - dest <= 8; - src <= 1; - when "0100000" => - dest <= 56; - src <= 28; - when "0100001" => - dest <= 32; - src <= 71; - when "0100010" => - dest <= 79; - src <= 32; - when "0100011" => - dest <= 66; - src <= 32; - when "0100100" => - dest <= 32; - src <= 46; - when "0100101" => - dest <= 32; - src <= 9; - when "0100110" => - dest <= 23; - src <= 32; - when "0100111" => - dest <= 10; - src <= 56; - when "0101000" => - dest <= 62; - src <= 8; - when "0101001" => - dest <= 50; - src <= 41; - when "0101010" => - dest <= 2; - src <= 66; - when "0101011" => - dest <= 32; - src <= 79; - when "0101100" => - dest <= 70; - src <= 32; - when "0101101" => - dest <= 75; - src <= 14; - when "0101110" => - dest <= 45; - src <= 32; - when "0101111" => - dest <= 32; - src <= 45; - when "0110000" => - dest <= 32; - src <= 2; - when "0110001" => - dest <= 18; - src <= 32; - when "0110010" => - dest <= 19; - src <= 62; - when "0110011" => - dest <= 32; - src <= 32; - when "0110100" => - dest <= 17; - src <= 75; - when "0110101" => - dest <= 32; - src <= 70; - when "0110110" => - dest <= 37; - src <= 10; - when "0110111" => - dest <= 7; - src <= 32; - when "0111000" => - dest <= 32; - src <= 37; - when "0111001" => - dest <= 47; - src <= 36; - when "0111010" => - dest <= 78; - src <= 32; - when "0111011" => - dest <= 57; - src <= 47; - when "0111100" => - dest <= 32; - src <= 32; - when "0111101" => - dest <= 69; - src <= 18; - when "0111110" => - dest <= 76; - src <= 57; - when "0111111" => - dest <= 32; - src <= 32; - when "1000000" => - dest <= 61; - src <= 17; - when "1000001" => - dest <= 32; - src <= 67; - when "1000010" => - dest <= 11; - src <= 7; - when "1000011" => - dest <= 53; - src <= 32; - when "1000100" => - dest <= 3; - src <= 76; - when "1000101" => - dest <= 32; - src <= 69; - when "1000110" => - dest <= 36; - src <= 32; - when "1000111" => - dest <= 32; - src <= 3; - when "1001000" => - dest <= 20; - src <= 32; - when "1001001" => - dest <= 26; - src <= 53; - when "1001010" => - dest <= 6; - src <= 11; - when "1001011" => - dest <= 67; - src <= 61; - when "1001100" => - dest <= 32; - src <= 32; - when "1001101" => - dest <= 16; - src <= 19; - when "1001110" => - dest <= 32; - src <= 44; - when "1001111" => - dest <= 38; - src <= 38; - when "1010000" => - dest <= 24; - src <= 58; - when "1010001" => - dest <= 48; - src <= 32; - when "1010010" => - dest <= 4; - src <= 78; - when "1010011" => - dest <= 60; - src <= 48; - when "1010100" => - dest <= 32; - src <= 40; - when "1010101" => - dest <= 12; - src <= 26; - when "1010110" => - dest <= 52; - src <= 32; - when "1010111" => - dest <= 32; - src <= 16; - when "1011000" => - dest <= 44; - src <= 60; - when "1011001" => - dest <= 22; - src <= 4; - when "1011010" => - dest <= 25; - src <= 32; - when "1011011" => - dest <= 21; - src <= 52; - when "1011100" => - dest <= 58; - src <= 12; - when "1011101" => - dest <= 14; - src <= 42; - when "1011110" => - dest <= 43; - src <= 20; - when "1011111" => - dest <= 39; - src <= 39; - when "1100000" => - dest <= 13; - src <= 43; - when "1100001" => - dest <= 51; - src <= 50; - when "1100010" => - dest <= 5; - src <= 6; - when "1100011" => - dest <= 35; - src <= 21; - when "1100100" => - dest <= 49; - src <= 25; - when "1100101" => - dest <= 32; - src <= 51; - when "1100110" => - dest <= 59; - src <= 13; - when "1100111" => - dest <= 32; - src <= 59; - when "1101000" => - dest <= 42; - src <= 29; - when "1101001" => - dest <= 34; - src <= 15; - when "1101010" => - dest <= 40; - src <= 32; - when "1101011" => - dest <= 32; - src <= 5; - when "1101100" => - dest <= 30; - src <= 22; - when "1101101" => - dest <= 32; - src <= 30; - when "1101110" => - dest <= 32; - src <= 24; - when "1101111" => - dest <= 72; - src <= 32; - when "1110000" => - dest <= 64; - src <= 34; - when others => - dest <= 32; - src <= 32; - - end case; - end process; - - end generate NI_NUM32; - - NI_NUM33 : if NI_NUM = 33 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 30; - src <= 33; - when "0000001" => - dest <= 34; - src <= 33; - when "0000010" => - dest <= 33; - src <= 33; - when "0000011" => - dest <= 74; - src <= 33; - when "0000100" => - dest <= 69; - src <= 32; - when "0000101" => - dest <= 33; - src <= 27; - when "0000110" => - dest <= 64; - src <= 33; - when "0000111" => - dest <= 33; - src <= 33; - when "0001000" => - dest <= 1; - src <= 65; - when "0001001" => - dest <= 42; - src <= 73; - when "0001010" => - dest <= 33; - src <= 78; - when "0001011" => - dest <= 72; - src <= 33; - when "0001100" => - dest <= 29; - src <= 24; - when "0001101" => - dest <= 33; - src <= 64; - when "0001110" => - dest <= 56; - src <= 33; - when "0001111" => - dest <= 78; - src <= 33; - when "0010000" => - dest <= 32; - src <= 74; - when "0010001" => - dest <= 66; - src <= 56; - when "0010010" => - dest <= 63; - src <= 28; - when "0010011" => - dest <= 16; - src <= 34; - when "0010100" => - dest <= 33; - src <= 66; - when "0010101" => - dest <= 2; - src <= 69; - when "0010110" => - dest <= 55; - src <= 33; - when "0010111" => - dest <= 33; - src <= 1; - when "0011000" => - dest <= 75; - src <= 50; - when "0011001" => - dest <= 33; - src <= 33; - when "0011010" => - dest <= 28; - src <= 72; - when "0011011" => - dest <= 33; - src <= 75; - when "0011100" => - dest <= 10; - src <= 33; - when "0011101" => - dest <= 47; - src <= 33; - when "0011110" => - dest <= 33; - src <= 55; - when "0011111" => - dest <= 0; - src <= 2; - when "0100000" => - dest <= 57; - src <= 29; - when "0100001" => - dest <= 33; - src <= 63; - when "0100010" => - dest <= 80; - src <= 33; - when "0100011" => - dest <= 67; - src <= 33; - when "0100100" => - dest <= 33; - src <= 47; - when "0100101" => - dest <= 33; - src <= 10; - when "0100110" => - dest <= 24; - src <= 33; - when "0100111" => - dest <= 11; - src <= 57; - when "0101000" => - dest <= 54; - src <= 0; - when "0101001" => - dest <= 51; - src <= 42; - when "0101010" => - dest <= 3; - src <= 67; - when "0101011" => - dest <= 33; - src <= 80; - when "0101100" => - dest <= 71; - src <= 33; - when "0101101" => - dest <= 76; - src <= 15; - when "0101110" => - dest <= 46; - src <= 33; - when "0101111" => - dest <= 33; - src <= 46; - when "0110000" => - dest <= 33; - src <= 3; - when "0110001" => - dest <= 19; - src <= 33; - when "0110010" => - dest <= 20; - src <= 54; - when "0110011" => - dest <= 33; - src <= 33; - when "0110100" => - dest <= 9; - src <= 76; - when "0110101" => - dest <= 33; - src <= 71; - when "0110110" => - dest <= 38; - src <= 11; - when "0110111" => - dest <= 8; - src <= 33; - when "0111000" => - dest <= 33; - src <= 38; - when "0111001" => - dest <= 48; - src <= 37; - when "0111010" => - dest <= 79; - src <= 33; - when "0111011" => - dest <= 58; - src <= 48; - when "0111100" => - dest <= 33; - src <= 33; - when "0111101" => - dest <= 70; - src <= 19; - when "0111110" => - dest <= 77; - src <= 58; - when "0111111" => - dest <= 33; - src <= 33; - when "1000000" => - dest <= 62; - src <= 9; - when "1000001" => - dest <= 33; - src <= 68; - when "1000010" => - dest <= 12; - src <= 8; - when "1000011" => - dest <= 45; - src <= 33; - when "1000100" => - dest <= 4; - src <= 77; - when "1000101" => - dest <= 33; - src <= 70; - when "1000110" => - dest <= 37; - src <= 33; - when "1000111" => - dest <= 33; - src <= 4; - when "1001000" => - dest <= 21; - src <= 33; - when "1001001" => - dest <= 18; - src <= 45; - when "1001010" => - dest <= 7; - src <= 12; - when "1001011" => - dest <= 68; - src <= 62; - when "1001100" => - dest <= 33; - src <= 33; - when "1001101" => - dest <= 17; - src <= 20; - when "1001110" => - dest <= 33; - src <= 36; - when "1001111" => - dest <= 39; - src <= 39; - when "1010000" => - dest <= 25; - src <= 59; - when "1010001" => - dest <= 49; - src <= 33; - when "1010010" => - dest <= 5; - src <= 79; - when "1010011" => - dest <= 61; - src <= 49; - when "1010100" => - dest <= 33; - src <= 41; - when "1010101" => - dest <= 13; - src <= 18; - when "1010110" => - dest <= 53; - src <= 33; - when "1010111" => - dest <= 33; - src <= 17; - when "1011000" => - dest <= 36; - src <= 61; - when "1011001" => - dest <= 23; - src <= 5; - when "1011010" => - dest <= 26; - src <= 33; - when "1011011" => - dest <= 22; - src <= 53; - when "1011100" => - dest <= 59; - src <= 13; - when "1011101" => - dest <= 15; - src <= 43; - when "1011110" => - dest <= 44; - src <= 21; - when "1011111" => - dest <= 40; - src <= 40; - when "1100000" => - dest <= 14; - src <= 44; - when "1100001" => - dest <= 52; - src <= 51; - when "1100010" => - dest <= 6; - src <= 7; - when "1100011" => - dest <= 27; - src <= 22; - when "1100100" => - dest <= 50; - src <= 26; - when "1100101" => - dest <= 33; - src <= 52; - when "1100110" => - dest <= 60; - src <= 14; - when "1100111" => - dest <= 33; - src <= 60; - when "1101000" => - dest <= 43; - src <= 30; - when "1101001" => - dest <= 35; - src <= 16; - when "1101010" => - dest <= 41; - src <= 33; - when "1101011" => - dest <= 33; - src <= 6; - when "1101100" => - dest <= 31; - src <= 23; - when "1101101" => - dest <= 33; - src <= 31; - when "1101110" => - dest <= 33; - src <= 25; - when "1101111" => - dest <= 73; - src <= 33; - when "1110000" => - dest <= 65; - src <= 35; - when others => - dest <= 33; - src <= 33; - - end case; - end process; - - end generate NI_NUM33; - - NI_NUM34 : if NI_NUM = 34 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 31; - src <= 34; - when "0000001" => - dest <= 35; - src <= 34; - when "0000010" => - dest <= 34; - src <= 34; - when "0000011" => - dest <= 75; - src <= 34; - when "0000100" => - dest <= 70; - src <= 33; - when "0000101" => - dest <= 34; - src <= 28; - when "0000110" => - dest <= 65; - src <= 34; - when "0000111" => - dest <= 34; - src <= 34; - when "0001000" => - dest <= 2; - src <= 66; - when "0001001" => - dest <= 43; - src <= 74; - when "0001010" => - dest <= 34; - src <= 79; - when "0001011" => - dest <= 73; - src <= 34; - when "0001100" => - dest <= 30; - src <= 25; - when "0001101" => - dest <= 34; - src <= 65; - when "0001110" => - dest <= 57; - src <= 34; - when "0001111" => - dest <= 79; - src <= 34; - when "0010000" => - dest <= 33; - src <= 75; - when "0010001" => - dest <= 67; - src <= 57; - when "0010010" => - dest <= 64; - src <= 29; - when "0010011" => - dest <= 17; - src <= 35; - when "0010100" => - dest <= 34; - src <= 67; - when "0010101" => - dest <= 3; - src <= 70; - when "0010110" => - dest <= 56; - src <= 34; - when "0010111" => - dest <= 34; - src <= 2; - when "0011000" => - dest <= 76; - src <= 51; - when "0011001" => - dest <= 34; - src <= 34; - when "0011010" => - dest <= 29; - src <= 73; - when "0011011" => - dest <= 34; - src <= 76; - when "0011100" => - dest <= 11; - src <= 34; - when "0011101" => - dest <= 48; - src <= 34; - when "0011110" => - dest <= 34; - src <= 56; - when "0011111" => - dest <= 1; - src <= 3; - when "0100000" => - dest <= 58; - src <= 30; - when "0100001" => - dest <= 34; - src <= 64; - when "0100010" => - dest <= 72; - src <= 34; - when "0100011" => - dest <= 68; - src <= 34; - when "0100100" => - dest <= 34; - src <= 48; - when "0100101" => - dest <= 34; - src <= 11; - when "0100110" => - dest <= 25; - src <= 34; - when "0100111" => - dest <= 12; - src <= 58; - when "0101000" => - dest <= 55; - src <= 1; - when "0101001" => - dest <= 52; - src <= 43; - when "0101010" => - dest <= 4; - src <= 68; - when "0101011" => - dest <= 34; - src <= 72; - when "0101100" => - dest <= 63; - src <= 34; - when "0101101" => - dest <= 77; - src <= 16; - when "0101110" => - dest <= 47; - src <= 34; - when "0101111" => - dest <= 34; - src <= 47; - when "0110000" => - dest <= 34; - src <= 4; - when "0110001" => - dest <= 20; - src <= 34; - when "0110010" => - dest <= 21; - src <= 55; - when "0110011" => - dest <= 34; - src <= 34; - when "0110100" => - dest <= 10; - src <= 77; - when "0110101" => - dest <= 34; - src <= 63; - when "0110110" => - dest <= 39; - src <= 12; - when "0110111" => - dest <= 0; - src <= 34; - when "0111000" => - dest <= 34; - src <= 39; - when "0111001" => - dest <= 49; - src <= 38; - when "0111010" => - dest <= 80; - src <= 34; - when "0111011" => - dest <= 59; - src <= 49; - when "0111100" => - dest <= 34; - src <= 34; - when "0111101" => - dest <= 71; - src <= 20; - when "0111110" => - dest <= 78; - src <= 59; - when "0111111" => - dest <= 34; - src <= 34; - when "1000000" => - dest <= 54; - src <= 10; - when "1000001" => - dest <= 34; - src <= 69; - when "1000010" => - dest <= 13; - src <= 0; - when "1000011" => - dest <= 46; - src <= 34; - when "1000100" => - dest <= 5; - src <= 78; - when "1000101" => - dest <= 34; - src <= 71; - when "1000110" => - dest <= 38; - src <= 34; - when "1000111" => - dest <= 34; - src <= 5; - when "1001000" => - dest <= 22; - src <= 34; - when "1001001" => - dest <= 19; - src <= 46; - when "1001010" => - dest <= 8; - src <= 13; - when "1001011" => - dest <= 69; - src <= 54; - when "1001100" => - dest <= 34; - src <= 34; - when "1001101" => - dest <= 9; - src <= 21; - when "1001110" => - dest <= 34; - src <= 37; - when "1001111" => - dest <= 40; - src <= 40; - when "1010000" => - dest <= 26; - src <= 60; - when "1010001" => - dest <= 50; - src <= 34; - when "1010010" => - dest <= 6; - src <= 80; - when "1010011" => - dest <= 62; - src <= 50; - when "1010100" => - dest <= 34; - src <= 42; - when "1010101" => - dest <= 14; - src <= 19; - when "1010110" => - dest <= 45; - src <= 34; - when "1010111" => - dest <= 34; - src <= 9; - when "1011000" => - dest <= 37; - src <= 62; - when "1011001" => - dest <= 24; - src <= 6; - when "1011010" => - dest <= 18; - src <= 34; - when "1011011" => - dest <= 23; - src <= 45; - when "1011100" => - dest <= 60; - src <= 14; - when "1011101" => - dest <= 16; - src <= 44; - when "1011110" => - dest <= 36; - src <= 22; - when "1011111" => - dest <= 41; - src <= 41; - when "1100000" => - dest <= 15; - src <= 36; - when "1100001" => - dest <= 53; - src <= 52; - when "1100010" => - dest <= 7; - src <= 8; - when "1100011" => - dest <= 28; - src <= 23; - when "1100100" => - dest <= 51; - src <= 18; - when "1100101" => - dest <= 34; - src <= 53; - when "1100110" => - dest <= 61; - src <= 15; - when "1100111" => - dest <= 34; - src <= 61; - when "1101000" => - dest <= 44; - src <= 31; - when "1101001" => - dest <= 27; - src <= 17; - when "1101010" => - dest <= 42; - src <= 34; - when "1101011" => - dest <= 34; - src <= 7; - when "1101100" => - dest <= 32; - src <= 24; - when "1101101" => - dest <= 34; - src <= 32; - when "1101110" => - dest <= 34; - src <= 26; - when "1101111" => - dest <= 74; - src <= 34; - when "1110000" => - dest <= 66; - src <= 27; - when others => - dest <= 34; - src <= 34; - - end case; - end process; - - end generate NI_NUM34; - - NI_NUM35 : if NI_NUM = 35 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 32; - src <= 35; - when "0000001" => - dest <= 27; - src <= 35; - when "0000010" => - dest <= 35; - src <= 35; - when "0000011" => - dest <= 76; - src <= 35; - when "0000100" => - dest <= 71; - src <= 34; - when "0000101" => - dest <= 35; - src <= 29; - when "0000110" => - dest <= 66; - src <= 35; - when "0000111" => - dest <= 35; - src <= 35; - when "0001000" => - dest <= 3; - src <= 67; - when "0001001" => - dest <= 44; - src <= 75; - when "0001010" => - dest <= 35; - src <= 80; - when "0001011" => - dest <= 74; - src <= 35; - when "0001100" => - dest <= 31; - src <= 26; - when "0001101" => - dest <= 35; - src <= 66; - when "0001110" => - dest <= 58; - src <= 35; - when "0001111" => - dest <= 80; - src <= 35; - when "0010000" => - dest <= 34; - src <= 76; - when "0010001" => - dest <= 68; - src <= 58; - when "0010010" => - dest <= 65; - src <= 30; - when "0010011" => - dest <= 9; - src <= 27; - when "0010100" => - dest <= 35; - src <= 68; - when "0010101" => - dest <= 4; - src <= 71; - when "0010110" => - dest <= 57; - src <= 35; - when "0010111" => - dest <= 35; - src <= 3; - when "0011000" => - dest <= 77; - src <= 52; - when "0011001" => - dest <= 35; - src <= 35; - when "0011010" => - dest <= 30; - src <= 74; - when "0011011" => - dest <= 35; - src <= 77; - when "0011100" => - dest <= 12; - src <= 35; - when "0011101" => - dest <= 49; - src <= 35; - when "0011110" => - dest <= 35; - src <= 57; - when "0011111" => - dest <= 2; - src <= 4; - when "0100000" => - dest <= 59; - src <= 31; - when "0100001" => - dest <= 35; - src <= 65; - when "0100010" => - dest <= 73; - src <= 35; - when "0100011" => - dest <= 69; - src <= 35; - when "0100100" => - dest <= 35; - src <= 49; - when "0100101" => - dest <= 35; - src <= 12; - when "0100110" => - dest <= 26; - src <= 35; - when "0100111" => - dest <= 13; - src <= 59; - when "0101000" => - dest <= 56; - src <= 2; - when "0101001" => - dest <= 53; - src <= 44; - when "0101010" => - dest <= 5; - src <= 69; - when "0101011" => - dest <= 35; - src <= 73; - when "0101100" => - dest <= 64; - src <= 35; - when "0101101" => - dest <= 78; - src <= 17; - when "0101110" => - dest <= 48; - src <= 35; - when "0101111" => - dest <= 35; - src <= 48; - when "0110000" => - dest <= 35; - src <= 5; - when "0110001" => - dest <= 21; - src <= 35; - when "0110010" => - dest <= 22; - src <= 56; - when "0110011" => - dest <= 35; - src <= 35; - when "0110100" => - dest <= 11; - src <= 78; - when "0110101" => - dest <= 35; - src <= 64; - when "0110110" => - dest <= 40; - src <= 13; - when "0110111" => - dest <= 1; - src <= 35; - when "0111000" => - dest <= 35; - src <= 40; - when "0111001" => - dest <= 50; - src <= 39; - when "0111010" => - dest <= 72; - src <= 35; - when "0111011" => - dest <= 60; - src <= 50; - when "0111100" => - dest <= 35; - src <= 35; - when "0111101" => - dest <= 63; - src <= 21; - when "0111110" => - dest <= 79; - src <= 60; - when "0111111" => - dest <= 35; - src <= 35; - when "1000000" => - dest <= 55; - src <= 11; - when "1000001" => - dest <= 35; - src <= 70; - when "1000010" => - dest <= 14; - src <= 1; - when "1000011" => - dest <= 47; - src <= 35; - when "1000100" => - dest <= 6; - src <= 79; - when "1000101" => - dest <= 35; - src <= 63; - when "1000110" => - dest <= 39; - src <= 35; - when "1000111" => - dest <= 35; - src <= 6; - when "1001000" => - dest <= 23; - src <= 35; - when "1001001" => - dest <= 20; - src <= 47; - when "1001010" => - dest <= 0; - src <= 14; - when "1001011" => - dest <= 70; - src <= 55; - when "1001100" => - dest <= 35; - src <= 35; - when "1001101" => - dest <= 10; - src <= 22; - when "1001110" => - dest <= 35; - src <= 38; - when "1001111" => - dest <= 41; - src <= 41; - when "1010000" => - dest <= 18; - src <= 61; - when "1010001" => - dest <= 51; - src <= 35; - when "1010010" => - dest <= 7; - src <= 72; - when "1010011" => - dest <= 54; - src <= 51; - when "1010100" => - dest <= 35; - src <= 43; - when "1010101" => - dest <= 15; - src <= 20; - when "1010110" => - dest <= 46; - src <= 35; - when "1010111" => - dest <= 35; - src <= 10; - when "1011000" => - dest <= 38; - src <= 54; - when "1011001" => - dest <= 25; - src <= 7; - when "1011010" => - dest <= 19; - src <= 35; - when "1011011" => - dest <= 24; - src <= 46; - when "1011100" => - dest <= 61; - src <= 15; - when "1011101" => - dest <= 17; - src <= 36; - when "1011110" => - dest <= 37; - src <= 23; - when "1011111" => - dest <= 42; - src <= 42; - when "1100000" => - dest <= 16; - src <= 37; - when "1100001" => - dest <= 45; - src <= 53; - when "1100010" => - dest <= 8; - src <= 0; - when "1100011" => - dest <= 29; - src <= 24; - when "1100100" => - dest <= 52; - src <= 19; - when "1100101" => - dest <= 35; - src <= 45; - when "1100110" => - dest <= 62; - src <= 16; - when "1100111" => - dest <= 35; - src <= 62; - when "1101000" => - dest <= 36; - src <= 32; - when "1101001" => - dest <= 28; - src <= 9; - when "1101010" => - dest <= 43; - src <= 35; - when "1101011" => - dest <= 35; - src <= 8; - when "1101100" => - dest <= 33; - src <= 25; - when "1101101" => - dest <= 35; - src <= 33; - when "1101110" => - dest <= 35; - src <= 18; - when "1101111" => - dest <= 75; - src <= 35; - when "1110000" => - dest <= 67; - src <= 28; - when others => - dest <= 35; - src <= 35; - - end case; - end process; - - end generate NI_NUM35; - - NI_NUM36 : if NI_NUM = 36 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 42; - src <= 36; - when "0000001" => - dest <= 37; - src <= 36; - when "0000010" => - dest <= 36; - src <= 36; - when "0000011" => - dest <= 5; - src <= 36; - when "0000100" => - dest <= 72; - src <= 44; - when "0000101" => - dest <= 36; - src <= 39; - when "0000110" => - dest <= 76; - src <= 36; - when "0000111" => - dest <= 36; - src <= 36; - when "0001000" => - dest <= 13; - src <= 77; - when "0001001" => - dest <= 45; - src <= 4; - when "0001010" => - dest <= 36; - src <= 0; - when "0001011" => - dest <= 3; - src <= 36; - when "0001100" => - dest <= 41; - src <= 27; - when "0001101" => - dest <= 36; - src <= 76; - when "0001110" => - dest <= 68; - src <= 36; - when "0001111" => - dest <= 0; - src <= 36; - when "0010000" => - dest <= 44; - src <= 5; - when "0010001" => - dest <= 78; - src <= 68; - when "0010010" => - dest <= 75; - src <= 40; - when "0010011" => - dest <= 19; - src <= 37; - when "0010100" => - dest <= 36; - src <= 78; - when "0010101" => - dest <= 14; - src <= 72; - when "0010110" => - dest <= 67; - src <= 36; - when "0010111" => - dest <= 36; - src <= 13; - when "0011000" => - dest <= 6; - src <= 62; - when "0011001" => - dest <= 36; - src <= 36; - when "0011010" => - dest <= 40; - src <= 3; - when "0011011" => - dest <= 36; - src <= 6; - when "0011100" => - dest <= 22; - src <= 36; - when "0011101" => - dest <= 59; - src <= 36; - when "0011110" => - dest <= 36; - src <= 67; - when "0011111" => - dest <= 12; - src <= 14; - when "0100000" => - dest <= 69; - src <= 41; - when "0100001" => - dest <= 36; - src <= 75; - when "0100010" => - dest <= 2; - src <= 36; - when "0100011" => - dest <= 79; - src <= 36; - when "0100100" => - dest <= 36; - src <= 59; - when "0100101" => - dest <= 36; - src <= 22; - when "0100110" => - dest <= 27; - src <= 36; - when "0100111" => - dest <= 23; - src <= 69; - when "0101000" => - dest <= 66; - src <= 12; - when "0101001" => - dest <= 54; - src <= 45; - when "0101010" => - dest <= 15; - src <= 79; - when "0101011" => - dest <= 36; - src <= 2; - when "0101100" => - dest <= 74; - src <= 36; - when "0101101" => - dest <= 7; - src <= 18; - when "0101110" => - dest <= 58; - src <= 36; - when "0101111" => - dest <= 36; - src <= 58; - when "0110000" => - dest <= 36; - src <= 15; - when "0110001" => - dest <= 31; - src <= 36; - when "0110010" => - dest <= 32; - src <= 66; - when "0110011" => - dest <= 36; - src <= 36; - when "0110100" => - dest <= 21; - src <= 7; - when "0110101" => - dest <= 36; - src <= 74; - when "0110110" => - dest <= 50; - src <= 23; - when "0110111" => - dest <= 11; - src <= 36; - when "0111000" => - dest <= 36; - src <= 50; - when "0111001" => - dest <= 60; - src <= 49; - when "0111010" => - dest <= 1; - src <= 36; - when "0111011" => - dest <= 70; - src <= 60; - when "0111100" => - dest <= 36; - src <= 36; - when "0111101" => - dest <= 73; - src <= 31; - when "0111110" => - dest <= 8; - src <= 70; - when "0111111" => - dest <= 36; - src <= 36; - when "1000000" => - dest <= 65; - src <= 21; - when "1000001" => - dest <= 36; - src <= 80; - when "1000010" => - dest <= 24; - src <= 11; - when "1000011" => - dest <= 57; - src <= 36; - when "1000100" => - dest <= 16; - src <= 8; - when "1000101" => - dest <= 36; - src <= 73; - when "1000110" => - dest <= 49; - src <= 36; - when "1000111" => - dest <= 36; - src <= 16; - when "1001000" => - dest <= 33; - src <= 36; - when "1001001" => - dest <= 30; - src <= 57; - when "1001010" => - dest <= 10; - src <= 24; - when "1001011" => - dest <= 80; - src <= 65; - when "1001100" => - dest <= 36; - src <= 36; - when "1001101" => - dest <= 20; - src <= 32; - when "1001110" => - dest <= 36; - src <= 48; - when "1001111" => - dest <= 51; - src <= 51; - when "1010000" => - dest <= 28; - src <= 71; - when "1010001" => - dest <= 61; - src <= 36; - when "1010010" => - dest <= 17; - src <= 1; - when "1010011" => - dest <= 64; - src <= 61; - when "1010100" => - dest <= 36; - src <= 53; - when "1010101" => - dest <= 25; - src <= 30; - when "1010110" => - dest <= 56; - src <= 36; - when "1010111" => - dest <= 36; - src <= 20; - when "1011000" => - dest <= 48; - src <= 64; - when "1011001" => - dest <= 35; - src <= 17; - when "1011010" => - dest <= 29; - src <= 36; - when "1011011" => - dest <= 34; - src <= 56; - when "1011100" => - dest <= 71; - src <= 25; - when "1011101" => - dest <= 18; - src <= 46; - when "1011110" => - dest <= 47; - src <= 33; - when "1011111" => - dest <= 52; - src <= 52; - when "1100000" => - dest <= 26; - src <= 47; - when "1100001" => - dest <= 55; - src <= 54; - when "1100010" => - dest <= 9; - src <= 10; - when "1100011" => - dest <= 39; - src <= 34; - when "1100100" => - dest <= 62; - src <= 29; - when "1100101" => - dest <= 36; - src <= 55; - when "1100110" => - dest <= 63; - src <= 26; - when "1100111" => - dest <= 36; - src <= 63; - when "1101000" => - dest <= 46; - src <= 42; - when "1101001" => - dest <= 38; - src <= 19; - when "1101010" => - dest <= 53; - src <= 36; - when "1101011" => - dest <= 36; - src <= 9; - when "1101100" => - dest <= 43; - src <= 35; - when "1101101" => - dest <= 36; - src <= 43; - when "1101110" => - dest <= 36; - src <= 28; - when "1101111" => - dest <= 4; - src <= 36; - when "1110000" => - dest <= 77; - src <= 38; - when others => - dest <= 36; - src <= 36; - - end case; - end process; - - end generate NI_NUM36; - - NI_NUM37 : if NI_NUM = 37 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 43; - src <= 37; - when "0000001" => - dest <= 38; - src <= 37; - when "0000010" => - dest <= 37; - src <= 37; - when "0000011" => - dest <= 6; - src <= 37; - when "0000100" => - dest <= 73; - src <= 36; - when "0000101" => - dest <= 37; - src <= 40; - when "0000110" => - dest <= 77; - src <= 37; - when "0000111" => - dest <= 37; - src <= 37; - when "0001000" => - dest <= 14; - src <= 78; - when "0001001" => - dest <= 46; - src <= 5; - when "0001010" => - dest <= 37; - src <= 1; - when "0001011" => - dest <= 4; - src <= 37; - when "0001100" => - dest <= 42; - src <= 28; - when "0001101" => - dest <= 37; - src <= 77; - when "0001110" => - dest <= 69; - src <= 37; - when "0001111" => - dest <= 1; - src <= 37; - when "0010000" => - dest <= 36; - src <= 6; - when "0010001" => - dest <= 79; - src <= 69; - when "0010010" => - dest <= 76; - src <= 41; - when "0010011" => - dest <= 20; - src <= 38; - when "0010100" => - dest <= 37; - src <= 79; - when "0010101" => - dest <= 15; - src <= 73; - when "0010110" => - dest <= 68; - src <= 37; - when "0010111" => - dest <= 37; - src <= 14; - when "0011000" => - dest <= 7; - src <= 54; - when "0011001" => - dest <= 37; - src <= 37; - when "0011010" => - dest <= 41; - src <= 4; - when "0011011" => - dest <= 37; - src <= 7; - when "0011100" => - dest <= 23; - src <= 37; - when "0011101" => - dest <= 60; - src <= 37; - when "0011110" => - dest <= 37; - src <= 68; - when "0011111" => - dest <= 13; - src <= 15; - when "0100000" => - dest <= 70; - src <= 42; - when "0100001" => - dest <= 37; - src <= 76; - when "0100010" => - dest <= 3; - src <= 37; - when "0100011" => - dest <= 80; - src <= 37; - when "0100100" => - dest <= 37; - src <= 60; - when "0100101" => - dest <= 37; - src <= 23; - when "0100110" => - dest <= 28; - src <= 37; - when "0100111" => - dest <= 24; - src <= 70; - when "0101000" => - dest <= 67; - src <= 13; - when "0101001" => - dest <= 55; - src <= 46; - when "0101010" => - dest <= 16; - src <= 80; - when "0101011" => - dest <= 37; - src <= 3; - when "0101100" => - dest <= 75; - src <= 37; - when "0101101" => - dest <= 8; - src <= 19; - when "0101110" => - dest <= 59; - src <= 37; - when "0101111" => - dest <= 37; - src <= 59; - when "0110000" => - dest <= 37; - src <= 16; - when "0110001" => - dest <= 32; - src <= 37; - when "0110010" => - dest <= 33; - src <= 67; - when "0110011" => - dest <= 37; - src <= 37; - when "0110100" => - dest <= 22; - src <= 8; - when "0110101" => - dest <= 37; - src <= 75; - when "0110110" => - dest <= 51; - src <= 24; - when "0110111" => - dest <= 12; - src <= 37; - when "0111000" => - dest <= 37; - src <= 51; - when "0111001" => - dest <= 61; - src <= 50; - when "0111010" => - dest <= 2; - src <= 37; - when "0111011" => - dest <= 71; - src <= 61; - when "0111100" => - dest <= 37; - src <= 37; - when "0111101" => - dest <= 74; - src <= 32; - when "0111110" => - dest <= 0; - src <= 71; - when "0111111" => - dest <= 37; - src <= 37; - when "1000000" => - dest <= 66; - src <= 22; - when "1000001" => - dest <= 37; - src <= 72; - when "1000010" => - dest <= 25; - src <= 12; - when "1000011" => - dest <= 58; - src <= 37; - when "1000100" => - dest <= 17; - src <= 0; - when "1000101" => - dest <= 37; - src <= 74; - when "1000110" => - dest <= 50; - src <= 37; - when "1000111" => - dest <= 37; - src <= 17; - when "1001000" => - dest <= 34; - src <= 37; - when "1001001" => - dest <= 31; - src <= 58; - when "1001010" => - dest <= 11; - src <= 25; - when "1001011" => - dest <= 72; - src <= 66; - when "1001100" => - dest <= 37; - src <= 37; - when "1001101" => - dest <= 21; - src <= 33; - when "1001110" => - dest <= 37; - src <= 49; - when "1001111" => - dest <= 52; - src <= 52; - when "1010000" => - dest <= 29; - src <= 63; - when "1010001" => - dest <= 62; - src <= 37; - when "1010010" => - dest <= 9; - src <= 2; - when "1010011" => - dest <= 65; - src <= 62; - when "1010100" => - dest <= 37; - src <= 45; - when "1010101" => - dest <= 26; - src <= 31; - when "1010110" => - dest <= 57; - src <= 37; - when "1010111" => - dest <= 37; - src <= 21; - when "1011000" => - dest <= 49; - src <= 65; - when "1011001" => - dest <= 27; - src <= 9; - when "1011010" => - dest <= 30; - src <= 37; - when "1011011" => - dest <= 35; - src <= 57; - when "1011100" => - dest <= 63; - src <= 26; - when "1011101" => - dest <= 19; - src <= 47; - when "1011110" => - dest <= 48; - src <= 34; - when "1011111" => - dest <= 53; - src <= 53; - when "1100000" => - dest <= 18; - src <= 48; - when "1100001" => - dest <= 56; - src <= 55; - when "1100010" => - dest <= 10; - src <= 11; - when "1100011" => - dest <= 40; - src <= 35; - when "1100100" => - dest <= 54; - src <= 30; - when "1100101" => - dest <= 37; - src <= 56; - when "1100110" => - dest <= 64; - src <= 18; - when "1100111" => - dest <= 37; - src <= 64; - when "1101000" => - dest <= 47; - src <= 43; - when "1101001" => - dest <= 39; - src <= 20; - when "1101010" => - dest <= 45; - src <= 37; - when "1101011" => - dest <= 37; - src <= 10; - when "1101100" => - dest <= 44; - src <= 27; - when "1101101" => - dest <= 37; - src <= 44; - when "1101110" => - dest <= 37; - src <= 29; - when "1101111" => - dest <= 5; - src <= 37; - when "1110000" => - dest <= 78; - src <= 39; - when others => - dest <= 37; - src <= 37; - - end case; - end process; - - end generate NI_NUM37; - - NI_NUM38 : if NI_NUM = 38 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 44; - src <= 38; - when "0000001" => - dest <= 39; - src <= 38; - when "0000010" => - dest <= 38; - src <= 38; - when "0000011" => - dest <= 7; - src <= 38; - when "0000100" => - dest <= 74; - src <= 37; - when "0000101" => - dest <= 38; - src <= 41; - when "0000110" => - dest <= 78; - src <= 38; - when "0000111" => - dest <= 38; - src <= 38; - when "0001000" => - dest <= 15; - src <= 79; - when "0001001" => - dest <= 47; - src <= 6; - when "0001010" => - dest <= 38; - src <= 2; - when "0001011" => - dest <= 5; - src <= 38; - when "0001100" => - dest <= 43; - src <= 29; - when "0001101" => - dest <= 38; - src <= 78; - when "0001110" => - dest <= 70; - src <= 38; - when "0001111" => - dest <= 2; - src <= 38; - when "0010000" => - dest <= 37; - src <= 7; - when "0010001" => - dest <= 80; - src <= 70; - when "0010010" => - dest <= 77; - src <= 42; - when "0010011" => - dest <= 21; - src <= 39; - when "0010100" => - dest <= 38; - src <= 80; - when "0010101" => - dest <= 16; - src <= 74; - when "0010110" => - dest <= 69; - src <= 38; - when "0010111" => - dest <= 38; - src <= 15; - when "0011000" => - dest <= 8; - src <= 55; - when "0011001" => - dest <= 38; - src <= 38; - when "0011010" => - dest <= 42; - src <= 5; - when "0011011" => - dest <= 38; - src <= 8; - when "0011100" => - dest <= 24; - src <= 38; - when "0011101" => - dest <= 61; - src <= 38; - when "0011110" => - dest <= 38; - src <= 69; - when "0011111" => - dest <= 14; - src <= 16; - when "0100000" => - dest <= 71; - src <= 43; - when "0100001" => - dest <= 38; - src <= 77; - when "0100010" => - dest <= 4; - src <= 38; - when "0100011" => - dest <= 72; - src <= 38; - when "0100100" => - dest <= 38; - src <= 61; - when "0100101" => - dest <= 38; - src <= 24; - when "0100110" => - dest <= 29; - src <= 38; - when "0100111" => - dest <= 25; - src <= 71; - when "0101000" => - dest <= 68; - src <= 14; - when "0101001" => - dest <= 56; - src <= 47; - when "0101010" => - dest <= 17; - src <= 72; - when "0101011" => - dest <= 38; - src <= 4; - when "0101100" => - dest <= 76; - src <= 38; - when "0101101" => - dest <= 0; - src <= 20; - when "0101110" => - dest <= 60; - src <= 38; - when "0101111" => - dest <= 38; - src <= 60; - when "0110000" => - dest <= 38; - src <= 17; - when "0110001" => - dest <= 33; - src <= 38; - when "0110010" => - dest <= 34; - src <= 68; - when "0110011" => - dest <= 38; - src <= 38; - when "0110100" => - dest <= 23; - src <= 0; - when "0110101" => - dest <= 38; - src <= 76; - when "0110110" => - dest <= 52; - src <= 25; - when "0110111" => - dest <= 13; - src <= 38; - when "0111000" => - dest <= 38; - src <= 52; - when "0111001" => - dest <= 62; - src <= 51; - when "0111010" => - dest <= 3; - src <= 38; - when "0111011" => - dest <= 63; - src <= 62; - when "0111100" => - dest <= 38; - src <= 38; - when "0111101" => - dest <= 75; - src <= 33; - when "0111110" => - dest <= 1; - src <= 63; - when "0111111" => - dest <= 38; - src <= 38; - when "1000000" => - dest <= 67; - src <= 23; - when "1000001" => - dest <= 38; - src <= 73; - when "1000010" => - dest <= 26; - src <= 13; - when "1000011" => - dest <= 59; - src <= 38; - when "1000100" => - dest <= 9; - src <= 1; - when "1000101" => - dest <= 38; - src <= 75; - when "1000110" => - dest <= 51; - src <= 38; - when "1000111" => - dest <= 38; - src <= 9; - when "1001000" => - dest <= 35; - src <= 38; - when "1001001" => - dest <= 32; - src <= 59; - when "1001010" => - dest <= 12; - src <= 26; - when "1001011" => - dest <= 73; - src <= 67; - when "1001100" => - dest <= 38; - src <= 38; - when "1001101" => - dest <= 22; - src <= 34; - when "1001110" => - dest <= 38; - src <= 50; - when "1001111" => - dest <= 53; - src <= 53; - when "1010000" => - dest <= 30; - src <= 64; - when "1010001" => - dest <= 54; - src <= 38; - when "1010010" => - dest <= 10; - src <= 3; - when "1010011" => - dest <= 66; - src <= 54; - when "1010100" => - dest <= 38; - src <= 46; - when "1010101" => - dest <= 18; - src <= 32; - when "1010110" => - dest <= 58; - src <= 38; - when "1010111" => - dest <= 38; - src <= 22; - when "1011000" => - dest <= 50; - src <= 66; - when "1011001" => - dest <= 28; - src <= 10; - when "1011010" => - dest <= 31; - src <= 38; - when "1011011" => - dest <= 27; - src <= 58; - when "1011100" => - dest <= 64; - src <= 18; - when "1011101" => - dest <= 20; - src <= 48; - when "1011110" => - dest <= 49; - src <= 35; - when "1011111" => - dest <= 45; - src <= 45; - when "1100000" => - dest <= 19; - src <= 49; - when "1100001" => - dest <= 57; - src <= 56; - when "1100010" => - dest <= 11; - src <= 12; - when "1100011" => - dest <= 41; - src <= 27; - when "1100100" => - dest <= 55; - src <= 31; - when "1100101" => - dest <= 38; - src <= 57; - when "1100110" => - dest <= 65; - src <= 19; - when "1100111" => - dest <= 38; - src <= 65; - when "1101000" => - dest <= 48; - src <= 44; - when "1101001" => - dest <= 40; - src <= 21; - when "1101010" => - dest <= 46; - src <= 38; - when "1101011" => - dest <= 38; - src <= 11; - when "1101100" => - dest <= 36; - src <= 28; - when "1101101" => - dest <= 38; - src <= 36; - when "1101110" => - dest <= 38; - src <= 30; - when "1101111" => - dest <= 6; - src <= 38; - when "1110000" => - dest <= 79; - src <= 40; - when others => - dest <= 38; - src <= 38; - - end case; - end process; - - end generate NI_NUM38; - - NI_NUM39 : if NI_NUM = 39 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 36; - src <= 39; - when "0000001" => - dest <= 40; - src <= 39; - when "0000010" => - dest <= 39; - src <= 39; - when "0000011" => - dest <= 8; - src <= 39; - when "0000100" => - dest <= 75; - src <= 38; - when "0000101" => - dest <= 39; - src <= 42; - when "0000110" => - dest <= 79; - src <= 39; - when "0000111" => - dest <= 39; - src <= 39; - when "0001000" => - dest <= 16; - src <= 80; - when "0001001" => - dest <= 48; - src <= 7; - when "0001010" => - dest <= 39; - src <= 3; - when "0001011" => - dest <= 6; - src <= 39; - when "0001100" => - dest <= 44; - src <= 30; - when "0001101" => - dest <= 39; - src <= 79; - when "0001110" => - dest <= 71; - src <= 39; - when "0001111" => - dest <= 3; - src <= 39; - when "0010000" => - dest <= 38; - src <= 8; - when "0010001" => - dest <= 72; - src <= 71; - when "0010010" => - dest <= 78; - src <= 43; - when "0010011" => - dest <= 22; - src <= 40; - when "0010100" => - dest <= 39; - src <= 72; - when "0010101" => - dest <= 17; - src <= 75; - when "0010110" => - dest <= 70; - src <= 39; - when "0010111" => - dest <= 39; - src <= 16; - when "0011000" => - dest <= 0; - src <= 56; - when "0011001" => - dest <= 39; - src <= 39; - when "0011010" => - dest <= 43; - src <= 6; - when "0011011" => - dest <= 39; - src <= 0; - when "0011100" => - dest <= 25; - src <= 39; - when "0011101" => - dest <= 62; - src <= 39; - when "0011110" => - dest <= 39; - src <= 70; - when "0011111" => - dest <= 15; - src <= 17; - when "0100000" => - dest <= 63; - src <= 44; - when "0100001" => - dest <= 39; - src <= 78; - when "0100010" => - dest <= 5; - src <= 39; - when "0100011" => - dest <= 73; - src <= 39; - when "0100100" => - dest <= 39; - src <= 62; - when "0100101" => - dest <= 39; - src <= 25; - when "0100110" => - dest <= 30; - src <= 39; - when "0100111" => - dest <= 26; - src <= 63; - when "0101000" => - dest <= 69; - src <= 15; - when "0101001" => - dest <= 57; - src <= 48; - when "0101010" => - dest <= 9; - src <= 73; - when "0101011" => - dest <= 39; - src <= 5; - when "0101100" => - dest <= 77; - src <= 39; - when "0101101" => - dest <= 1; - src <= 21; - when "0101110" => - dest <= 61; - src <= 39; - when "0101111" => - dest <= 39; - src <= 61; - when "0110000" => - dest <= 39; - src <= 9; - when "0110001" => - dest <= 34; - src <= 39; - when "0110010" => - dest <= 35; - src <= 69; - when "0110011" => - dest <= 39; - src <= 39; - when "0110100" => - dest <= 24; - src <= 1; - when "0110101" => - dest <= 39; - src <= 77; - when "0110110" => - dest <= 53; - src <= 26; - when "0110111" => - dest <= 14; - src <= 39; - when "0111000" => - dest <= 39; - src <= 53; - when "0111001" => - dest <= 54; - src <= 52; - when "0111010" => - dest <= 4; - src <= 39; - when "0111011" => - dest <= 64; - src <= 54; - when "0111100" => - dest <= 39; - src <= 39; - when "0111101" => - dest <= 76; - src <= 34; - when "0111110" => - dest <= 2; - src <= 64; - when "0111111" => - dest <= 39; - src <= 39; - when "1000000" => - dest <= 68; - src <= 24; - when "1000001" => - dest <= 39; - src <= 74; - when "1000010" => - dest <= 18; - src <= 14; - when "1000011" => - dest <= 60; - src <= 39; - when "1000100" => - dest <= 10; - src <= 2; - when "1000101" => - dest <= 39; - src <= 76; - when "1000110" => - dest <= 52; - src <= 39; - when "1000111" => - dest <= 39; - src <= 10; - when "1001000" => - dest <= 27; - src <= 39; - when "1001001" => - dest <= 33; - src <= 60; - when "1001010" => - dest <= 13; - src <= 18; - when "1001011" => - dest <= 74; - src <= 68; - when "1001100" => - dest <= 39; - src <= 39; - when "1001101" => - dest <= 23; - src <= 35; - when "1001110" => - dest <= 39; - src <= 51; - when "1001111" => - dest <= 45; - src <= 45; - when "1010000" => - dest <= 31; - src <= 65; - when "1010001" => - dest <= 55; - src <= 39; - when "1010010" => - dest <= 11; - src <= 4; - when "1010011" => - dest <= 67; - src <= 55; - when "1010100" => - dest <= 39; - src <= 47; - when "1010101" => - dest <= 19; - src <= 33; - when "1010110" => - dest <= 59; - src <= 39; - when "1010111" => - dest <= 39; - src <= 23; - when "1011000" => - dest <= 51; - src <= 67; - when "1011001" => - dest <= 29; - src <= 11; - when "1011010" => - dest <= 32; - src <= 39; - when "1011011" => - dest <= 28; - src <= 59; - when "1011100" => - dest <= 65; - src <= 19; - when "1011101" => - dest <= 21; - src <= 49; - when "1011110" => - dest <= 50; - src <= 27; - when "1011111" => - dest <= 46; - src <= 46; - when "1100000" => - dest <= 20; - src <= 50; - when "1100001" => - dest <= 58; - src <= 57; - when "1100010" => - dest <= 12; - src <= 13; - when "1100011" => - dest <= 42; - src <= 28; - when "1100100" => - dest <= 56; - src <= 32; - when "1100101" => - dest <= 39; - src <= 58; - when "1100110" => - dest <= 66; - src <= 20; - when "1100111" => - dest <= 39; - src <= 66; - when "1101000" => - dest <= 49; - src <= 36; - when "1101001" => - dest <= 41; - src <= 22; - when "1101010" => - dest <= 47; - src <= 39; - when "1101011" => - dest <= 39; - src <= 12; - when "1101100" => - dest <= 37; - src <= 29; - when "1101101" => - dest <= 39; - src <= 37; - when "1101110" => - dest <= 39; - src <= 31; - when "1101111" => - dest <= 7; - src <= 39; - when "1110000" => - dest <= 80; - src <= 41; - when others => - dest <= 39; - src <= 39; - - end case; - end process; - - end generate NI_NUM39; - - NI_NUM40 : if NI_NUM = 40 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 37; - src <= 40; - when "0000001" => - dest <= 41; - src <= 40; - when "0000010" => - dest <= 40; - src <= 40; - when "0000011" => - dest <= 0; - src <= 40; - when "0000100" => - dest <= 76; - src <= 39; - when "0000101" => - dest <= 40; - src <= 43; - when "0000110" => - dest <= 80; - src <= 40; - when "0000111" => - dest <= 40; - src <= 40; - when "0001000" => - dest <= 17; - src <= 72; - when "0001001" => - dest <= 49; - src <= 8; - when "0001010" => - dest <= 40; - src <= 4; - when "0001011" => - dest <= 7; - src <= 40; - when "0001100" => - dest <= 36; - src <= 31; - when "0001101" => - dest <= 40; - src <= 80; - when "0001110" => - dest <= 63; - src <= 40; - when "0001111" => - dest <= 4; - src <= 40; - when "0010000" => - dest <= 39; - src <= 0; - when "0010001" => - dest <= 73; - src <= 63; - when "0010010" => - dest <= 79; - src <= 44; - when "0010011" => - dest <= 23; - src <= 41; - when "0010100" => - dest <= 40; - src <= 73; - when "0010101" => - dest <= 9; - src <= 76; - when "0010110" => - dest <= 71; - src <= 40; - when "0010111" => - dest <= 40; - src <= 17; - when "0011000" => - dest <= 1; - src <= 57; - when "0011001" => - dest <= 40; - src <= 40; - when "0011010" => - dest <= 44; - src <= 7; - when "0011011" => - dest <= 40; - src <= 1; - when "0011100" => - dest <= 26; - src <= 40; - when "0011101" => - dest <= 54; - src <= 40; - when "0011110" => - dest <= 40; - src <= 71; - when "0011111" => - dest <= 16; - src <= 9; - when "0100000" => - dest <= 64; - src <= 36; - when "0100001" => - dest <= 40; - src <= 79; - when "0100010" => - dest <= 6; - src <= 40; - when "0100011" => - dest <= 74; - src <= 40; - when "0100100" => - dest <= 40; - src <= 54; - when "0100101" => - dest <= 40; - src <= 26; - when "0100110" => - dest <= 31; - src <= 40; - when "0100111" => - dest <= 18; - src <= 64; - when "0101000" => - dest <= 70; - src <= 16; - when "0101001" => - dest <= 58; - src <= 49; - when "0101010" => - dest <= 10; - src <= 74; - when "0101011" => - dest <= 40; - src <= 6; - when "0101100" => - dest <= 78; - src <= 40; - when "0101101" => - dest <= 2; - src <= 22; - when "0101110" => - dest <= 62; - src <= 40; - when "0101111" => - dest <= 40; - src <= 62; - when "0110000" => - dest <= 40; - src <= 10; - when "0110001" => - dest <= 35; - src <= 40; - when "0110010" => - dest <= 27; - src <= 70; - when "0110011" => - dest <= 40; - src <= 40; - when "0110100" => - dest <= 25; - src <= 2; - when "0110101" => - dest <= 40; - src <= 78; - when "0110110" => - dest <= 45; - src <= 18; - when "0110111" => - dest <= 15; - src <= 40; - when "0111000" => - dest <= 40; - src <= 45; - when "0111001" => - dest <= 55; - src <= 53; - when "0111010" => - dest <= 5; - src <= 40; - when "0111011" => - dest <= 65; - src <= 55; - when "0111100" => - dest <= 40; - src <= 40; - when "0111101" => - dest <= 77; - src <= 35; - when "0111110" => - dest <= 3; - src <= 65; - when "0111111" => - dest <= 40; - src <= 40; - when "1000000" => - dest <= 69; - src <= 25; - when "1000001" => - dest <= 40; - src <= 75; - when "1000010" => - dest <= 19; - src <= 15; - when "1000011" => - dest <= 61; - src <= 40; - when "1000100" => - dest <= 11; - src <= 3; - when "1000101" => - dest <= 40; - src <= 77; - when "1000110" => - dest <= 53; - src <= 40; - when "1000111" => - dest <= 40; - src <= 11; - when "1001000" => - dest <= 28; - src <= 40; - when "1001001" => - dest <= 34; - src <= 61; - when "1001010" => - dest <= 14; - src <= 19; - when "1001011" => - dest <= 75; - src <= 69; - when "1001100" => - dest <= 40; - src <= 40; - when "1001101" => - dest <= 24; - src <= 27; - when "1001110" => - dest <= 40; - src <= 52; - when "1001111" => - dest <= 46; - src <= 46; - when "1010000" => - dest <= 32; - src <= 66; - when "1010001" => - dest <= 56; - src <= 40; - when "1010010" => - dest <= 12; - src <= 5; - when "1010011" => - dest <= 68; - src <= 56; - when "1010100" => - dest <= 40; - src <= 48; - when "1010101" => - dest <= 20; - src <= 34; - when "1010110" => - dest <= 60; - src <= 40; - when "1010111" => - dest <= 40; - src <= 24; - when "1011000" => - dest <= 52; - src <= 68; - when "1011001" => - dest <= 30; - src <= 12; - when "1011010" => - dest <= 33; - src <= 40; - when "1011011" => - dest <= 29; - src <= 60; - when "1011100" => - dest <= 66; - src <= 20; - when "1011101" => - dest <= 22; - src <= 50; - when "1011110" => - dest <= 51; - src <= 28; - when "1011111" => - dest <= 47; - src <= 47; - when "1100000" => - dest <= 21; - src <= 51; - when "1100001" => - dest <= 59; - src <= 58; - when "1100010" => - dest <= 13; - src <= 14; - when "1100011" => - dest <= 43; - src <= 29; - when "1100100" => - dest <= 57; - src <= 33; - when "1100101" => - dest <= 40; - src <= 59; - when "1100110" => - dest <= 67; - src <= 21; - when "1100111" => - dest <= 40; - src <= 67; - when "1101000" => - dest <= 50; - src <= 37; - when "1101001" => - dest <= 42; - src <= 23; - when "1101010" => - dest <= 48; - src <= 40; - when "1101011" => - dest <= 40; - src <= 13; - when "1101100" => - dest <= 38; - src <= 30; - when "1101101" => - dest <= 40; - src <= 38; - when "1101110" => - dest <= 40; - src <= 32; - when "1101111" => - dest <= 8; - src <= 40; - when "1110000" => - dest <= 72; - src <= 42; - when others => - dest <= 40; - src <= 40; - - end case; - end process; - - end generate NI_NUM40; - - NI_NUM41 : if NI_NUM = 41 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 38; - src <= 41; - when "0000001" => - dest <= 42; - src <= 41; - when "0000010" => - dest <= 41; - src <= 41; - when "0000011" => - dest <= 1; - src <= 41; - when "0000100" => - dest <= 77; - src <= 40; - when "0000101" => - dest <= 41; - src <= 44; - when "0000110" => - dest <= 72; - src <= 41; - when "0000111" => - dest <= 41; - src <= 41; - when "0001000" => - dest <= 9; - src <= 73; - when "0001001" => - dest <= 50; - src <= 0; - when "0001010" => - dest <= 41; - src <= 5; - when "0001011" => - dest <= 8; - src <= 41; - when "0001100" => - dest <= 37; - src <= 32; - when "0001101" => - dest <= 41; - src <= 72; - when "0001110" => - dest <= 64; - src <= 41; - when "0001111" => - dest <= 5; - src <= 41; - when "0010000" => - dest <= 40; - src <= 1; - when "0010001" => - dest <= 74; - src <= 64; - when "0010010" => - dest <= 80; - src <= 36; - when "0010011" => - dest <= 24; - src <= 42; - when "0010100" => - dest <= 41; - src <= 74; - when "0010101" => - dest <= 10; - src <= 77; - when "0010110" => - dest <= 63; - src <= 41; - when "0010111" => - dest <= 41; - src <= 9; - when "0011000" => - dest <= 2; - src <= 58; - when "0011001" => - dest <= 41; - src <= 41; - when "0011010" => - dest <= 36; - src <= 8; - when "0011011" => - dest <= 41; - src <= 2; - when "0011100" => - dest <= 18; - src <= 41; - when "0011101" => - dest <= 55; - src <= 41; - when "0011110" => - dest <= 41; - src <= 63; - when "0011111" => - dest <= 17; - src <= 10; - when "0100000" => - dest <= 65; - src <= 37; - when "0100001" => - dest <= 41; - src <= 80; - when "0100010" => - dest <= 7; - src <= 41; - when "0100011" => - dest <= 75; - src <= 41; - when "0100100" => - dest <= 41; - src <= 55; - when "0100101" => - dest <= 41; - src <= 18; - when "0100110" => - dest <= 32; - src <= 41; - when "0100111" => - dest <= 19; - src <= 65; - when "0101000" => - dest <= 71; - src <= 17; - when "0101001" => - dest <= 59; - src <= 50; - when "0101010" => - dest <= 11; - src <= 75; - when "0101011" => - dest <= 41; - src <= 7; - when "0101100" => - dest <= 79; - src <= 41; - when "0101101" => - dest <= 3; - src <= 23; - when "0101110" => - dest <= 54; - src <= 41; - when "0101111" => - dest <= 41; - src <= 54; - when "0110000" => - dest <= 41; - src <= 11; - when "0110001" => - dest <= 27; - src <= 41; - when "0110010" => - dest <= 28; - src <= 71; - when "0110011" => - dest <= 41; - src <= 41; - when "0110100" => - dest <= 26; - src <= 3; - when "0110101" => - dest <= 41; - src <= 79; - when "0110110" => - dest <= 46; - src <= 19; - when "0110111" => - dest <= 16; - src <= 41; - when "0111000" => - dest <= 41; - src <= 46; - when "0111001" => - dest <= 56; - src <= 45; - when "0111010" => - dest <= 6; - src <= 41; - when "0111011" => - dest <= 66; - src <= 56; - when "0111100" => - dest <= 41; - src <= 41; - when "0111101" => - dest <= 78; - src <= 27; - when "0111110" => - dest <= 4; - src <= 66; - when "0111111" => - dest <= 41; - src <= 41; - when "1000000" => - dest <= 70; - src <= 26; - when "1000001" => - dest <= 41; - src <= 76; - when "1000010" => - dest <= 20; - src <= 16; - when "1000011" => - dest <= 62; - src <= 41; - when "1000100" => - dest <= 12; - src <= 4; - when "1000101" => - dest <= 41; - src <= 78; - when "1000110" => - dest <= 45; - src <= 41; - when "1000111" => - dest <= 41; - src <= 12; - when "1001000" => - dest <= 29; - src <= 41; - when "1001001" => - dest <= 35; - src <= 62; - when "1001010" => - dest <= 15; - src <= 20; - when "1001011" => - dest <= 76; - src <= 70; - when "1001100" => - dest <= 41; - src <= 41; - when "1001101" => - dest <= 25; - src <= 28; - when "1001110" => - dest <= 41; - src <= 53; - when "1001111" => - dest <= 47; - src <= 47; - when "1010000" => - dest <= 33; - src <= 67; - when "1010001" => - dest <= 57; - src <= 41; - when "1010010" => - dest <= 13; - src <= 6; - when "1010011" => - dest <= 69; - src <= 57; - when "1010100" => - dest <= 41; - src <= 49; - when "1010101" => - dest <= 21; - src <= 35; - when "1010110" => - dest <= 61; - src <= 41; - when "1010111" => - dest <= 41; - src <= 25; - when "1011000" => - dest <= 53; - src <= 69; - when "1011001" => - dest <= 31; - src <= 13; - when "1011010" => - dest <= 34; - src <= 41; - when "1011011" => - dest <= 30; - src <= 61; - when "1011100" => - dest <= 67; - src <= 21; - when "1011101" => - dest <= 23; - src <= 51; - when "1011110" => - dest <= 52; - src <= 29; - when "1011111" => - dest <= 48; - src <= 48; - when "1100000" => - dest <= 22; - src <= 52; - when "1100001" => - dest <= 60; - src <= 59; - when "1100010" => - dest <= 14; - src <= 15; - when "1100011" => - dest <= 44; - src <= 30; - when "1100100" => - dest <= 58; - src <= 34; - when "1100101" => - dest <= 41; - src <= 60; - when "1100110" => - dest <= 68; - src <= 22; - when "1100111" => - dest <= 41; - src <= 68; - when "1101000" => - dest <= 51; - src <= 38; - when "1101001" => - dest <= 43; - src <= 24; - when "1101010" => - dest <= 49; - src <= 41; - when "1101011" => - dest <= 41; - src <= 14; - when "1101100" => - dest <= 39; - src <= 31; - when "1101101" => - dest <= 41; - src <= 39; - when "1101110" => - dest <= 41; - src <= 33; - when "1101111" => - dest <= 0; - src <= 41; - when "1110000" => - dest <= 73; - src <= 43; - when others => - dest <= 41; - src <= 41; - - end case; - end process; - - end generate NI_NUM41; - - NI_NUM42 : if NI_NUM = 42 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 39; - src <= 42; - when "0000001" => - dest <= 43; - src <= 42; - when "0000010" => - dest <= 42; - src <= 42; - when "0000011" => - dest <= 2; - src <= 42; - when "0000100" => - dest <= 78; - src <= 41; - when "0000101" => - dest <= 42; - src <= 36; - when "0000110" => - dest <= 73; - src <= 42; - when "0000111" => - dest <= 42; - src <= 42; - when "0001000" => - dest <= 10; - src <= 74; - when "0001001" => - dest <= 51; - src <= 1; - when "0001010" => - dest <= 42; - src <= 6; - when "0001011" => - dest <= 0; - src <= 42; - when "0001100" => - dest <= 38; - src <= 33; - when "0001101" => - dest <= 42; - src <= 73; - when "0001110" => - dest <= 65; - src <= 42; - when "0001111" => - dest <= 6; - src <= 42; - when "0010000" => - dest <= 41; - src <= 2; - when "0010001" => - dest <= 75; - src <= 65; - when "0010010" => - dest <= 72; - src <= 37; - when "0010011" => - dest <= 25; - src <= 43; - when "0010100" => - dest <= 42; - src <= 75; - when "0010101" => - dest <= 11; - src <= 78; - when "0010110" => - dest <= 64; - src <= 42; - when "0010111" => - dest <= 42; - src <= 10; - when "0011000" => - dest <= 3; - src <= 59; - when "0011001" => - dest <= 42; - src <= 42; - when "0011010" => - dest <= 37; - src <= 0; - when "0011011" => - dest <= 42; - src <= 3; - when "0011100" => - dest <= 19; - src <= 42; - when "0011101" => - dest <= 56; - src <= 42; - when "0011110" => - dest <= 42; - src <= 64; - when "0011111" => - dest <= 9; - src <= 11; - when "0100000" => - dest <= 66; - src <= 38; - when "0100001" => - dest <= 42; - src <= 72; - when "0100010" => - dest <= 8; - src <= 42; - when "0100011" => - dest <= 76; - src <= 42; - when "0100100" => - dest <= 42; - src <= 56; - when "0100101" => - dest <= 42; - src <= 19; - when "0100110" => - dest <= 33; - src <= 42; - when "0100111" => - dest <= 20; - src <= 66; - when "0101000" => - dest <= 63; - src <= 9; - when "0101001" => - dest <= 60; - src <= 51; - when "0101010" => - dest <= 12; - src <= 76; - when "0101011" => - dest <= 42; - src <= 8; - when "0101100" => - dest <= 80; - src <= 42; - when "0101101" => - dest <= 4; - src <= 24; - when "0101110" => - dest <= 55; - src <= 42; - when "0101111" => - dest <= 42; - src <= 55; - when "0110000" => - dest <= 42; - src <= 12; - when "0110001" => - dest <= 28; - src <= 42; - when "0110010" => - dest <= 29; - src <= 63; - when "0110011" => - dest <= 42; - src <= 42; - when "0110100" => - dest <= 18; - src <= 4; - when "0110101" => - dest <= 42; - src <= 80; - when "0110110" => - dest <= 47; - src <= 20; - when "0110111" => - dest <= 17; - src <= 42; - when "0111000" => - dest <= 42; - src <= 47; - when "0111001" => - dest <= 57; - src <= 46; - when "0111010" => - dest <= 7; - src <= 42; - when "0111011" => - dest <= 67; - src <= 57; - when "0111100" => - dest <= 42; - src <= 42; - when "0111101" => - dest <= 79; - src <= 28; - when "0111110" => - dest <= 5; - src <= 67; - when "0111111" => - dest <= 42; - src <= 42; - when "1000000" => - dest <= 71; - src <= 18; - when "1000001" => - dest <= 42; - src <= 77; - when "1000010" => - dest <= 21; - src <= 17; - when "1000011" => - dest <= 54; - src <= 42; - when "1000100" => - dest <= 13; - src <= 5; - when "1000101" => - dest <= 42; - src <= 79; - when "1000110" => - dest <= 46; - src <= 42; - when "1000111" => - dest <= 42; - src <= 13; - when "1001000" => - dest <= 30; - src <= 42; - when "1001001" => - dest <= 27; - src <= 54; - when "1001010" => - dest <= 16; - src <= 21; - when "1001011" => - dest <= 77; - src <= 71; - when "1001100" => - dest <= 42; - src <= 42; - when "1001101" => - dest <= 26; - src <= 29; - when "1001110" => - dest <= 42; - src <= 45; - when "1001111" => - dest <= 48; - src <= 48; - when "1010000" => - dest <= 34; - src <= 68; - when "1010001" => - dest <= 58; - src <= 42; - when "1010010" => - dest <= 14; - src <= 7; - when "1010011" => - dest <= 70; - src <= 58; - when "1010100" => - dest <= 42; - src <= 50; - when "1010101" => - dest <= 22; - src <= 27; - when "1010110" => - dest <= 62; - src <= 42; - when "1010111" => - dest <= 42; - src <= 26; - when "1011000" => - dest <= 45; - src <= 70; - when "1011001" => - dest <= 32; - src <= 14; - when "1011010" => - dest <= 35; - src <= 42; - when "1011011" => - dest <= 31; - src <= 62; - when "1011100" => - dest <= 68; - src <= 22; - when "1011101" => - dest <= 24; - src <= 52; - when "1011110" => - dest <= 53; - src <= 30; - when "1011111" => - dest <= 49; - src <= 49; - when "1100000" => - dest <= 23; - src <= 53; - when "1100001" => - dest <= 61; - src <= 60; - when "1100010" => - dest <= 15; - src <= 16; - when "1100011" => - dest <= 36; - src <= 31; - when "1100100" => - dest <= 59; - src <= 35; - when "1100101" => - dest <= 42; - src <= 61; - when "1100110" => - dest <= 69; - src <= 23; - when "1100111" => - dest <= 42; - src <= 69; - when "1101000" => - dest <= 52; - src <= 39; - when "1101001" => - dest <= 44; - src <= 25; - when "1101010" => - dest <= 50; - src <= 42; - when "1101011" => - dest <= 42; - src <= 15; - when "1101100" => - dest <= 40; - src <= 32; - when "1101101" => - dest <= 42; - src <= 40; - when "1101110" => - dest <= 42; - src <= 34; - when "1101111" => - dest <= 1; - src <= 42; - when "1110000" => - dest <= 74; - src <= 44; - when others => - dest <= 42; - src <= 42; - - end case; - end process; - - end generate NI_NUM42; - - NI_NUM43 : if NI_NUM = 43 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 40; - src <= 43; - when "0000001" => - dest <= 44; - src <= 43; - when "0000010" => - dest <= 43; - src <= 43; - when "0000011" => - dest <= 3; - src <= 43; - when "0000100" => - dest <= 79; - src <= 42; - when "0000101" => - dest <= 43; - src <= 37; - when "0000110" => - dest <= 74; - src <= 43; - when "0000111" => - dest <= 43; - src <= 43; - when "0001000" => - dest <= 11; - src <= 75; - when "0001001" => - dest <= 52; - src <= 2; - when "0001010" => - dest <= 43; - src <= 7; - when "0001011" => - dest <= 1; - src <= 43; - when "0001100" => - dest <= 39; - src <= 34; - when "0001101" => - dest <= 43; - src <= 74; - when "0001110" => - dest <= 66; - src <= 43; - when "0001111" => - dest <= 7; - src <= 43; - when "0010000" => - dest <= 42; - src <= 3; - when "0010001" => - dest <= 76; - src <= 66; - when "0010010" => - dest <= 73; - src <= 38; - when "0010011" => - dest <= 26; - src <= 44; - when "0010100" => - dest <= 43; - src <= 76; - when "0010101" => - dest <= 12; - src <= 79; - when "0010110" => - dest <= 65; - src <= 43; - when "0010111" => - dest <= 43; - src <= 11; - when "0011000" => - dest <= 4; - src <= 60; - when "0011001" => - dest <= 43; - src <= 43; - when "0011010" => - dest <= 38; - src <= 1; - when "0011011" => - dest <= 43; - src <= 4; - when "0011100" => - dest <= 20; - src <= 43; - when "0011101" => - dest <= 57; - src <= 43; - when "0011110" => - dest <= 43; - src <= 65; - when "0011111" => - dest <= 10; - src <= 12; - when "0100000" => - dest <= 67; - src <= 39; - when "0100001" => - dest <= 43; - src <= 73; - when "0100010" => - dest <= 0; - src <= 43; - when "0100011" => - dest <= 77; - src <= 43; - when "0100100" => - dest <= 43; - src <= 57; - when "0100101" => - dest <= 43; - src <= 20; - when "0100110" => - dest <= 34; - src <= 43; - when "0100111" => - dest <= 21; - src <= 67; - when "0101000" => - dest <= 64; - src <= 10; - when "0101001" => - dest <= 61; - src <= 52; - when "0101010" => - dest <= 13; - src <= 77; - when "0101011" => - dest <= 43; - src <= 0; - when "0101100" => - dest <= 72; - src <= 43; - when "0101101" => - dest <= 5; - src <= 25; - when "0101110" => - dest <= 56; - src <= 43; - when "0101111" => - dest <= 43; - src <= 56; - when "0110000" => - dest <= 43; - src <= 13; - when "0110001" => - dest <= 29; - src <= 43; - when "0110010" => - dest <= 30; - src <= 64; - when "0110011" => - dest <= 43; - src <= 43; - when "0110100" => - dest <= 19; - src <= 5; - when "0110101" => - dest <= 43; - src <= 72; - when "0110110" => - dest <= 48; - src <= 21; - when "0110111" => - dest <= 9; - src <= 43; - when "0111000" => - dest <= 43; - src <= 48; - when "0111001" => - dest <= 58; - src <= 47; - when "0111010" => - dest <= 8; - src <= 43; - when "0111011" => - dest <= 68; - src <= 58; - when "0111100" => - dest <= 43; - src <= 43; - when "0111101" => - dest <= 80; - src <= 29; - when "0111110" => - dest <= 6; - src <= 68; - when "0111111" => - dest <= 43; - src <= 43; - when "1000000" => - dest <= 63; - src <= 19; - when "1000001" => - dest <= 43; - src <= 78; - when "1000010" => - dest <= 22; - src <= 9; - when "1000011" => - dest <= 55; - src <= 43; - when "1000100" => - dest <= 14; - src <= 6; - when "1000101" => - dest <= 43; - src <= 80; - when "1000110" => - dest <= 47; - src <= 43; - when "1000111" => - dest <= 43; - src <= 14; - when "1001000" => - dest <= 31; - src <= 43; - when "1001001" => - dest <= 28; - src <= 55; - when "1001010" => - dest <= 17; - src <= 22; - when "1001011" => - dest <= 78; - src <= 63; - when "1001100" => - dest <= 43; - src <= 43; - when "1001101" => - dest <= 18; - src <= 30; - when "1001110" => - dest <= 43; - src <= 46; - when "1001111" => - dest <= 49; - src <= 49; - when "1010000" => - dest <= 35; - src <= 69; - when "1010001" => - dest <= 59; - src <= 43; - when "1010010" => - dest <= 15; - src <= 8; - when "1010011" => - dest <= 71; - src <= 59; - when "1010100" => - dest <= 43; - src <= 51; - when "1010101" => - dest <= 23; - src <= 28; - when "1010110" => - dest <= 54; - src <= 43; - when "1010111" => - dest <= 43; - src <= 18; - when "1011000" => - dest <= 46; - src <= 71; - when "1011001" => - dest <= 33; - src <= 15; - when "1011010" => - dest <= 27; - src <= 43; - when "1011011" => - dest <= 32; - src <= 54; - when "1011100" => - dest <= 69; - src <= 23; - when "1011101" => - dest <= 25; - src <= 53; - when "1011110" => - dest <= 45; - src <= 31; - when "1011111" => - dest <= 50; - src <= 50; - when "1100000" => - dest <= 24; - src <= 45; - when "1100001" => - dest <= 62; - src <= 61; - when "1100010" => - dest <= 16; - src <= 17; - when "1100011" => - dest <= 37; - src <= 32; - when "1100100" => - dest <= 60; - src <= 27; - when "1100101" => - dest <= 43; - src <= 62; - when "1100110" => - dest <= 70; - src <= 24; - when "1100111" => - dest <= 43; - src <= 70; - when "1101000" => - dest <= 53; - src <= 40; - when "1101001" => - dest <= 36; - src <= 26; - when "1101010" => - dest <= 51; - src <= 43; - when "1101011" => - dest <= 43; - src <= 16; - when "1101100" => - dest <= 41; - src <= 33; - when "1101101" => - dest <= 43; - src <= 41; - when "1101110" => - dest <= 43; - src <= 35; - when "1101111" => - dest <= 2; - src <= 43; - when "1110000" => - dest <= 75; - src <= 36; - when others => - dest <= 43; - src <= 43; - - end case; - end process; - - end generate NI_NUM43; - - NI_NUM44 : if NI_NUM = 44 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 41; - src <= 44; - when "0000001" => - dest <= 36; - src <= 44; - when "0000010" => - dest <= 44; - src <= 44; - when "0000011" => - dest <= 4; - src <= 44; - when "0000100" => - dest <= 80; - src <= 43; - when "0000101" => - dest <= 44; - src <= 38; - when "0000110" => - dest <= 75; - src <= 44; - when "0000111" => - dest <= 44; - src <= 44; - when "0001000" => - dest <= 12; - src <= 76; - when "0001001" => - dest <= 53; - src <= 3; - when "0001010" => - dest <= 44; - src <= 8; - when "0001011" => - dest <= 2; - src <= 44; - when "0001100" => - dest <= 40; - src <= 35; - when "0001101" => - dest <= 44; - src <= 75; - when "0001110" => - dest <= 67; - src <= 44; - when "0001111" => - dest <= 8; - src <= 44; - when "0010000" => - dest <= 43; - src <= 4; - when "0010001" => - dest <= 77; - src <= 67; - when "0010010" => - dest <= 74; - src <= 39; - when "0010011" => - dest <= 18; - src <= 36; - when "0010100" => - dest <= 44; - src <= 77; - when "0010101" => - dest <= 13; - src <= 80; - when "0010110" => - dest <= 66; - src <= 44; - when "0010111" => - dest <= 44; - src <= 12; - when "0011000" => - dest <= 5; - src <= 61; - when "0011001" => - dest <= 44; - src <= 44; - when "0011010" => - dest <= 39; - src <= 2; - when "0011011" => - dest <= 44; - src <= 5; - when "0011100" => - dest <= 21; - src <= 44; - when "0011101" => - dest <= 58; - src <= 44; - when "0011110" => - dest <= 44; - src <= 66; - when "0011111" => - dest <= 11; - src <= 13; - when "0100000" => - dest <= 68; - src <= 40; - when "0100001" => - dest <= 44; - src <= 74; - when "0100010" => - dest <= 1; - src <= 44; - when "0100011" => - dest <= 78; - src <= 44; - when "0100100" => - dest <= 44; - src <= 58; - when "0100101" => - dest <= 44; - src <= 21; - when "0100110" => - dest <= 35; - src <= 44; - when "0100111" => - dest <= 22; - src <= 68; - when "0101000" => - dest <= 65; - src <= 11; - when "0101001" => - dest <= 62; - src <= 53; - when "0101010" => - dest <= 14; - src <= 78; - when "0101011" => - dest <= 44; - src <= 1; - when "0101100" => - dest <= 73; - src <= 44; - when "0101101" => - dest <= 6; - src <= 26; - when "0101110" => - dest <= 57; - src <= 44; - when "0101111" => - dest <= 44; - src <= 57; - when "0110000" => - dest <= 44; - src <= 14; - when "0110001" => - dest <= 30; - src <= 44; - when "0110010" => - dest <= 31; - src <= 65; - when "0110011" => - dest <= 44; - src <= 44; - when "0110100" => - dest <= 20; - src <= 6; - when "0110101" => - dest <= 44; - src <= 73; - when "0110110" => - dest <= 49; - src <= 22; - when "0110111" => - dest <= 10; - src <= 44; - when "0111000" => - dest <= 44; - src <= 49; - when "0111001" => - dest <= 59; - src <= 48; - when "0111010" => - dest <= 0; - src <= 44; - when "0111011" => - dest <= 69; - src <= 59; - when "0111100" => - dest <= 44; - src <= 44; - when "0111101" => - dest <= 72; - src <= 30; - when "0111110" => - dest <= 7; - src <= 69; - when "0111111" => - dest <= 44; - src <= 44; - when "1000000" => - dest <= 64; - src <= 20; - when "1000001" => - dest <= 44; - src <= 79; - when "1000010" => - dest <= 23; - src <= 10; - when "1000011" => - dest <= 56; - src <= 44; - when "1000100" => - dest <= 15; - src <= 7; - when "1000101" => - dest <= 44; - src <= 72; - when "1000110" => - dest <= 48; - src <= 44; - when "1000111" => - dest <= 44; - src <= 15; - when "1001000" => - dest <= 32; - src <= 44; - when "1001001" => - dest <= 29; - src <= 56; - when "1001010" => - dest <= 9; - src <= 23; - when "1001011" => - dest <= 79; - src <= 64; - when "1001100" => - dest <= 44; - src <= 44; - when "1001101" => - dest <= 19; - src <= 31; - when "1001110" => - dest <= 44; - src <= 47; - when "1001111" => - dest <= 50; - src <= 50; - when "1010000" => - dest <= 27; - src <= 70; - when "1010001" => - dest <= 60; - src <= 44; - when "1010010" => - dest <= 16; - src <= 0; - when "1010011" => - dest <= 63; - src <= 60; - when "1010100" => - dest <= 44; - src <= 52; - when "1010101" => - dest <= 24; - src <= 29; - when "1010110" => - dest <= 55; - src <= 44; - when "1010111" => - dest <= 44; - src <= 19; - when "1011000" => - dest <= 47; - src <= 63; - when "1011001" => - dest <= 34; - src <= 16; - when "1011010" => - dest <= 28; - src <= 44; - when "1011011" => - dest <= 33; - src <= 55; - when "1011100" => - dest <= 70; - src <= 24; - when "1011101" => - dest <= 26; - src <= 45; - when "1011110" => - dest <= 46; - src <= 32; - when "1011111" => - dest <= 51; - src <= 51; - when "1100000" => - dest <= 25; - src <= 46; - when "1100001" => - dest <= 54; - src <= 62; - when "1100010" => - dest <= 17; - src <= 9; - when "1100011" => - dest <= 38; - src <= 33; - when "1100100" => - dest <= 61; - src <= 28; - when "1100101" => - dest <= 44; - src <= 54; - when "1100110" => - dest <= 71; - src <= 25; - when "1100111" => - dest <= 44; - src <= 71; - when "1101000" => - dest <= 45; - src <= 41; - when "1101001" => - dest <= 37; - src <= 18; - when "1101010" => - dest <= 52; - src <= 44; - when "1101011" => - dest <= 44; - src <= 17; - when "1101100" => - dest <= 42; - src <= 34; - when "1101101" => - dest <= 44; - src <= 42; - when "1101110" => - dest <= 44; - src <= 27; - when "1101111" => - dest <= 3; - src <= 44; - when "1110000" => - dest <= 76; - src <= 37; - when others => - dest <= 44; - src <= 44; - - end case; - end process; - - end generate NI_NUM44; - - NI_NUM45 : if NI_NUM = 45 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 51; - src <= 45; - when "0000001" => - dest <= 46; - src <= 45; - when "0000010" => - dest <= 45; - src <= 45; - when "0000011" => - dest <= 14; - src <= 45; - when "0000100" => - dest <= 0; - src <= 53; - when "0000101" => - dest <= 45; - src <= 48; - when "0000110" => - dest <= 4; - src <= 45; - when "0000111" => - dest <= 45; - src <= 45; - when "0001000" => - dest <= 22; - src <= 5; - when "0001001" => - dest <= 54; - src <= 13; - when "0001010" => - dest <= 45; - src <= 9; - when "0001011" => - dest <= 12; - src <= 45; - when "0001100" => - dest <= 50; - src <= 36; - when "0001101" => - dest <= 45; - src <= 4; - when "0001110" => - dest <= 77; - src <= 45; - when "0001111" => - dest <= 9; - src <= 45; - when "0010000" => - dest <= 53; - src <= 14; - when "0010001" => - dest <= 6; - src <= 77; - when "0010010" => - dest <= 3; - src <= 49; - when "0010011" => - dest <= 28; - src <= 46; - when "0010100" => - dest <= 45; - src <= 6; - when "0010101" => - dest <= 23; - src <= 0; - when "0010110" => - dest <= 76; - src <= 45; - when "0010111" => - dest <= 45; - src <= 22; - when "0011000" => - dest <= 15; - src <= 71; - when "0011001" => - dest <= 45; - src <= 45; - when "0011010" => - dest <= 49; - src <= 12; - when "0011011" => - dest <= 45; - src <= 15; - when "0011100" => - dest <= 31; - src <= 45; - when "0011101" => - dest <= 68; - src <= 45; - when "0011110" => - dest <= 45; - src <= 76; - when "0011111" => - dest <= 21; - src <= 23; - when "0100000" => - dest <= 78; - src <= 50; - when "0100001" => - dest <= 45; - src <= 3; - when "0100010" => - dest <= 11; - src <= 45; - when "0100011" => - dest <= 7; - src <= 45; - when "0100100" => - dest <= 45; - src <= 68; - when "0100101" => - dest <= 45; - src <= 31; - when "0100110" => - dest <= 36; - src <= 45; - when "0100111" => - dest <= 32; - src <= 78; - when "0101000" => - dest <= 75; - src <= 21; - when "0101001" => - dest <= 63; - src <= 54; - when "0101010" => - dest <= 24; - src <= 7; - when "0101011" => - dest <= 45; - src <= 11; - when "0101100" => - dest <= 2; - src <= 45; - when "0101101" => - dest <= 16; - src <= 27; - when "0101110" => - dest <= 67; - src <= 45; - when "0101111" => - dest <= 45; - src <= 67; - when "0110000" => - dest <= 45; - src <= 24; - when "0110001" => - dest <= 40; - src <= 45; - when "0110010" => - dest <= 41; - src <= 75; - when "0110011" => - dest <= 45; - src <= 45; - when "0110100" => - dest <= 30; - src <= 16; - when "0110101" => - dest <= 45; - src <= 2; - when "0110110" => - dest <= 59; - src <= 32; - when "0110111" => - dest <= 20; - src <= 45; - when "0111000" => - dest <= 45; - src <= 59; - when "0111001" => - dest <= 69; - src <= 58; - when "0111010" => - dest <= 10; - src <= 45; - when "0111011" => - dest <= 79; - src <= 69; - when "0111100" => - dest <= 45; - src <= 45; - when "0111101" => - dest <= 1; - src <= 40; - when "0111110" => - dest <= 17; - src <= 79; - when "0111111" => - dest <= 45; - src <= 45; - when "1000000" => - dest <= 74; - src <= 30; - when "1000001" => - dest <= 45; - src <= 8; - when "1000010" => - dest <= 33; - src <= 20; - when "1000011" => - dest <= 66; - src <= 45; - when "1000100" => - dest <= 25; - src <= 17; - when "1000101" => - dest <= 45; - src <= 1; - when "1000110" => - dest <= 58; - src <= 45; - when "1000111" => - dest <= 45; - src <= 25; - when "1001000" => - dest <= 42; - src <= 45; - when "1001001" => - dest <= 39; - src <= 66; - when "1001010" => - dest <= 19; - src <= 33; - when "1001011" => - dest <= 8; - src <= 74; - when "1001100" => - dest <= 45; - src <= 45; - when "1001101" => - dest <= 29; - src <= 41; - when "1001110" => - dest <= 45; - src <= 57; - when "1001111" => - dest <= 60; - src <= 60; - when "1010000" => - dest <= 37; - src <= 80; - when "1010001" => - dest <= 70; - src <= 45; - when "1010010" => - dest <= 26; - src <= 10; - when "1010011" => - dest <= 73; - src <= 70; - when "1010100" => - dest <= 45; - src <= 62; - when "1010101" => - dest <= 34; - src <= 39; - when "1010110" => - dest <= 65; - src <= 45; - when "1010111" => - dest <= 45; - src <= 29; - when "1011000" => - dest <= 57; - src <= 73; - when "1011001" => - dest <= 44; - src <= 26; - when "1011010" => - dest <= 38; - src <= 45; - when "1011011" => - dest <= 43; - src <= 65; - when "1011100" => - dest <= 80; - src <= 34; - when "1011101" => - dest <= 27; - src <= 55; - when "1011110" => - dest <= 56; - src <= 42; - when "1011111" => - dest <= 61; - src <= 61; - when "1100000" => - dest <= 35; - src <= 56; - when "1100001" => - dest <= 64; - src <= 63; - when "1100010" => - dest <= 18; - src <= 19; - when "1100011" => - dest <= 48; - src <= 43; - when "1100100" => - dest <= 71; - src <= 38; - when "1100101" => - dest <= 45; - src <= 64; - when "1100110" => - dest <= 72; - src <= 35; - when "1100111" => - dest <= 45; - src <= 72; - when "1101000" => - dest <= 55; - src <= 51; - when "1101001" => - dest <= 47; - src <= 28; - when "1101010" => - dest <= 62; - src <= 45; - when "1101011" => - dest <= 45; - src <= 18; - when "1101100" => - dest <= 52; - src <= 44; - when "1101101" => - dest <= 45; - src <= 52; - when "1101110" => - dest <= 45; - src <= 37; - when "1101111" => - dest <= 13; - src <= 45; - when "1110000" => - dest <= 5; - src <= 47; - when others => - dest <= 45; - src <= 45; - - end case; - end process; - - end generate NI_NUM45; - - NI_NUM46 : if NI_NUM = 46 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 52; - src <= 46; - when "0000001" => - dest <= 47; - src <= 46; - when "0000010" => - dest <= 46; - src <= 46; - when "0000011" => - dest <= 15; - src <= 46; - when "0000100" => - dest <= 1; - src <= 45; - when "0000101" => - dest <= 46; - src <= 49; - when "0000110" => - dest <= 5; - src <= 46; - when "0000111" => - dest <= 46; - src <= 46; - when "0001000" => - dest <= 23; - src <= 6; - when "0001001" => - dest <= 55; - src <= 14; - when "0001010" => - dest <= 46; - src <= 10; - when "0001011" => - dest <= 13; - src <= 46; - when "0001100" => - dest <= 51; - src <= 37; - when "0001101" => - dest <= 46; - src <= 5; - when "0001110" => - dest <= 78; - src <= 46; - when "0001111" => - dest <= 10; - src <= 46; - when "0010000" => - dest <= 45; - src <= 15; - when "0010001" => - dest <= 7; - src <= 78; - when "0010010" => - dest <= 4; - src <= 50; - when "0010011" => - dest <= 29; - src <= 47; - when "0010100" => - dest <= 46; - src <= 7; - when "0010101" => - dest <= 24; - src <= 1; - when "0010110" => - dest <= 77; - src <= 46; - when "0010111" => - dest <= 46; - src <= 23; - when "0011000" => - dest <= 16; - src <= 63; - when "0011001" => - dest <= 46; - src <= 46; - when "0011010" => - dest <= 50; - src <= 13; - when "0011011" => - dest <= 46; - src <= 16; - when "0011100" => - dest <= 32; - src <= 46; - when "0011101" => - dest <= 69; - src <= 46; - when "0011110" => - dest <= 46; - src <= 77; - when "0011111" => - dest <= 22; - src <= 24; - when "0100000" => - dest <= 79; - src <= 51; - when "0100001" => - dest <= 46; - src <= 4; - when "0100010" => - dest <= 12; - src <= 46; - when "0100011" => - dest <= 8; - src <= 46; - when "0100100" => - dest <= 46; - src <= 69; - when "0100101" => - dest <= 46; - src <= 32; - when "0100110" => - dest <= 37; - src <= 46; - when "0100111" => - dest <= 33; - src <= 79; - when "0101000" => - dest <= 76; - src <= 22; - when "0101001" => - dest <= 64; - src <= 55; - when "0101010" => - dest <= 25; - src <= 8; - when "0101011" => - dest <= 46; - src <= 12; - when "0101100" => - dest <= 3; - src <= 46; - when "0101101" => - dest <= 17; - src <= 28; - when "0101110" => - dest <= 68; - src <= 46; - when "0101111" => - dest <= 46; - src <= 68; - when "0110000" => - dest <= 46; - src <= 25; - when "0110001" => - dest <= 41; - src <= 46; - when "0110010" => - dest <= 42; - src <= 76; - when "0110011" => - dest <= 46; - src <= 46; - when "0110100" => - dest <= 31; - src <= 17; - when "0110101" => - dest <= 46; - src <= 3; - when "0110110" => - dest <= 60; - src <= 33; - when "0110111" => - dest <= 21; - src <= 46; - when "0111000" => - dest <= 46; - src <= 60; - when "0111001" => - dest <= 70; - src <= 59; - when "0111010" => - dest <= 11; - src <= 46; - when "0111011" => - dest <= 80; - src <= 70; - when "0111100" => - dest <= 46; - src <= 46; - when "0111101" => - dest <= 2; - src <= 41; - when "0111110" => - dest <= 9; - src <= 80; - when "0111111" => - dest <= 46; - src <= 46; - when "1000000" => - dest <= 75; - src <= 31; - when "1000001" => - dest <= 46; - src <= 0; - when "1000010" => - dest <= 34; - src <= 21; - when "1000011" => - dest <= 67; - src <= 46; - when "1000100" => - dest <= 26; - src <= 9; - when "1000101" => - dest <= 46; - src <= 2; - when "1000110" => - dest <= 59; - src <= 46; - when "1000111" => - dest <= 46; - src <= 26; - when "1001000" => - dest <= 43; - src <= 46; - when "1001001" => - dest <= 40; - src <= 67; - when "1001010" => - dest <= 20; - src <= 34; - when "1001011" => - dest <= 0; - src <= 75; - when "1001100" => - dest <= 46; - src <= 46; - when "1001101" => - dest <= 30; - src <= 42; - when "1001110" => - dest <= 46; - src <= 58; - when "1001111" => - dest <= 61; - src <= 61; - when "1010000" => - dest <= 38; - src <= 72; - when "1010001" => - dest <= 71; - src <= 46; - when "1010010" => - dest <= 18; - src <= 11; - when "1010011" => - dest <= 74; - src <= 71; - when "1010100" => - dest <= 46; - src <= 54; - when "1010101" => - dest <= 35; - src <= 40; - when "1010110" => - dest <= 66; - src <= 46; - when "1010111" => - dest <= 46; - src <= 30; - when "1011000" => - dest <= 58; - src <= 74; - when "1011001" => - dest <= 36; - src <= 18; - when "1011010" => - dest <= 39; - src <= 46; - when "1011011" => - dest <= 44; - src <= 66; - when "1011100" => - dest <= 72; - src <= 35; - when "1011101" => - dest <= 28; - src <= 56; - when "1011110" => - dest <= 57; - src <= 43; - when "1011111" => - dest <= 62; - src <= 62; - when "1100000" => - dest <= 27; - src <= 57; - when "1100001" => - dest <= 65; - src <= 64; - when "1100010" => - dest <= 19; - src <= 20; - when "1100011" => - dest <= 49; - src <= 44; - when "1100100" => - dest <= 63; - src <= 39; - when "1100101" => - dest <= 46; - src <= 65; - when "1100110" => - dest <= 73; - src <= 27; - when "1100111" => - dest <= 46; - src <= 73; - when "1101000" => - dest <= 56; - src <= 52; - when "1101001" => - dest <= 48; - src <= 29; - when "1101010" => - dest <= 54; - src <= 46; - when "1101011" => - dest <= 46; - src <= 19; - when "1101100" => - dest <= 53; - src <= 36; - when "1101101" => - dest <= 46; - src <= 53; - when "1101110" => - dest <= 46; - src <= 38; - when "1101111" => - dest <= 14; - src <= 46; - when "1110000" => - dest <= 6; - src <= 48; - when others => - dest <= 46; - src <= 46; - - end case; - end process; - - end generate NI_NUM46; - - NI_NUM47 : if NI_NUM = 47 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 53; - src <= 47; - when "0000001" => - dest <= 48; - src <= 47; - when "0000010" => - dest <= 47; - src <= 47; - when "0000011" => - dest <= 16; - src <= 47; - when "0000100" => - dest <= 2; - src <= 46; - when "0000101" => - dest <= 47; - src <= 50; - when "0000110" => - dest <= 6; - src <= 47; - when "0000111" => - dest <= 47; - src <= 47; - when "0001000" => - dest <= 24; - src <= 7; - when "0001001" => - dest <= 56; - src <= 15; - when "0001010" => - dest <= 47; - src <= 11; - when "0001011" => - dest <= 14; - src <= 47; - when "0001100" => - dest <= 52; - src <= 38; - when "0001101" => - dest <= 47; - src <= 6; - when "0001110" => - dest <= 79; - src <= 47; - when "0001111" => - dest <= 11; - src <= 47; - when "0010000" => - dest <= 46; - src <= 16; - when "0010001" => - dest <= 8; - src <= 79; - when "0010010" => - dest <= 5; - src <= 51; - when "0010011" => - dest <= 30; - src <= 48; - when "0010100" => - dest <= 47; - src <= 8; - when "0010101" => - dest <= 25; - src <= 2; - when "0010110" => - dest <= 78; - src <= 47; - when "0010111" => - dest <= 47; - src <= 24; - when "0011000" => - dest <= 17; - src <= 64; - when "0011001" => - dest <= 47; - src <= 47; - when "0011010" => - dest <= 51; - src <= 14; - when "0011011" => - dest <= 47; - src <= 17; - when "0011100" => - dest <= 33; - src <= 47; - when "0011101" => - dest <= 70; - src <= 47; - when "0011110" => - dest <= 47; - src <= 78; - when "0011111" => - dest <= 23; - src <= 25; - when "0100000" => - dest <= 80; - src <= 52; - when "0100001" => - dest <= 47; - src <= 5; - when "0100010" => - dest <= 13; - src <= 47; - when "0100011" => - dest <= 0; - src <= 47; - when "0100100" => - dest <= 47; - src <= 70; - when "0100101" => - dest <= 47; - src <= 33; - when "0100110" => - dest <= 38; - src <= 47; - when "0100111" => - dest <= 34; - src <= 80; - when "0101000" => - dest <= 77; - src <= 23; - when "0101001" => - dest <= 65; - src <= 56; - when "0101010" => - dest <= 26; - src <= 0; - when "0101011" => - dest <= 47; - src <= 13; - when "0101100" => - dest <= 4; - src <= 47; - when "0101101" => - dest <= 9; - src <= 29; - when "0101110" => - dest <= 69; - src <= 47; - when "0101111" => - dest <= 47; - src <= 69; - when "0110000" => - dest <= 47; - src <= 26; - when "0110001" => - dest <= 42; - src <= 47; - when "0110010" => - dest <= 43; - src <= 77; - when "0110011" => - dest <= 47; - src <= 47; - when "0110100" => - dest <= 32; - src <= 9; - when "0110101" => - dest <= 47; - src <= 4; - when "0110110" => - dest <= 61; - src <= 34; - when "0110111" => - dest <= 22; - src <= 47; - when "0111000" => - dest <= 47; - src <= 61; - when "0111001" => - dest <= 71; - src <= 60; - when "0111010" => - dest <= 12; - src <= 47; - when "0111011" => - dest <= 72; - src <= 71; - when "0111100" => - dest <= 47; - src <= 47; - when "0111101" => - dest <= 3; - src <= 42; - when "0111110" => - dest <= 10; - src <= 72; - when "0111111" => - dest <= 47; - src <= 47; - when "1000000" => - dest <= 76; - src <= 32; - when "1000001" => - dest <= 47; - src <= 1; - when "1000010" => - dest <= 35; - src <= 22; - when "1000011" => - dest <= 68; - src <= 47; - when "1000100" => - dest <= 18; - src <= 10; - when "1000101" => - dest <= 47; - src <= 3; - when "1000110" => - dest <= 60; - src <= 47; - when "1000111" => - dest <= 47; - src <= 18; - when "1001000" => - dest <= 44; - src <= 47; - when "1001001" => - dest <= 41; - src <= 68; - when "1001010" => - dest <= 21; - src <= 35; - when "1001011" => - dest <= 1; - src <= 76; - when "1001100" => - dest <= 47; - src <= 47; - when "1001101" => - dest <= 31; - src <= 43; - when "1001110" => - dest <= 47; - src <= 59; - when "1001111" => - dest <= 62; - src <= 62; - when "1010000" => - dest <= 39; - src <= 73; - when "1010001" => - dest <= 63; - src <= 47; - when "1010010" => - dest <= 19; - src <= 12; - when "1010011" => - dest <= 75; - src <= 63; - when "1010100" => - dest <= 47; - src <= 55; - when "1010101" => - dest <= 27; - src <= 41; - when "1010110" => - dest <= 67; - src <= 47; - when "1010111" => - dest <= 47; - src <= 31; - when "1011000" => - dest <= 59; - src <= 75; - when "1011001" => - dest <= 37; - src <= 19; - when "1011010" => - dest <= 40; - src <= 47; - when "1011011" => - dest <= 36; - src <= 67; - when "1011100" => - dest <= 73; - src <= 27; - when "1011101" => - dest <= 29; - src <= 57; - when "1011110" => - dest <= 58; - src <= 44; - when "1011111" => - dest <= 54; - src <= 54; - when "1100000" => - dest <= 28; - src <= 58; - when "1100001" => - dest <= 66; - src <= 65; - when "1100010" => - dest <= 20; - src <= 21; - when "1100011" => - dest <= 50; - src <= 36; - when "1100100" => - dest <= 64; - src <= 40; - when "1100101" => - dest <= 47; - src <= 66; - when "1100110" => - dest <= 74; - src <= 28; - when "1100111" => - dest <= 47; - src <= 74; - when "1101000" => - dest <= 57; - src <= 53; - when "1101001" => - dest <= 49; - src <= 30; - when "1101010" => - dest <= 55; - src <= 47; - when "1101011" => - dest <= 47; - src <= 20; - when "1101100" => - dest <= 45; - src <= 37; - when "1101101" => - dest <= 47; - src <= 45; - when "1101110" => - dest <= 47; - src <= 39; - when "1101111" => - dest <= 15; - src <= 47; - when "1110000" => - dest <= 7; - src <= 49; - when others => - dest <= 47; - src <= 47; - - end case; - end process; - - end generate NI_NUM47; - - NI_NUM48 : if NI_NUM = 48 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 45; - src <= 48; - when "0000001" => - dest <= 49; - src <= 48; - when "0000010" => - dest <= 48; - src <= 48; - when "0000011" => - dest <= 17; - src <= 48; - when "0000100" => - dest <= 3; - src <= 47; - when "0000101" => - dest <= 48; - src <= 51; - when "0000110" => - dest <= 7; - src <= 48; - when "0000111" => - dest <= 48; - src <= 48; - when "0001000" => - dest <= 25; - src <= 8; - when "0001001" => - dest <= 57; - src <= 16; - when "0001010" => - dest <= 48; - src <= 12; - when "0001011" => - dest <= 15; - src <= 48; - when "0001100" => - dest <= 53; - src <= 39; - when "0001101" => - dest <= 48; - src <= 7; - when "0001110" => - dest <= 80; - src <= 48; - when "0001111" => - dest <= 12; - src <= 48; - when "0010000" => - dest <= 47; - src <= 17; - when "0010001" => - dest <= 0; - src <= 80; - when "0010010" => - dest <= 6; - src <= 52; - when "0010011" => - dest <= 31; - src <= 49; - when "0010100" => - dest <= 48; - src <= 0; - when "0010101" => - dest <= 26; - src <= 3; - when "0010110" => - dest <= 79; - src <= 48; - when "0010111" => - dest <= 48; - src <= 25; - when "0011000" => - dest <= 9; - src <= 65; - when "0011001" => - dest <= 48; - src <= 48; - when "0011010" => - dest <= 52; - src <= 15; - when "0011011" => - dest <= 48; - src <= 9; - when "0011100" => - dest <= 34; - src <= 48; - when "0011101" => - dest <= 71; - src <= 48; - when "0011110" => - dest <= 48; - src <= 79; - when "0011111" => - dest <= 24; - src <= 26; - when "0100000" => - dest <= 72; - src <= 53; - when "0100001" => - dest <= 48; - src <= 6; - when "0100010" => - dest <= 14; - src <= 48; - when "0100011" => - dest <= 1; - src <= 48; - when "0100100" => - dest <= 48; - src <= 71; - when "0100101" => - dest <= 48; - src <= 34; - when "0100110" => - dest <= 39; - src <= 48; - when "0100111" => - dest <= 35; - src <= 72; - when "0101000" => - dest <= 78; - src <= 24; - when "0101001" => - dest <= 66; - src <= 57; - when "0101010" => - dest <= 18; - src <= 1; - when "0101011" => - dest <= 48; - src <= 14; - when "0101100" => - dest <= 5; - src <= 48; - when "0101101" => - dest <= 10; - src <= 30; - when "0101110" => - dest <= 70; - src <= 48; - when "0101111" => - dest <= 48; - src <= 70; - when "0110000" => - dest <= 48; - src <= 18; - when "0110001" => - dest <= 43; - src <= 48; - when "0110010" => - dest <= 44; - src <= 78; - when "0110011" => - dest <= 48; - src <= 48; - when "0110100" => - dest <= 33; - src <= 10; - when "0110101" => - dest <= 48; - src <= 5; - when "0110110" => - dest <= 62; - src <= 35; - when "0110111" => - dest <= 23; - src <= 48; - when "0111000" => - dest <= 48; - src <= 62; - when "0111001" => - dest <= 63; - src <= 61; - when "0111010" => - dest <= 13; - src <= 48; - when "0111011" => - dest <= 73; - src <= 63; - when "0111100" => - dest <= 48; - src <= 48; - when "0111101" => - dest <= 4; - src <= 43; - when "0111110" => - dest <= 11; - src <= 73; - when "0111111" => - dest <= 48; - src <= 48; - when "1000000" => - dest <= 77; - src <= 33; - when "1000001" => - dest <= 48; - src <= 2; - when "1000010" => - dest <= 27; - src <= 23; - when "1000011" => - dest <= 69; - src <= 48; - when "1000100" => - dest <= 19; - src <= 11; - when "1000101" => - dest <= 48; - src <= 4; - when "1000110" => - dest <= 61; - src <= 48; - when "1000111" => - dest <= 48; - src <= 19; - when "1001000" => - dest <= 36; - src <= 48; - when "1001001" => - dest <= 42; - src <= 69; - when "1001010" => - dest <= 22; - src <= 27; - when "1001011" => - dest <= 2; - src <= 77; - when "1001100" => - dest <= 48; - src <= 48; - when "1001101" => - dest <= 32; - src <= 44; - when "1001110" => - dest <= 48; - src <= 60; - when "1001111" => - dest <= 54; - src <= 54; - when "1010000" => - dest <= 40; - src <= 74; - when "1010001" => - dest <= 64; - src <= 48; - when "1010010" => - dest <= 20; - src <= 13; - when "1010011" => - dest <= 76; - src <= 64; - when "1010100" => - dest <= 48; - src <= 56; - when "1010101" => - dest <= 28; - src <= 42; - when "1010110" => - dest <= 68; - src <= 48; - when "1010111" => - dest <= 48; - src <= 32; - when "1011000" => - dest <= 60; - src <= 76; - when "1011001" => - dest <= 38; - src <= 20; - when "1011010" => - dest <= 41; - src <= 48; - when "1011011" => - dest <= 37; - src <= 68; - when "1011100" => - dest <= 74; - src <= 28; - when "1011101" => - dest <= 30; - src <= 58; - when "1011110" => - dest <= 59; - src <= 36; - when "1011111" => - dest <= 55; - src <= 55; - when "1100000" => - dest <= 29; - src <= 59; - when "1100001" => - dest <= 67; - src <= 66; - when "1100010" => - dest <= 21; - src <= 22; - when "1100011" => - dest <= 51; - src <= 37; - when "1100100" => - dest <= 65; - src <= 41; - when "1100101" => - dest <= 48; - src <= 67; - when "1100110" => - dest <= 75; - src <= 29; - when "1100111" => - dest <= 48; - src <= 75; - when "1101000" => - dest <= 58; - src <= 45; - when "1101001" => - dest <= 50; - src <= 31; - when "1101010" => - dest <= 56; - src <= 48; - when "1101011" => - dest <= 48; - src <= 21; - when "1101100" => - dest <= 46; - src <= 38; - when "1101101" => - dest <= 48; - src <= 46; - when "1101110" => - dest <= 48; - src <= 40; - when "1101111" => - dest <= 16; - src <= 48; - when "1110000" => - dest <= 8; - src <= 50; - when others => - dest <= 48; - src <= 48; - - end case; - end process; - - end generate NI_NUM48; - - NI_NUM49 : if NI_NUM = 49 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 46; - src <= 49; - when "0000001" => - dest <= 50; - src <= 49; - when "0000010" => - dest <= 49; - src <= 49; - when "0000011" => - dest <= 9; - src <= 49; - when "0000100" => - dest <= 4; - src <= 48; - when "0000101" => - dest <= 49; - src <= 52; - when "0000110" => - dest <= 8; - src <= 49; - when "0000111" => - dest <= 49; - src <= 49; - when "0001000" => - dest <= 26; - src <= 0; - when "0001001" => - dest <= 58; - src <= 17; - when "0001010" => - dest <= 49; - src <= 13; - when "0001011" => - dest <= 16; - src <= 49; - when "0001100" => - dest <= 45; - src <= 40; - when "0001101" => - dest <= 49; - src <= 8; - when "0001110" => - dest <= 72; - src <= 49; - when "0001111" => - dest <= 13; - src <= 49; - when "0010000" => - dest <= 48; - src <= 9; - when "0010001" => - dest <= 1; - src <= 72; - when "0010010" => - dest <= 7; - src <= 53; - when "0010011" => - dest <= 32; - src <= 50; - when "0010100" => - dest <= 49; - src <= 1; - when "0010101" => - dest <= 18; - src <= 4; - when "0010110" => - dest <= 80; - src <= 49; - when "0010111" => - dest <= 49; - src <= 26; - when "0011000" => - dest <= 10; - src <= 66; - when "0011001" => - dest <= 49; - src <= 49; - when "0011010" => - dest <= 53; - src <= 16; - when "0011011" => - dest <= 49; - src <= 10; - when "0011100" => - dest <= 35; - src <= 49; - when "0011101" => - dest <= 63; - src <= 49; - when "0011110" => - dest <= 49; - src <= 80; - when "0011111" => - dest <= 25; - src <= 18; - when "0100000" => - dest <= 73; - src <= 45; - when "0100001" => - dest <= 49; - src <= 7; - when "0100010" => - dest <= 15; - src <= 49; - when "0100011" => - dest <= 2; - src <= 49; - when "0100100" => - dest <= 49; - src <= 63; - when "0100101" => - dest <= 49; - src <= 35; - when "0100110" => - dest <= 40; - src <= 49; - when "0100111" => - dest <= 27; - src <= 73; - when "0101000" => - dest <= 79; - src <= 25; - when "0101001" => - dest <= 67; - src <= 58; - when "0101010" => - dest <= 19; - src <= 2; - when "0101011" => - dest <= 49; - src <= 15; - when "0101100" => - dest <= 6; - src <= 49; - when "0101101" => - dest <= 11; - src <= 31; - when "0101110" => - dest <= 71; - src <= 49; - when "0101111" => - dest <= 49; - src <= 71; - when "0110000" => - dest <= 49; - src <= 19; - when "0110001" => - dest <= 44; - src <= 49; - when "0110010" => - dest <= 36; - src <= 79; - when "0110011" => - dest <= 49; - src <= 49; - when "0110100" => - dest <= 34; - src <= 11; - when "0110101" => - dest <= 49; - src <= 6; - when "0110110" => - dest <= 54; - src <= 27; - when "0110111" => - dest <= 24; - src <= 49; - when "0111000" => - dest <= 49; - src <= 54; - when "0111001" => - dest <= 64; - src <= 62; - when "0111010" => - dest <= 14; - src <= 49; - when "0111011" => - dest <= 74; - src <= 64; - when "0111100" => - dest <= 49; - src <= 49; - when "0111101" => - dest <= 5; - src <= 44; - when "0111110" => - dest <= 12; - src <= 74; - when "0111111" => - dest <= 49; - src <= 49; - when "1000000" => - dest <= 78; - src <= 34; - when "1000001" => - dest <= 49; - src <= 3; - when "1000010" => - dest <= 28; - src <= 24; - when "1000011" => - dest <= 70; - src <= 49; - when "1000100" => - dest <= 20; - src <= 12; - when "1000101" => - dest <= 49; - src <= 5; - when "1000110" => - dest <= 62; - src <= 49; - when "1000111" => - dest <= 49; - src <= 20; - when "1001000" => - dest <= 37; - src <= 49; - when "1001001" => - dest <= 43; - src <= 70; - when "1001010" => - dest <= 23; - src <= 28; - when "1001011" => - dest <= 3; - src <= 78; - when "1001100" => - dest <= 49; - src <= 49; - when "1001101" => - dest <= 33; - src <= 36; - when "1001110" => - dest <= 49; - src <= 61; - when "1001111" => - dest <= 55; - src <= 55; - when "1010000" => - dest <= 41; - src <= 75; - when "1010001" => - dest <= 65; - src <= 49; - when "1010010" => - dest <= 21; - src <= 14; - when "1010011" => - dest <= 77; - src <= 65; - when "1010100" => - dest <= 49; - src <= 57; - when "1010101" => - dest <= 29; - src <= 43; - when "1010110" => - dest <= 69; - src <= 49; - when "1010111" => - dest <= 49; - src <= 33; - when "1011000" => - dest <= 61; - src <= 77; - when "1011001" => - dest <= 39; - src <= 21; - when "1011010" => - dest <= 42; - src <= 49; - when "1011011" => - dest <= 38; - src <= 69; - when "1011100" => - dest <= 75; - src <= 29; - when "1011101" => - dest <= 31; - src <= 59; - when "1011110" => - dest <= 60; - src <= 37; - when "1011111" => - dest <= 56; - src <= 56; - when "1100000" => - dest <= 30; - src <= 60; - when "1100001" => - dest <= 68; - src <= 67; - when "1100010" => - dest <= 22; - src <= 23; - when "1100011" => - dest <= 52; - src <= 38; - when "1100100" => - dest <= 66; - src <= 42; - when "1100101" => - dest <= 49; - src <= 68; - when "1100110" => - dest <= 76; - src <= 30; - when "1100111" => - dest <= 49; - src <= 76; - when "1101000" => - dest <= 59; - src <= 46; - when "1101001" => - dest <= 51; - src <= 32; - when "1101010" => - dest <= 57; - src <= 49; - when "1101011" => - dest <= 49; - src <= 22; - when "1101100" => - dest <= 47; - src <= 39; - when "1101101" => - dest <= 49; - src <= 47; - when "1101110" => - dest <= 49; - src <= 41; - when "1101111" => - dest <= 17; - src <= 49; - when "1110000" => - dest <= 0; - src <= 51; - when others => - dest <= 49; - src <= 49; - - end case; - end process; - - end generate NI_NUM49; - - NI_NUM50 : if NI_NUM = 50 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 47; - src <= 50; - when "0000001" => - dest <= 51; - src <= 50; - when "0000010" => - dest <= 50; - src <= 50; - when "0000011" => - dest <= 10; - src <= 50; - when "0000100" => - dest <= 5; - src <= 49; - when "0000101" => - dest <= 50; - src <= 53; - when "0000110" => - dest <= 0; - src <= 50; - when "0000111" => - dest <= 50; - src <= 50; - when "0001000" => - dest <= 18; - src <= 1; - when "0001001" => - dest <= 59; - src <= 9; - when "0001010" => - dest <= 50; - src <= 14; - when "0001011" => - dest <= 17; - src <= 50; - when "0001100" => - dest <= 46; - src <= 41; - when "0001101" => - dest <= 50; - src <= 0; - when "0001110" => - dest <= 73; - src <= 50; - when "0001111" => - dest <= 14; - src <= 50; - when "0010000" => - dest <= 49; - src <= 10; - when "0010001" => - dest <= 2; - src <= 73; - when "0010010" => - dest <= 8; - src <= 45; - when "0010011" => - dest <= 33; - src <= 51; - when "0010100" => - dest <= 50; - src <= 2; - when "0010101" => - dest <= 19; - src <= 5; - when "0010110" => - dest <= 72; - src <= 50; - when "0010111" => - dest <= 50; - src <= 18; - when "0011000" => - dest <= 11; - src <= 67; - when "0011001" => - dest <= 50; - src <= 50; - when "0011010" => - dest <= 45; - src <= 17; - when "0011011" => - dest <= 50; - src <= 11; - when "0011100" => - dest <= 27; - src <= 50; - when "0011101" => - dest <= 64; - src <= 50; - when "0011110" => - dest <= 50; - src <= 72; - when "0011111" => - dest <= 26; - src <= 19; - when "0100000" => - dest <= 74; - src <= 46; - when "0100001" => - dest <= 50; - src <= 8; - when "0100010" => - dest <= 16; - src <= 50; - when "0100011" => - dest <= 3; - src <= 50; - when "0100100" => - dest <= 50; - src <= 64; - when "0100101" => - dest <= 50; - src <= 27; - when "0100110" => - dest <= 41; - src <= 50; - when "0100111" => - dest <= 28; - src <= 74; - when "0101000" => - dest <= 80; - src <= 26; - when "0101001" => - dest <= 68; - src <= 59; - when "0101010" => - dest <= 20; - src <= 3; - when "0101011" => - dest <= 50; - src <= 16; - when "0101100" => - dest <= 7; - src <= 50; - when "0101101" => - dest <= 12; - src <= 32; - when "0101110" => - dest <= 63; - src <= 50; - when "0101111" => - dest <= 50; - src <= 63; - when "0110000" => - dest <= 50; - src <= 20; - when "0110001" => - dest <= 36; - src <= 50; - when "0110010" => - dest <= 37; - src <= 80; - when "0110011" => - dest <= 50; - src <= 50; - when "0110100" => - dest <= 35; - src <= 12; - when "0110101" => - dest <= 50; - src <= 7; - when "0110110" => - dest <= 55; - src <= 28; - when "0110111" => - dest <= 25; - src <= 50; - when "0111000" => - dest <= 50; - src <= 55; - when "0111001" => - dest <= 65; - src <= 54; - when "0111010" => - dest <= 15; - src <= 50; - when "0111011" => - dest <= 75; - src <= 65; - when "0111100" => - dest <= 50; - src <= 50; - when "0111101" => - dest <= 6; - src <= 36; - when "0111110" => - dest <= 13; - src <= 75; - when "0111111" => - dest <= 50; - src <= 50; - when "1000000" => - dest <= 79; - src <= 35; - when "1000001" => - dest <= 50; - src <= 4; - when "1000010" => - dest <= 29; - src <= 25; - when "1000011" => - dest <= 71; - src <= 50; - when "1000100" => - dest <= 21; - src <= 13; - when "1000101" => - dest <= 50; - src <= 6; - when "1000110" => - dest <= 54; - src <= 50; - when "1000111" => - dest <= 50; - src <= 21; - when "1001000" => - dest <= 38; - src <= 50; - when "1001001" => - dest <= 44; - src <= 71; - when "1001010" => - dest <= 24; - src <= 29; - when "1001011" => - dest <= 4; - src <= 79; - when "1001100" => - dest <= 50; - src <= 50; - when "1001101" => - dest <= 34; - src <= 37; - when "1001110" => - dest <= 50; - src <= 62; - when "1001111" => - dest <= 56; - src <= 56; - when "1010000" => - dest <= 42; - src <= 76; - when "1010001" => - dest <= 66; - src <= 50; - when "1010010" => - dest <= 22; - src <= 15; - when "1010011" => - dest <= 78; - src <= 66; - when "1010100" => - dest <= 50; - src <= 58; - when "1010101" => - dest <= 30; - src <= 44; - when "1010110" => - dest <= 70; - src <= 50; - when "1010111" => - dest <= 50; - src <= 34; - when "1011000" => - dest <= 62; - src <= 78; - when "1011001" => - dest <= 40; - src <= 22; - when "1011010" => - dest <= 43; - src <= 50; - when "1011011" => - dest <= 39; - src <= 70; - when "1011100" => - dest <= 76; - src <= 30; - when "1011101" => - dest <= 32; - src <= 60; - when "1011110" => - dest <= 61; - src <= 38; - when "1011111" => - dest <= 57; - src <= 57; - when "1100000" => - dest <= 31; - src <= 61; - when "1100001" => - dest <= 69; - src <= 68; - when "1100010" => - dest <= 23; - src <= 24; - when "1100011" => - dest <= 53; - src <= 39; - when "1100100" => - dest <= 67; - src <= 43; - when "1100101" => - dest <= 50; - src <= 69; - when "1100110" => - dest <= 77; - src <= 31; - when "1100111" => - dest <= 50; - src <= 77; - when "1101000" => - dest <= 60; - src <= 47; - when "1101001" => - dest <= 52; - src <= 33; - when "1101010" => - dest <= 58; - src <= 50; - when "1101011" => - dest <= 50; - src <= 23; - when "1101100" => - dest <= 48; - src <= 40; - when "1101101" => - dest <= 50; - src <= 48; - when "1101110" => - dest <= 50; - src <= 42; - when "1101111" => - dest <= 9; - src <= 50; - when "1110000" => - dest <= 1; - src <= 52; - when others => - dest <= 50; - src <= 50; - - end case; - end process; - - end generate NI_NUM50; - - NI_NUM51 : if NI_NUM = 51 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 48; - src <= 51; - when "0000001" => - dest <= 52; - src <= 51; - when "0000010" => - dest <= 51; - src <= 51; - when "0000011" => - dest <= 11; - src <= 51; - when "0000100" => - dest <= 6; - src <= 50; - when "0000101" => - dest <= 51; - src <= 45; - when "0000110" => - dest <= 1; - src <= 51; - when "0000111" => - dest <= 51; - src <= 51; - when "0001000" => - dest <= 19; - src <= 2; - when "0001001" => - dest <= 60; - src <= 10; - when "0001010" => - dest <= 51; - src <= 15; - when "0001011" => - dest <= 9; - src <= 51; - when "0001100" => - dest <= 47; - src <= 42; - when "0001101" => - dest <= 51; - src <= 1; - when "0001110" => - dest <= 74; - src <= 51; - when "0001111" => - dest <= 15; - src <= 51; - when "0010000" => - dest <= 50; - src <= 11; - when "0010001" => - dest <= 3; - src <= 74; - when "0010010" => - dest <= 0; - src <= 46; - when "0010011" => - dest <= 34; - src <= 52; - when "0010100" => - dest <= 51; - src <= 3; - when "0010101" => - dest <= 20; - src <= 6; - when "0010110" => - dest <= 73; - src <= 51; - when "0010111" => - dest <= 51; - src <= 19; - when "0011000" => - dest <= 12; - src <= 68; - when "0011001" => - dest <= 51; - src <= 51; - when "0011010" => - dest <= 46; - src <= 9; - when "0011011" => - dest <= 51; - src <= 12; - when "0011100" => - dest <= 28; - src <= 51; - when "0011101" => - dest <= 65; - src <= 51; - when "0011110" => - dest <= 51; - src <= 73; - when "0011111" => - dest <= 18; - src <= 20; - when "0100000" => - dest <= 75; - src <= 47; - when "0100001" => - dest <= 51; - src <= 0; - when "0100010" => - dest <= 17; - src <= 51; - when "0100011" => - dest <= 4; - src <= 51; - when "0100100" => - dest <= 51; - src <= 65; - when "0100101" => - dest <= 51; - src <= 28; - when "0100110" => - dest <= 42; - src <= 51; - when "0100111" => - dest <= 29; - src <= 75; - when "0101000" => - dest <= 72; - src <= 18; - when "0101001" => - dest <= 69; - src <= 60; - when "0101010" => - dest <= 21; - src <= 4; - when "0101011" => - dest <= 51; - src <= 17; - when "0101100" => - dest <= 8; - src <= 51; - when "0101101" => - dest <= 13; - src <= 33; - when "0101110" => - dest <= 64; - src <= 51; - when "0101111" => - dest <= 51; - src <= 64; - when "0110000" => - dest <= 51; - src <= 21; - when "0110001" => - dest <= 37; - src <= 51; - when "0110010" => - dest <= 38; - src <= 72; - when "0110011" => - dest <= 51; - src <= 51; - when "0110100" => - dest <= 27; - src <= 13; - when "0110101" => - dest <= 51; - src <= 8; - when "0110110" => - dest <= 56; - src <= 29; - when "0110111" => - dest <= 26; - src <= 51; - when "0111000" => - dest <= 51; - src <= 56; - when "0111001" => - dest <= 66; - src <= 55; - when "0111010" => - dest <= 16; - src <= 51; - when "0111011" => - dest <= 76; - src <= 66; - when "0111100" => - dest <= 51; - src <= 51; - when "0111101" => - dest <= 7; - src <= 37; - when "0111110" => - dest <= 14; - src <= 76; - when "0111111" => - dest <= 51; - src <= 51; - when "1000000" => - dest <= 80; - src <= 27; - when "1000001" => - dest <= 51; - src <= 5; - when "1000010" => - dest <= 30; - src <= 26; - when "1000011" => - dest <= 63; - src <= 51; - when "1000100" => - dest <= 22; - src <= 14; - when "1000101" => - dest <= 51; - src <= 7; - when "1000110" => - dest <= 55; - src <= 51; - when "1000111" => - dest <= 51; - src <= 22; - when "1001000" => - dest <= 39; - src <= 51; - when "1001001" => - dest <= 36; - src <= 63; - when "1001010" => - dest <= 25; - src <= 30; - when "1001011" => - dest <= 5; - src <= 80; - when "1001100" => - dest <= 51; - src <= 51; - when "1001101" => - dest <= 35; - src <= 38; - when "1001110" => - dest <= 51; - src <= 54; - when "1001111" => - dest <= 57; - src <= 57; - when "1010000" => - dest <= 43; - src <= 77; - when "1010001" => - dest <= 67; - src <= 51; - when "1010010" => - dest <= 23; - src <= 16; - when "1010011" => - dest <= 79; - src <= 67; - when "1010100" => - dest <= 51; - src <= 59; - when "1010101" => - dest <= 31; - src <= 36; - when "1010110" => - dest <= 71; - src <= 51; - when "1010111" => - dest <= 51; - src <= 35; - when "1011000" => - dest <= 54; - src <= 79; - when "1011001" => - dest <= 41; - src <= 23; - when "1011010" => - dest <= 44; - src <= 51; - when "1011011" => - dest <= 40; - src <= 71; - when "1011100" => - dest <= 77; - src <= 31; - when "1011101" => - dest <= 33; - src <= 61; - when "1011110" => - dest <= 62; - src <= 39; - when "1011111" => - dest <= 58; - src <= 58; - when "1100000" => - dest <= 32; - src <= 62; - when "1100001" => - dest <= 70; - src <= 69; - when "1100010" => - dest <= 24; - src <= 25; - when "1100011" => - dest <= 45; - src <= 40; - when "1100100" => - dest <= 68; - src <= 44; - when "1100101" => - dest <= 51; - src <= 70; - when "1100110" => - dest <= 78; - src <= 32; - when "1100111" => - dest <= 51; - src <= 78; - when "1101000" => - dest <= 61; - src <= 48; - when "1101001" => - dest <= 53; - src <= 34; - when "1101010" => - dest <= 59; - src <= 51; - when "1101011" => - dest <= 51; - src <= 24; - when "1101100" => - dest <= 49; - src <= 41; - when "1101101" => - dest <= 51; - src <= 49; - when "1101110" => - dest <= 51; - src <= 43; - when "1101111" => - dest <= 10; - src <= 51; - when "1110000" => - dest <= 2; - src <= 53; - when others => - dest <= 51; - src <= 51; - - end case; - end process; - - end generate NI_NUM51; - - NI_NUM52 : if NI_NUM = 52 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 49; - src <= 52; - when "0000001" => - dest <= 53; - src <= 52; - when "0000010" => - dest <= 52; - src <= 52; - when "0000011" => - dest <= 12; - src <= 52; - when "0000100" => - dest <= 7; - src <= 51; - when "0000101" => - dest <= 52; - src <= 46; - when "0000110" => - dest <= 2; - src <= 52; - when "0000111" => - dest <= 52; - src <= 52; - when "0001000" => - dest <= 20; - src <= 3; - when "0001001" => - dest <= 61; - src <= 11; - when "0001010" => - dest <= 52; - src <= 16; - when "0001011" => - dest <= 10; - src <= 52; - when "0001100" => - dest <= 48; - src <= 43; - when "0001101" => - dest <= 52; - src <= 2; - when "0001110" => - dest <= 75; - src <= 52; - when "0001111" => - dest <= 16; - src <= 52; - when "0010000" => - dest <= 51; - src <= 12; - when "0010001" => - dest <= 4; - src <= 75; - when "0010010" => - dest <= 1; - src <= 47; - when "0010011" => - dest <= 35; - src <= 53; - when "0010100" => - dest <= 52; - src <= 4; - when "0010101" => - dest <= 21; - src <= 7; - when "0010110" => - dest <= 74; - src <= 52; - when "0010111" => - dest <= 52; - src <= 20; - when "0011000" => - dest <= 13; - src <= 69; - when "0011001" => - dest <= 52; - src <= 52; - when "0011010" => - dest <= 47; - src <= 10; - when "0011011" => - dest <= 52; - src <= 13; - when "0011100" => - dest <= 29; - src <= 52; - when "0011101" => - dest <= 66; - src <= 52; - when "0011110" => - dest <= 52; - src <= 74; - when "0011111" => - dest <= 19; - src <= 21; - when "0100000" => - dest <= 76; - src <= 48; - when "0100001" => - dest <= 52; - src <= 1; - when "0100010" => - dest <= 9; - src <= 52; - when "0100011" => - dest <= 5; - src <= 52; - when "0100100" => - dest <= 52; - src <= 66; - when "0100101" => - dest <= 52; - src <= 29; - when "0100110" => - dest <= 43; - src <= 52; - when "0100111" => - dest <= 30; - src <= 76; - when "0101000" => - dest <= 73; - src <= 19; - when "0101001" => - dest <= 70; - src <= 61; - when "0101010" => - dest <= 22; - src <= 5; - when "0101011" => - dest <= 52; - src <= 9; - when "0101100" => - dest <= 0; - src <= 52; - when "0101101" => - dest <= 14; - src <= 34; - when "0101110" => - dest <= 65; - src <= 52; - when "0101111" => - dest <= 52; - src <= 65; - when "0110000" => - dest <= 52; - src <= 22; - when "0110001" => - dest <= 38; - src <= 52; - when "0110010" => - dest <= 39; - src <= 73; - when "0110011" => - dest <= 52; - src <= 52; - when "0110100" => - dest <= 28; - src <= 14; - when "0110101" => - dest <= 52; - src <= 0; - when "0110110" => - dest <= 57; - src <= 30; - when "0110111" => - dest <= 18; - src <= 52; - when "0111000" => - dest <= 52; - src <= 57; - when "0111001" => - dest <= 67; - src <= 56; - when "0111010" => - dest <= 17; - src <= 52; - when "0111011" => - dest <= 77; - src <= 67; - when "0111100" => - dest <= 52; - src <= 52; - when "0111101" => - dest <= 8; - src <= 38; - when "0111110" => - dest <= 15; - src <= 77; - when "0111111" => - dest <= 52; - src <= 52; - when "1000000" => - dest <= 72; - src <= 28; - when "1000001" => - dest <= 52; - src <= 6; - when "1000010" => - dest <= 31; - src <= 18; - when "1000011" => - dest <= 64; - src <= 52; - when "1000100" => - dest <= 23; - src <= 15; - when "1000101" => - dest <= 52; - src <= 8; - when "1000110" => - dest <= 56; - src <= 52; - when "1000111" => - dest <= 52; - src <= 23; - when "1001000" => - dest <= 40; - src <= 52; - when "1001001" => - dest <= 37; - src <= 64; - when "1001010" => - dest <= 26; - src <= 31; - when "1001011" => - dest <= 6; - src <= 72; - when "1001100" => - dest <= 52; - src <= 52; - when "1001101" => - dest <= 27; - src <= 39; - when "1001110" => - dest <= 52; - src <= 55; - when "1001111" => - dest <= 58; - src <= 58; - when "1010000" => - dest <= 44; - src <= 78; - when "1010001" => - dest <= 68; - src <= 52; - when "1010010" => - dest <= 24; - src <= 17; - when "1010011" => - dest <= 80; - src <= 68; - when "1010100" => - dest <= 52; - src <= 60; - when "1010101" => - dest <= 32; - src <= 37; - when "1010110" => - dest <= 63; - src <= 52; - when "1010111" => - dest <= 52; - src <= 27; - when "1011000" => - dest <= 55; - src <= 80; - when "1011001" => - dest <= 42; - src <= 24; - when "1011010" => - dest <= 36; - src <= 52; - when "1011011" => - dest <= 41; - src <= 63; - when "1011100" => - dest <= 78; - src <= 32; - when "1011101" => - dest <= 34; - src <= 62; - when "1011110" => - dest <= 54; - src <= 40; - when "1011111" => - dest <= 59; - src <= 59; - when "1100000" => - dest <= 33; - src <= 54; - when "1100001" => - dest <= 71; - src <= 70; - when "1100010" => - dest <= 25; - src <= 26; - when "1100011" => - dest <= 46; - src <= 41; - when "1100100" => - dest <= 69; - src <= 36; - when "1100101" => - dest <= 52; - src <= 71; - when "1100110" => - dest <= 79; - src <= 33; - when "1100111" => - dest <= 52; - src <= 79; - when "1101000" => - dest <= 62; - src <= 49; - when "1101001" => - dest <= 45; - src <= 35; - when "1101010" => - dest <= 60; - src <= 52; - when "1101011" => - dest <= 52; - src <= 25; - when "1101100" => - dest <= 50; - src <= 42; - when "1101101" => - dest <= 52; - src <= 50; - when "1101110" => - dest <= 52; - src <= 44; - when "1101111" => - dest <= 11; - src <= 52; - when "1110000" => - dest <= 3; - src <= 45; - when others => - dest <= 52; - src <= 52; - - end case; - end process; - - end generate NI_NUM52; - - NI_NUM53 : if NI_NUM = 53 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 50; - src <= 53; - when "0000001" => - dest <= 45; - src <= 53; - when "0000010" => - dest <= 53; - src <= 53; - when "0000011" => - dest <= 13; - src <= 53; - when "0000100" => - dest <= 8; - src <= 52; - when "0000101" => - dest <= 53; - src <= 47; - when "0000110" => - dest <= 3; - src <= 53; - when "0000111" => - dest <= 53; - src <= 53; - when "0001000" => - dest <= 21; - src <= 4; - when "0001001" => - dest <= 62; - src <= 12; - when "0001010" => - dest <= 53; - src <= 17; - when "0001011" => - dest <= 11; - src <= 53; - when "0001100" => - dest <= 49; - src <= 44; - when "0001101" => - dest <= 53; - src <= 3; - when "0001110" => - dest <= 76; - src <= 53; - when "0001111" => - dest <= 17; - src <= 53; - when "0010000" => - dest <= 52; - src <= 13; - when "0010001" => - dest <= 5; - src <= 76; - when "0010010" => - dest <= 2; - src <= 48; - when "0010011" => - dest <= 27; - src <= 45; - when "0010100" => - dest <= 53; - src <= 5; - when "0010101" => - dest <= 22; - src <= 8; - when "0010110" => - dest <= 75; - src <= 53; - when "0010111" => - dest <= 53; - src <= 21; - when "0011000" => - dest <= 14; - src <= 70; - when "0011001" => - dest <= 53; - src <= 53; - when "0011010" => - dest <= 48; - src <= 11; - when "0011011" => - dest <= 53; - src <= 14; - when "0011100" => - dest <= 30; - src <= 53; - when "0011101" => - dest <= 67; - src <= 53; - when "0011110" => - dest <= 53; - src <= 75; - when "0011111" => - dest <= 20; - src <= 22; - when "0100000" => - dest <= 77; - src <= 49; - when "0100001" => - dest <= 53; - src <= 2; - when "0100010" => - dest <= 10; - src <= 53; - when "0100011" => - dest <= 6; - src <= 53; - when "0100100" => - dest <= 53; - src <= 67; - when "0100101" => - dest <= 53; - src <= 30; - when "0100110" => - dest <= 44; - src <= 53; - when "0100111" => - dest <= 31; - src <= 77; - when "0101000" => - dest <= 74; - src <= 20; - when "0101001" => - dest <= 71; - src <= 62; - when "0101010" => - dest <= 23; - src <= 6; - when "0101011" => - dest <= 53; - src <= 10; - when "0101100" => - dest <= 1; - src <= 53; - when "0101101" => - dest <= 15; - src <= 35; - when "0101110" => - dest <= 66; - src <= 53; - when "0101111" => - dest <= 53; - src <= 66; - when "0110000" => - dest <= 53; - src <= 23; - when "0110001" => - dest <= 39; - src <= 53; - when "0110010" => - dest <= 40; - src <= 74; - when "0110011" => - dest <= 53; - src <= 53; - when "0110100" => - dest <= 29; - src <= 15; - when "0110101" => - dest <= 53; - src <= 1; - when "0110110" => - dest <= 58; - src <= 31; - when "0110111" => - dest <= 19; - src <= 53; - when "0111000" => - dest <= 53; - src <= 58; - when "0111001" => - dest <= 68; - src <= 57; - when "0111010" => - dest <= 9; - src <= 53; - when "0111011" => - dest <= 78; - src <= 68; - when "0111100" => - dest <= 53; - src <= 53; - when "0111101" => - dest <= 0; - src <= 39; - when "0111110" => - dest <= 16; - src <= 78; - when "0111111" => - dest <= 53; - src <= 53; - when "1000000" => - dest <= 73; - src <= 29; - when "1000001" => - dest <= 53; - src <= 7; - when "1000010" => - dest <= 32; - src <= 19; - when "1000011" => - dest <= 65; - src <= 53; - when "1000100" => - dest <= 24; - src <= 16; - when "1000101" => - dest <= 53; - src <= 0; - when "1000110" => - dest <= 57; - src <= 53; - when "1000111" => - dest <= 53; - src <= 24; - when "1001000" => - dest <= 41; - src <= 53; - when "1001001" => - dest <= 38; - src <= 65; - when "1001010" => - dest <= 18; - src <= 32; - when "1001011" => - dest <= 7; - src <= 73; - when "1001100" => - dest <= 53; - src <= 53; - when "1001101" => - dest <= 28; - src <= 40; - when "1001110" => - dest <= 53; - src <= 56; - when "1001111" => - dest <= 59; - src <= 59; - when "1010000" => - dest <= 36; - src <= 79; - when "1010001" => - dest <= 69; - src <= 53; - when "1010010" => - dest <= 25; - src <= 9; - when "1010011" => - dest <= 72; - src <= 69; - when "1010100" => - dest <= 53; - src <= 61; - when "1010101" => - dest <= 33; - src <= 38; - when "1010110" => - dest <= 64; - src <= 53; - when "1010111" => - dest <= 53; - src <= 28; - when "1011000" => - dest <= 56; - src <= 72; - when "1011001" => - dest <= 43; - src <= 25; - when "1011010" => - dest <= 37; - src <= 53; - when "1011011" => - dest <= 42; - src <= 64; - when "1011100" => - dest <= 79; - src <= 33; - when "1011101" => - dest <= 35; - src <= 54; - when "1011110" => - dest <= 55; - src <= 41; - when "1011111" => - dest <= 60; - src <= 60; - when "1100000" => - dest <= 34; - src <= 55; - when "1100001" => - dest <= 63; - src <= 71; - when "1100010" => - dest <= 26; - src <= 18; - when "1100011" => - dest <= 47; - src <= 42; - when "1100100" => - dest <= 70; - src <= 37; - when "1100101" => - dest <= 53; - src <= 63; - when "1100110" => - dest <= 80; - src <= 34; - when "1100111" => - dest <= 53; - src <= 80; - when "1101000" => - dest <= 54; - src <= 50; - when "1101001" => - dest <= 46; - src <= 27; - when "1101010" => - dest <= 61; - src <= 53; - when "1101011" => - dest <= 53; - src <= 26; - when "1101100" => - dest <= 51; - src <= 43; - when "1101101" => - dest <= 53; - src <= 51; - when "1101110" => - dest <= 53; - src <= 36; - when "1101111" => - dest <= 12; - src <= 53; - when "1110000" => - dest <= 4; - src <= 46; - when others => - dest <= 53; - src <= 53; - - end case; - end process; - - end generate NI_NUM53; - - NI_NUM54 : if NI_NUM = 54 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 60; - src <= 54; - when "0000001" => - dest <= 55; - src <= 54; - when "0000010" => - dest <= 54; - src <= 54; - when "0000011" => - dest <= 23; - src <= 54; - when "0000100" => - dest <= 9; - src <= 62; - when "0000101" => - dest <= 54; - src <= 57; - when "0000110" => - dest <= 13; - src <= 54; - when "0000111" => - dest <= 54; - src <= 54; - when "0001000" => - dest <= 31; - src <= 14; - when "0001001" => - dest <= 63; - src <= 22; - when "0001010" => - dest <= 54; - src <= 18; - when "0001011" => - dest <= 21; - src <= 54; - when "0001100" => - dest <= 59; - src <= 45; - when "0001101" => - dest <= 54; - src <= 13; - when "0001110" => - dest <= 5; - src <= 54; - when "0001111" => - dest <= 18; - src <= 54; - when "0010000" => - dest <= 62; - src <= 23; - when "0010001" => - dest <= 15; - src <= 5; - when "0010010" => - dest <= 12; - src <= 58; - when "0010011" => - dest <= 37; - src <= 55; - when "0010100" => - dest <= 54; - src <= 15; - when "0010101" => - dest <= 32; - src <= 9; - when "0010110" => - dest <= 4; - src <= 54; - when "0010111" => - dest <= 54; - src <= 31; - when "0011000" => - dest <= 24; - src <= 80; - when "0011001" => - dest <= 54; - src <= 54; - when "0011010" => - dest <= 58; - src <= 21; - when "0011011" => - dest <= 54; - src <= 24; - when "0011100" => - dest <= 40; - src <= 54; - when "0011101" => - dest <= 77; - src <= 54; - when "0011110" => - dest <= 54; - src <= 4; - when "0011111" => - dest <= 30; - src <= 32; - when "0100000" => - dest <= 6; - src <= 59; - when "0100001" => - dest <= 54; - src <= 12; - when "0100010" => - dest <= 20; - src <= 54; - when "0100011" => - dest <= 16; - src <= 54; - when "0100100" => - dest <= 54; - src <= 77; - when "0100101" => - dest <= 54; - src <= 40; - when "0100110" => - dest <= 45; - src <= 54; - when "0100111" => - dest <= 41; - src <= 6; - when "0101000" => - dest <= 3; - src <= 30; - when "0101001" => - dest <= 72; - src <= 63; - when "0101010" => - dest <= 33; - src <= 16; - when "0101011" => - dest <= 54; - src <= 20; - when "0101100" => - dest <= 11; - src <= 54; - when "0101101" => - dest <= 25; - src <= 36; - when "0101110" => - dest <= 76; - src <= 54; - when "0101111" => - dest <= 54; - src <= 76; - when "0110000" => - dest <= 54; - src <= 33; - when "0110001" => - dest <= 49; - src <= 54; - when "0110010" => - dest <= 50; - src <= 3; - when "0110011" => - dest <= 54; - src <= 54; - when "0110100" => - dest <= 39; - src <= 25; - when "0110101" => - dest <= 54; - src <= 11; - when "0110110" => - dest <= 68; - src <= 41; - when "0110111" => - dest <= 29; - src <= 54; - when "0111000" => - dest <= 54; - src <= 68; - when "0111001" => - dest <= 78; - src <= 67; - when "0111010" => - dest <= 19; - src <= 54; - when "0111011" => - dest <= 7; - src <= 78; - when "0111100" => - dest <= 54; - src <= 54; - when "0111101" => - dest <= 10; - src <= 49; - when "0111110" => - dest <= 26; - src <= 7; - when "0111111" => - dest <= 54; - src <= 54; - when "1000000" => - dest <= 2; - src <= 39; - when "1000001" => - dest <= 54; - src <= 17; - when "1000010" => - dest <= 42; - src <= 29; - when "1000011" => - dest <= 75; - src <= 54; - when "1000100" => - dest <= 34; - src <= 26; - when "1000101" => - dest <= 54; - src <= 10; - when "1000110" => - dest <= 67; - src <= 54; - when "1000111" => - dest <= 54; - src <= 34; - when "1001000" => - dest <= 51; - src <= 54; - when "1001001" => - dest <= 48; - src <= 75; - when "1001010" => - dest <= 28; - src <= 42; - when "1001011" => - dest <= 17; - src <= 2; - when "1001100" => - dest <= 54; - src <= 54; - when "1001101" => - dest <= 38; - src <= 50; - when "1001110" => - dest <= 54; - src <= 66; - when "1001111" => - dest <= 69; - src <= 69; - when "1010000" => - dest <= 46; - src <= 8; - when "1010001" => - dest <= 79; - src <= 54; - when "1010010" => - dest <= 35; - src <= 19; - when "1010011" => - dest <= 1; - src <= 79; - when "1010100" => - dest <= 54; - src <= 71; - when "1010101" => - dest <= 43; - src <= 48; - when "1010110" => - dest <= 74; - src <= 54; - when "1010111" => - dest <= 54; - src <= 38; - when "1011000" => - dest <= 66; - src <= 1; - when "1011001" => - dest <= 53; - src <= 35; - when "1011010" => - dest <= 47; - src <= 54; - when "1011011" => - dest <= 52; - src <= 74; - when "1011100" => - dest <= 8; - src <= 43; - when "1011101" => - dest <= 36; - src <= 64; - when "1011110" => - dest <= 65; - src <= 51; - when "1011111" => - dest <= 70; - src <= 70; - when "1100000" => - dest <= 44; - src <= 65; - when "1100001" => - dest <= 73; - src <= 72; - when "1100010" => - dest <= 27; - src <= 28; - when "1100011" => - dest <= 57; - src <= 52; - when "1100100" => - dest <= 80; - src <= 47; - when "1100101" => - dest <= 54; - src <= 73; - when "1100110" => - dest <= 0; - src <= 44; - when "1100111" => - dest <= 54; - src <= 0; - when "1101000" => - dest <= 64; - src <= 60; - when "1101001" => - dest <= 56; - src <= 37; - when "1101010" => - dest <= 71; - src <= 54; - when "1101011" => - dest <= 54; - src <= 27; - when "1101100" => - dest <= 61; - src <= 53; - when "1101101" => - dest <= 54; - src <= 61; - when "1101110" => - dest <= 54; - src <= 46; - when "1101111" => - dest <= 22; - src <= 54; - when "1110000" => - dest <= 14; - src <= 56; - when others => - dest <= 54; - src <= 54; - - end case; - end process; - - end generate NI_NUM54; - - NI_NUM55 : if NI_NUM = 55 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 61; - src <= 55; - when "0000001" => - dest <= 56; - src <= 55; - when "0000010" => - dest <= 55; - src <= 55; - when "0000011" => - dest <= 24; - src <= 55; - when "0000100" => - dest <= 10; - src <= 54; - when "0000101" => - dest <= 55; - src <= 58; - when "0000110" => - dest <= 14; - src <= 55; - when "0000111" => - dest <= 55; - src <= 55; - when "0001000" => - dest <= 32; - src <= 15; - when "0001001" => - dest <= 64; - src <= 23; - when "0001010" => - dest <= 55; - src <= 19; - when "0001011" => - dest <= 22; - src <= 55; - when "0001100" => - dest <= 60; - src <= 46; - when "0001101" => - dest <= 55; - src <= 14; - when "0001110" => - dest <= 6; - src <= 55; - when "0001111" => - dest <= 19; - src <= 55; - when "0010000" => - dest <= 54; - src <= 24; - when "0010001" => - dest <= 16; - src <= 6; - when "0010010" => - dest <= 13; - src <= 59; - when "0010011" => - dest <= 38; - src <= 56; - when "0010100" => - dest <= 55; - src <= 16; - when "0010101" => - dest <= 33; - src <= 10; - when "0010110" => - dest <= 5; - src <= 55; - when "0010111" => - dest <= 55; - src <= 32; - when "0011000" => - dest <= 25; - src <= 72; - when "0011001" => - dest <= 55; - src <= 55; - when "0011010" => - dest <= 59; - src <= 22; - when "0011011" => - dest <= 55; - src <= 25; - when "0011100" => - dest <= 41; - src <= 55; - when "0011101" => - dest <= 78; - src <= 55; - when "0011110" => - dest <= 55; - src <= 5; - when "0011111" => - dest <= 31; - src <= 33; - when "0100000" => - dest <= 7; - src <= 60; - when "0100001" => - dest <= 55; - src <= 13; - when "0100010" => - dest <= 21; - src <= 55; - when "0100011" => - dest <= 17; - src <= 55; - when "0100100" => - dest <= 55; - src <= 78; - when "0100101" => - dest <= 55; - src <= 41; - when "0100110" => - dest <= 46; - src <= 55; - when "0100111" => - dest <= 42; - src <= 7; - when "0101000" => - dest <= 4; - src <= 31; - when "0101001" => - dest <= 73; - src <= 64; - when "0101010" => - dest <= 34; - src <= 17; - when "0101011" => - dest <= 55; - src <= 21; - when "0101100" => - dest <= 12; - src <= 55; - when "0101101" => - dest <= 26; - src <= 37; - when "0101110" => - dest <= 77; - src <= 55; - when "0101111" => - dest <= 55; - src <= 77; - when "0110000" => - dest <= 55; - src <= 34; - when "0110001" => - dest <= 50; - src <= 55; - when "0110010" => - dest <= 51; - src <= 4; - when "0110011" => - dest <= 55; - src <= 55; - when "0110100" => - dest <= 40; - src <= 26; - when "0110101" => - dest <= 55; - src <= 12; - when "0110110" => - dest <= 69; - src <= 42; - when "0110111" => - dest <= 30; - src <= 55; - when "0111000" => - dest <= 55; - src <= 69; - when "0111001" => - dest <= 79; - src <= 68; - when "0111010" => - dest <= 20; - src <= 55; - when "0111011" => - dest <= 8; - src <= 79; - when "0111100" => - dest <= 55; - src <= 55; - when "0111101" => - dest <= 11; - src <= 50; - when "0111110" => - dest <= 18; - src <= 8; - when "0111111" => - dest <= 55; - src <= 55; - when "1000000" => - dest <= 3; - src <= 40; - when "1000001" => - dest <= 55; - src <= 9; - when "1000010" => - dest <= 43; - src <= 30; - when "1000011" => - dest <= 76; - src <= 55; - when "1000100" => - dest <= 35; - src <= 18; - when "1000101" => - dest <= 55; - src <= 11; - when "1000110" => - dest <= 68; - src <= 55; - when "1000111" => - dest <= 55; - src <= 35; - when "1001000" => - dest <= 52; - src <= 55; - when "1001001" => - dest <= 49; - src <= 76; - when "1001010" => - dest <= 29; - src <= 43; - when "1001011" => - dest <= 9; - src <= 3; - when "1001100" => - dest <= 55; - src <= 55; - when "1001101" => - dest <= 39; - src <= 51; - when "1001110" => - dest <= 55; - src <= 67; - when "1001111" => - dest <= 70; - src <= 70; - when "1010000" => - dest <= 47; - src <= 0; - when "1010001" => - dest <= 80; - src <= 55; - when "1010010" => - dest <= 27; - src <= 20; - when "1010011" => - dest <= 2; - src <= 80; - when "1010100" => - dest <= 55; - src <= 63; - when "1010101" => - dest <= 44; - src <= 49; - when "1010110" => - dest <= 75; - src <= 55; - when "1010111" => - dest <= 55; - src <= 39; - when "1011000" => - dest <= 67; - src <= 2; - when "1011001" => - dest <= 45; - src <= 27; - when "1011010" => - dest <= 48; - src <= 55; - when "1011011" => - dest <= 53; - src <= 75; - when "1011100" => - dest <= 0; - src <= 44; - when "1011101" => - dest <= 37; - src <= 65; - when "1011110" => - dest <= 66; - src <= 52; - when "1011111" => - dest <= 71; - src <= 71; - when "1100000" => - dest <= 36; - src <= 66; - when "1100001" => - dest <= 74; - src <= 73; - when "1100010" => - dest <= 28; - src <= 29; - when "1100011" => - dest <= 58; - src <= 53; - when "1100100" => - dest <= 72; - src <= 48; - when "1100101" => - dest <= 55; - src <= 74; - when "1100110" => - dest <= 1; - src <= 36; - when "1100111" => - dest <= 55; - src <= 1; - when "1101000" => - dest <= 65; - src <= 61; - when "1101001" => - dest <= 57; - src <= 38; - when "1101010" => - dest <= 63; - src <= 55; - when "1101011" => - dest <= 55; - src <= 28; - when "1101100" => - dest <= 62; - src <= 45; - when "1101101" => - dest <= 55; - src <= 62; - when "1101110" => - dest <= 55; - src <= 47; - when "1101111" => - dest <= 23; - src <= 55; - when "1110000" => - dest <= 15; - src <= 57; - when others => - dest <= 55; - src <= 55; - - end case; - end process; - - end generate NI_NUM55; - - NI_NUM56 : if NI_NUM = 56 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 62; - src <= 56; - when "0000001" => - dest <= 57; - src <= 56; - when "0000010" => - dest <= 56; - src <= 56; - when "0000011" => - dest <= 25; - src <= 56; - when "0000100" => - dest <= 11; - src <= 55; - when "0000101" => - dest <= 56; - src <= 59; - when "0000110" => - dest <= 15; - src <= 56; - when "0000111" => - dest <= 56; - src <= 56; - when "0001000" => - dest <= 33; - src <= 16; - when "0001001" => - dest <= 65; - src <= 24; - when "0001010" => - dest <= 56; - src <= 20; - when "0001011" => - dest <= 23; - src <= 56; - when "0001100" => - dest <= 61; - src <= 47; - when "0001101" => - dest <= 56; - src <= 15; - when "0001110" => - dest <= 7; - src <= 56; - when "0001111" => - dest <= 20; - src <= 56; - when "0010000" => - dest <= 55; - src <= 25; - when "0010001" => - dest <= 17; - src <= 7; - when "0010010" => - dest <= 14; - src <= 60; - when "0010011" => - dest <= 39; - src <= 57; - when "0010100" => - dest <= 56; - src <= 17; - when "0010101" => - dest <= 34; - src <= 11; - when "0010110" => - dest <= 6; - src <= 56; - when "0010111" => - dest <= 56; - src <= 33; - when "0011000" => - dest <= 26; - src <= 73; - when "0011001" => - dest <= 56; - src <= 56; - when "0011010" => - dest <= 60; - src <= 23; - when "0011011" => - dest <= 56; - src <= 26; - when "0011100" => - dest <= 42; - src <= 56; - when "0011101" => - dest <= 79; - src <= 56; - when "0011110" => - dest <= 56; - src <= 6; - when "0011111" => - dest <= 32; - src <= 34; - when "0100000" => - dest <= 8; - src <= 61; - when "0100001" => - dest <= 56; - src <= 14; - when "0100010" => - dest <= 22; - src <= 56; - when "0100011" => - dest <= 9; - src <= 56; - when "0100100" => - dest <= 56; - src <= 79; - when "0100101" => - dest <= 56; - src <= 42; - when "0100110" => - dest <= 47; - src <= 56; - when "0100111" => - dest <= 43; - src <= 8; - when "0101000" => - dest <= 5; - src <= 32; - when "0101001" => - dest <= 74; - src <= 65; - when "0101010" => - dest <= 35; - src <= 9; - when "0101011" => - dest <= 56; - src <= 22; - when "0101100" => - dest <= 13; - src <= 56; - when "0101101" => - dest <= 18; - src <= 38; - when "0101110" => - dest <= 78; - src <= 56; - when "0101111" => - dest <= 56; - src <= 78; - when "0110000" => - dest <= 56; - src <= 35; - when "0110001" => - dest <= 51; - src <= 56; - when "0110010" => - dest <= 52; - src <= 5; - when "0110011" => - dest <= 56; - src <= 56; - when "0110100" => - dest <= 41; - src <= 18; - when "0110101" => - dest <= 56; - src <= 13; - when "0110110" => - dest <= 70; - src <= 43; - when "0110111" => - dest <= 31; - src <= 56; - when "0111000" => - dest <= 56; - src <= 70; - when "0111001" => - dest <= 80; - src <= 69; - when "0111010" => - dest <= 21; - src <= 56; - when "0111011" => - dest <= 0; - src <= 80; - when "0111100" => - dest <= 56; - src <= 56; - when "0111101" => - dest <= 12; - src <= 51; - when "0111110" => - dest <= 19; - src <= 0; - when "0111111" => - dest <= 56; - src <= 56; - when "1000000" => - dest <= 4; - src <= 41; - when "1000001" => - dest <= 56; - src <= 10; - when "1000010" => - dest <= 44; - src <= 31; - when "1000011" => - dest <= 77; - src <= 56; - when "1000100" => - dest <= 27; - src <= 19; - when "1000101" => - dest <= 56; - src <= 12; - when "1000110" => - dest <= 69; - src <= 56; - when "1000111" => - dest <= 56; - src <= 27; - when "1001000" => - dest <= 53; - src <= 56; - when "1001001" => - dest <= 50; - src <= 77; - when "1001010" => - dest <= 30; - src <= 44; - when "1001011" => - dest <= 10; - src <= 4; - when "1001100" => - dest <= 56; - src <= 56; - when "1001101" => - dest <= 40; - src <= 52; - when "1001110" => - dest <= 56; - src <= 68; - when "1001111" => - dest <= 71; - src <= 71; - when "1010000" => - dest <= 48; - src <= 1; - when "1010001" => - dest <= 72; - src <= 56; - when "1010010" => - dest <= 28; - src <= 21; - when "1010011" => - dest <= 3; - src <= 72; - when "1010100" => - dest <= 56; - src <= 64; - when "1010101" => - dest <= 36; - src <= 50; - when "1010110" => - dest <= 76; - src <= 56; - when "1010111" => - dest <= 56; - src <= 40; - when "1011000" => - dest <= 68; - src <= 3; - when "1011001" => - dest <= 46; - src <= 28; - when "1011010" => - dest <= 49; - src <= 56; - when "1011011" => - dest <= 45; - src <= 76; - when "1011100" => - dest <= 1; - src <= 36; - when "1011101" => - dest <= 38; - src <= 66; - when "1011110" => - dest <= 67; - src <= 53; - when "1011111" => - dest <= 63; - src <= 63; - when "1100000" => - dest <= 37; - src <= 67; - when "1100001" => - dest <= 75; - src <= 74; - when "1100010" => - dest <= 29; - src <= 30; - when "1100011" => - dest <= 59; - src <= 45; - when "1100100" => - dest <= 73; - src <= 49; - when "1100101" => - dest <= 56; - src <= 75; - when "1100110" => - dest <= 2; - src <= 37; - when "1100111" => - dest <= 56; - src <= 2; - when "1101000" => - dest <= 66; - src <= 62; - when "1101001" => - dest <= 58; - src <= 39; - when "1101010" => - dest <= 64; - src <= 56; - when "1101011" => - dest <= 56; - src <= 29; - when "1101100" => - dest <= 54; - src <= 46; - when "1101101" => - dest <= 56; - src <= 54; - when "1101110" => - dest <= 56; - src <= 48; - when "1101111" => - dest <= 24; - src <= 56; - when "1110000" => - dest <= 16; - src <= 58; - when others => - dest <= 56; - src <= 56; - - end case; - end process; - - end generate NI_NUM56; - - NI_NUM57 : if NI_NUM = 57 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 54; - src <= 57; - when "0000001" => - dest <= 58; - src <= 57; - when "0000010" => - dest <= 57; - src <= 57; - when "0000011" => - dest <= 26; - src <= 57; - when "0000100" => - dest <= 12; - src <= 56; - when "0000101" => - dest <= 57; - src <= 60; - when "0000110" => - dest <= 16; - src <= 57; - when "0000111" => - dest <= 57; - src <= 57; - when "0001000" => - dest <= 34; - src <= 17; - when "0001001" => - dest <= 66; - src <= 25; - when "0001010" => - dest <= 57; - src <= 21; - when "0001011" => - dest <= 24; - src <= 57; - when "0001100" => - dest <= 62; - src <= 48; - when "0001101" => - dest <= 57; - src <= 16; - when "0001110" => - dest <= 8; - src <= 57; - when "0001111" => - dest <= 21; - src <= 57; - when "0010000" => - dest <= 56; - src <= 26; - when "0010001" => - dest <= 9; - src <= 8; - when "0010010" => - dest <= 15; - src <= 61; - when "0010011" => - dest <= 40; - src <= 58; - when "0010100" => - dest <= 57; - src <= 9; - when "0010101" => - dest <= 35; - src <= 12; - when "0010110" => - dest <= 7; - src <= 57; - when "0010111" => - dest <= 57; - src <= 34; - when "0011000" => - dest <= 18; - src <= 74; - when "0011001" => - dest <= 57; - src <= 57; - when "0011010" => - dest <= 61; - src <= 24; - when "0011011" => - dest <= 57; - src <= 18; - when "0011100" => - dest <= 43; - src <= 57; - when "0011101" => - dest <= 80; - src <= 57; - when "0011110" => - dest <= 57; - src <= 7; - when "0011111" => - dest <= 33; - src <= 35; - when "0100000" => - dest <= 0; - src <= 62; - when "0100001" => - dest <= 57; - src <= 15; - when "0100010" => - dest <= 23; - src <= 57; - when "0100011" => - dest <= 10; - src <= 57; - when "0100100" => - dest <= 57; - src <= 80; - when "0100101" => - dest <= 57; - src <= 43; - when "0100110" => - dest <= 48; - src <= 57; - when "0100111" => - dest <= 44; - src <= 0; - when "0101000" => - dest <= 6; - src <= 33; - when "0101001" => - dest <= 75; - src <= 66; - when "0101010" => - dest <= 27; - src <= 10; - when "0101011" => - dest <= 57; - src <= 23; - when "0101100" => - dest <= 14; - src <= 57; - when "0101101" => - dest <= 19; - src <= 39; - when "0101110" => - dest <= 79; - src <= 57; - when "0101111" => - dest <= 57; - src <= 79; - when "0110000" => - dest <= 57; - src <= 27; - when "0110001" => - dest <= 52; - src <= 57; - when "0110010" => - dest <= 53; - src <= 6; - when "0110011" => - dest <= 57; - src <= 57; - when "0110100" => - dest <= 42; - src <= 19; - when "0110101" => - dest <= 57; - src <= 14; - when "0110110" => - dest <= 71; - src <= 44; - when "0110111" => - dest <= 32; - src <= 57; - when "0111000" => - dest <= 57; - src <= 71; - when "0111001" => - dest <= 72; - src <= 70; - when "0111010" => - dest <= 22; - src <= 57; - when "0111011" => - dest <= 1; - src <= 72; - when "0111100" => - dest <= 57; - src <= 57; - when "0111101" => - dest <= 13; - src <= 52; - when "0111110" => - dest <= 20; - src <= 1; - when "0111111" => - dest <= 57; - src <= 57; - when "1000000" => - dest <= 5; - src <= 42; - when "1000001" => - dest <= 57; - src <= 11; - when "1000010" => - dest <= 36; - src <= 32; - when "1000011" => - dest <= 78; - src <= 57; - when "1000100" => - dest <= 28; - src <= 20; - when "1000101" => - dest <= 57; - src <= 13; - when "1000110" => - dest <= 70; - src <= 57; - when "1000111" => - dest <= 57; - src <= 28; - when "1001000" => - dest <= 45; - src <= 57; - when "1001001" => - dest <= 51; - src <= 78; - when "1001010" => - dest <= 31; - src <= 36; - when "1001011" => - dest <= 11; - src <= 5; - when "1001100" => - dest <= 57; - src <= 57; - when "1001101" => - dest <= 41; - src <= 53; - when "1001110" => - dest <= 57; - src <= 69; - when "1001111" => - dest <= 63; - src <= 63; - when "1010000" => - dest <= 49; - src <= 2; - when "1010001" => - dest <= 73; - src <= 57; - when "1010010" => - dest <= 29; - src <= 22; - when "1010011" => - dest <= 4; - src <= 73; - when "1010100" => - dest <= 57; - src <= 65; - when "1010101" => - dest <= 37; - src <= 51; - when "1010110" => - dest <= 77; - src <= 57; - when "1010111" => - dest <= 57; - src <= 41; - when "1011000" => - dest <= 69; - src <= 4; - when "1011001" => - dest <= 47; - src <= 29; - when "1011010" => - dest <= 50; - src <= 57; - when "1011011" => - dest <= 46; - src <= 77; - when "1011100" => - dest <= 2; - src <= 37; - when "1011101" => - dest <= 39; - src <= 67; - when "1011110" => - dest <= 68; - src <= 45; - when "1011111" => - dest <= 64; - src <= 64; - when "1100000" => - dest <= 38; - src <= 68; - when "1100001" => - dest <= 76; - src <= 75; - when "1100010" => - dest <= 30; - src <= 31; - when "1100011" => - dest <= 60; - src <= 46; - when "1100100" => - dest <= 74; - src <= 50; - when "1100101" => - dest <= 57; - src <= 76; - when "1100110" => - dest <= 3; - src <= 38; - when "1100111" => - dest <= 57; - src <= 3; - when "1101000" => - dest <= 67; - src <= 54; - when "1101001" => - dest <= 59; - src <= 40; - when "1101010" => - dest <= 65; - src <= 57; - when "1101011" => - dest <= 57; - src <= 30; - when "1101100" => - dest <= 55; - src <= 47; - when "1101101" => - dest <= 57; - src <= 55; - when "1101110" => - dest <= 57; - src <= 49; - when "1101111" => - dest <= 25; - src <= 57; - when "1110000" => - dest <= 17; - src <= 59; - when others => - dest <= 57; - src <= 57; - - end case; - end process; - - end generate NI_NUM57; - - NI_NUM58 : if NI_NUM = 58 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 55; - src <= 58; - when "0000001" => - dest <= 59; - src <= 58; - when "0000010" => - dest <= 58; - src <= 58; - when "0000011" => - dest <= 18; - src <= 58; - when "0000100" => - dest <= 13; - src <= 57; - when "0000101" => - dest <= 58; - src <= 61; - when "0000110" => - dest <= 17; - src <= 58; - when "0000111" => - dest <= 58; - src <= 58; - when "0001000" => - dest <= 35; - src <= 9; - when "0001001" => - dest <= 67; - src <= 26; - when "0001010" => - dest <= 58; - src <= 22; - when "0001011" => - dest <= 25; - src <= 58; - when "0001100" => - dest <= 54; - src <= 49; - when "0001101" => - dest <= 58; - src <= 17; - when "0001110" => - dest <= 0; - src <= 58; - when "0001111" => - dest <= 22; - src <= 58; - when "0010000" => - dest <= 57; - src <= 18; - when "0010001" => - dest <= 10; - src <= 0; - when "0010010" => - dest <= 16; - src <= 62; - when "0010011" => - dest <= 41; - src <= 59; - when "0010100" => - dest <= 58; - src <= 10; - when "0010101" => - dest <= 27; - src <= 13; - when "0010110" => - dest <= 8; - src <= 58; - when "0010111" => - dest <= 58; - src <= 35; - when "0011000" => - dest <= 19; - src <= 75; - when "0011001" => - dest <= 58; - src <= 58; - when "0011010" => - dest <= 62; - src <= 25; - when "0011011" => - dest <= 58; - src <= 19; - when "0011100" => - dest <= 44; - src <= 58; - when "0011101" => - dest <= 72; - src <= 58; - when "0011110" => - dest <= 58; - src <= 8; - when "0011111" => - dest <= 34; - src <= 27; - when "0100000" => - dest <= 1; - src <= 54; - when "0100001" => - dest <= 58; - src <= 16; - when "0100010" => - dest <= 24; - src <= 58; - when "0100011" => - dest <= 11; - src <= 58; - when "0100100" => - dest <= 58; - src <= 72; - when "0100101" => - dest <= 58; - src <= 44; - when "0100110" => - dest <= 49; - src <= 58; - when "0100111" => - dest <= 36; - src <= 1; - when "0101000" => - dest <= 7; - src <= 34; - when "0101001" => - dest <= 76; - src <= 67; - when "0101010" => - dest <= 28; - src <= 11; - when "0101011" => - dest <= 58; - src <= 24; - when "0101100" => - dest <= 15; - src <= 58; - when "0101101" => - dest <= 20; - src <= 40; - when "0101110" => - dest <= 80; - src <= 58; - when "0101111" => - dest <= 58; - src <= 80; - when "0110000" => - dest <= 58; - src <= 28; - when "0110001" => - dest <= 53; - src <= 58; - when "0110010" => - dest <= 45; - src <= 7; - when "0110011" => - dest <= 58; - src <= 58; - when "0110100" => - dest <= 43; - src <= 20; - when "0110101" => - dest <= 58; - src <= 15; - when "0110110" => - dest <= 63; - src <= 36; - when "0110111" => - dest <= 33; - src <= 58; - when "0111000" => - dest <= 58; - src <= 63; - when "0111001" => - dest <= 73; - src <= 71; - when "0111010" => - dest <= 23; - src <= 58; - when "0111011" => - dest <= 2; - src <= 73; - when "0111100" => - dest <= 58; - src <= 58; - when "0111101" => - dest <= 14; - src <= 53; - when "0111110" => - dest <= 21; - src <= 2; - when "0111111" => - dest <= 58; - src <= 58; - when "1000000" => - dest <= 6; - src <= 43; - when "1000001" => - dest <= 58; - src <= 12; - when "1000010" => - dest <= 37; - src <= 33; - when "1000011" => - dest <= 79; - src <= 58; - when "1000100" => - dest <= 29; - src <= 21; - when "1000101" => - dest <= 58; - src <= 14; - when "1000110" => - dest <= 71; - src <= 58; - when "1000111" => - dest <= 58; - src <= 29; - when "1001000" => - dest <= 46; - src <= 58; - when "1001001" => - dest <= 52; - src <= 79; - when "1001010" => - dest <= 32; - src <= 37; - when "1001011" => - dest <= 12; - src <= 6; - when "1001100" => - dest <= 58; - src <= 58; - when "1001101" => - dest <= 42; - src <= 45; - when "1001110" => - dest <= 58; - src <= 70; - when "1001111" => - dest <= 64; - src <= 64; - when "1010000" => - dest <= 50; - src <= 3; - when "1010001" => - dest <= 74; - src <= 58; - when "1010010" => - dest <= 30; - src <= 23; - when "1010011" => - dest <= 5; - src <= 74; - when "1010100" => - dest <= 58; - src <= 66; - when "1010101" => - dest <= 38; - src <= 52; - when "1010110" => - dest <= 78; - src <= 58; - when "1010111" => - dest <= 58; - src <= 42; - when "1011000" => - dest <= 70; - src <= 5; - when "1011001" => - dest <= 48; - src <= 30; - when "1011010" => - dest <= 51; - src <= 58; - when "1011011" => - dest <= 47; - src <= 78; - when "1011100" => - dest <= 3; - src <= 38; - when "1011101" => - dest <= 40; - src <= 68; - when "1011110" => - dest <= 69; - src <= 46; - when "1011111" => - dest <= 65; - src <= 65; - when "1100000" => - dest <= 39; - src <= 69; - when "1100001" => - dest <= 77; - src <= 76; - when "1100010" => - dest <= 31; - src <= 32; - when "1100011" => - dest <= 61; - src <= 47; - when "1100100" => - dest <= 75; - src <= 51; - when "1100101" => - dest <= 58; - src <= 77; - when "1100110" => - dest <= 4; - src <= 39; - when "1100111" => - dest <= 58; - src <= 4; - when "1101000" => - dest <= 68; - src <= 55; - when "1101001" => - dest <= 60; - src <= 41; - when "1101010" => - dest <= 66; - src <= 58; - when "1101011" => - dest <= 58; - src <= 31; - when "1101100" => - dest <= 56; - src <= 48; - when "1101101" => - dest <= 58; - src <= 56; - when "1101110" => - dest <= 58; - src <= 50; - when "1101111" => - dest <= 26; - src <= 58; - when "1110000" => - dest <= 9; - src <= 60; - when others => - dest <= 58; - src <= 58; - - end case; - end process; - - end generate NI_NUM58; - - NI_NUM59 : if NI_NUM = 59 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 56; - src <= 59; - when "0000001" => - dest <= 60; - src <= 59; - when "0000010" => - dest <= 59; - src <= 59; - when "0000011" => - dest <= 19; - src <= 59; - when "0000100" => - dest <= 14; - src <= 58; - when "0000101" => - dest <= 59; - src <= 62; - when "0000110" => - dest <= 9; - src <= 59; - when "0000111" => - dest <= 59; - src <= 59; - when "0001000" => - dest <= 27; - src <= 10; - when "0001001" => - dest <= 68; - src <= 18; - when "0001010" => - dest <= 59; - src <= 23; - when "0001011" => - dest <= 26; - src <= 59; - when "0001100" => - dest <= 55; - src <= 50; - when "0001101" => - dest <= 59; - src <= 9; - when "0001110" => - dest <= 1; - src <= 59; - when "0001111" => - dest <= 23; - src <= 59; - when "0010000" => - dest <= 58; - src <= 19; - when "0010001" => - dest <= 11; - src <= 1; - when "0010010" => - dest <= 17; - src <= 54; - when "0010011" => - dest <= 42; - src <= 60; - when "0010100" => - dest <= 59; - src <= 11; - when "0010101" => - dest <= 28; - src <= 14; - when "0010110" => - dest <= 0; - src <= 59; - when "0010111" => - dest <= 59; - src <= 27; - when "0011000" => - dest <= 20; - src <= 76; - when "0011001" => - dest <= 59; - src <= 59; - when "0011010" => - dest <= 54; - src <= 26; - when "0011011" => - dest <= 59; - src <= 20; - when "0011100" => - dest <= 36; - src <= 59; - when "0011101" => - dest <= 73; - src <= 59; - when "0011110" => - dest <= 59; - src <= 0; - when "0011111" => - dest <= 35; - src <= 28; - when "0100000" => - dest <= 2; - src <= 55; - when "0100001" => - dest <= 59; - src <= 17; - when "0100010" => - dest <= 25; - src <= 59; - when "0100011" => - dest <= 12; - src <= 59; - when "0100100" => - dest <= 59; - src <= 73; - when "0100101" => - dest <= 59; - src <= 36; - when "0100110" => - dest <= 50; - src <= 59; - when "0100111" => - dest <= 37; - src <= 2; - when "0101000" => - dest <= 8; - src <= 35; - when "0101001" => - dest <= 77; - src <= 68; - when "0101010" => - dest <= 29; - src <= 12; - when "0101011" => - dest <= 59; - src <= 25; - when "0101100" => - dest <= 16; - src <= 59; - when "0101101" => - dest <= 21; - src <= 41; - when "0101110" => - dest <= 72; - src <= 59; - when "0101111" => - dest <= 59; - src <= 72; - when "0110000" => - dest <= 59; - src <= 29; - when "0110001" => - dest <= 45; - src <= 59; - when "0110010" => - dest <= 46; - src <= 8; - when "0110011" => - dest <= 59; - src <= 59; - when "0110100" => - dest <= 44; - src <= 21; - when "0110101" => - dest <= 59; - src <= 16; - when "0110110" => - dest <= 64; - src <= 37; - when "0110111" => - dest <= 34; - src <= 59; - when "0111000" => - dest <= 59; - src <= 64; - when "0111001" => - dest <= 74; - src <= 63; - when "0111010" => - dest <= 24; - src <= 59; - when "0111011" => - dest <= 3; - src <= 74; - when "0111100" => - dest <= 59; - src <= 59; - when "0111101" => - dest <= 15; - src <= 45; - when "0111110" => - dest <= 22; - src <= 3; - when "0111111" => - dest <= 59; - src <= 59; - when "1000000" => - dest <= 7; - src <= 44; - when "1000001" => - dest <= 59; - src <= 13; - when "1000010" => - dest <= 38; - src <= 34; - when "1000011" => - dest <= 80; - src <= 59; - when "1000100" => - dest <= 30; - src <= 22; - when "1000101" => - dest <= 59; - src <= 15; - when "1000110" => - dest <= 63; - src <= 59; - when "1000111" => - dest <= 59; - src <= 30; - when "1001000" => - dest <= 47; - src <= 59; - when "1001001" => - dest <= 53; - src <= 80; - when "1001010" => - dest <= 33; - src <= 38; - when "1001011" => - dest <= 13; - src <= 7; - when "1001100" => - dest <= 59; - src <= 59; - when "1001101" => - dest <= 43; - src <= 46; - when "1001110" => - dest <= 59; - src <= 71; - when "1001111" => - dest <= 65; - src <= 65; - when "1010000" => - dest <= 51; - src <= 4; - when "1010001" => - dest <= 75; - src <= 59; - when "1010010" => - dest <= 31; - src <= 24; - when "1010011" => - dest <= 6; - src <= 75; - when "1010100" => - dest <= 59; - src <= 67; - when "1010101" => - dest <= 39; - src <= 53; - when "1010110" => - dest <= 79; - src <= 59; - when "1010111" => - dest <= 59; - src <= 43; - when "1011000" => - dest <= 71; - src <= 6; - when "1011001" => - dest <= 49; - src <= 31; - when "1011010" => - dest <= 52; - src <= 59; - when "1011011" => - dest <= 48; - src <= 79; - when "1011100" => - dest <= 4; - src <= 39; - when "1011101" => - dest <= 41; - src <= 69; - when "1011110" => - dest <= 70; - src <= 47; - when "1011111" => - dest <= 66; - src <= 66; - when "1100000" => - dest <= 40; - src <= 70; - when "1100001" => - dest <= 78; - src <= 77; - when "1100010" => - dest <= 32; - src <= 33; - when "1100011" => - dest <= 62; - src <= 48; - when "1100100" => - dest <= 76; - src <= 52; - when "1100101" => - dest <= 59; - src <= 78; - when "1100110" => - dest <= 5; - src <= 40; - when "1100111" => - dest <= 59; - src <= 5; - when "1101000" => - dest <= 69; - src <= 56; - when "1101001" => - dest <= 61; - src <= 42; - when "1101010" => - dest <= 67; - src <= 59; - when "1101011" => - dest <= 59; - src <= 32; - when "1101100" => - dest <= 57; - src <= 49; - when "1101101" => - dest <= 59; - src <= 57; - when "1101110" => - dest <= 59; - src <= 51; - when "1101111" => - dest <= 18; - src <= 59; - when "1110000" => - dest <= 10; - src <= 61; - when others => - dest <= 59; - src <= 59; - - end case; - end process; - - end generate NI_NUM59; - - NI_NUM60 : if NI_NUM = 60 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 57; - src <= 60; - when "0000001" => - dest <= 61; - src <= 60; - when "0000010" => - dest <= 60; - src <= 60; - when "0000011" => - dest <= 20; - src <= 60; - when "0000100" => - dest <= 15; - src <= 59; - when "0000101" => - dest <= 60; - src <= 54; - when "0000110" => - dest <= 10; - src <= 60; - when "0000111" => - dest <= 60; - src <= 60; - when "0001000" => - dest <= 28; - src <= 11; - when "0001001" => - dest <= 69; - src <= 19; - when "0001010" => - dest <= 60; - src <= 24; - when "0001011" => - dest <= 18; - src <= 60; - when "0001100" => - dest <= 56; - src <= 51; - when "0001101" => - dest <= 60; - src <= 10; - when "0001110" => - dest <= 2; - src <= 60; - when "0001111" => - dest <= 24; - src <= 60; - when "0010000" => - dest <= 59; - src <= 20; - when "0010001" => - dest <= 12; - src <= 2; - when "0010010" => - dest <= 9; - src <= 55; - when "0010011" => - dest <= 43; - src <= 61; - when "0010100" => - dest <= 60; - src <= 12; - when "0010101" => - dest <= 29; - src <= 15; - when "0010110" => - dest <= 1; - src <= 60; - when "0010111" => - dest <= 60; - src <= 28; - when "0011000" => - dest <= 21; - src <= 77; - when "0011001" => - dest <= 60; - src <= 60; - when "0011010" => - dest <= 55; - src <= 18; - when "0011011" => - dest <= 60; - src <= 21; - when "0011100" => - dest <= 37; - src <= 60; - when "0011101" => - dest <= 74; - src <= 60; - when "0011110" => - dest <= 60; - src <= 1; - when "0011111" => - dest <= 27; - src <= 29; - when "0100000" => - dest <= 3; - src <= 56; - when "0100001" => - dest <= 60; - src <= 9; - when "0100010" => - dest <= 26; - src <= 60; - when "0100011" => - dest <= 13; - src <= 60; - when "0100100" => - dest <= 60; - src <= 74; - when "0100101" => - dest <= 60; - src <= 37; - when "0100110" => - dest <= 51; - src <= 60; - when "0100111" => - dest <= 38; - src <= 3; - when "0101000" => - dest <= 0; - src <= 27; - when "0101001" => - dest <= 78; - src <= 69; - when "0101010" => - dest <= 30; - src <= 13; - when "0101011" => - dest <= 60; - src <= 26; - when "0101100" => - dest <= 17; - src <= 60; - when "0101101" => - dest <= 22; - src <= 42; - when "0101110" => - dest <= 73; - src <= 60; - when "0101111" => - dest <= 60; - src <= 73; - when "0110000" => - dest <= 60; - src <= 30; - when "0110001" => - dest <= 46; - src <= 60; - when "0110010" => - dest <= 47; - src <= 0; - when "0110011" => - dest <= 60; - src <= 60; - when "0110100" => - dest <= 36; - src <= 22; - when "0110101" => - dest <= 60; - src <= 17; - when "0110110" => - dest <= 65; - src <= 38; - when "0110111" => - dest <= 35; - src <= 60; - when "0111000" => - dest <= 60; - src <= 65; - when "0111001" => - dest <= 75; - src <= 64; - when "0111010" => - dest <= 25; - src <= 60; - when "0111011" => - dest <= 4; - src <= 75; - when "0111100" => - dest <= 60; - src <= 60; - when "0111101" => - dest <= 16; - src <= 46; - when "0111110" => - dest <= 23; - src <= 4; - when "0111111" => - dest <= 60; - src <= 60; - when "1000000" => - dest <= 8; - src <= 36; - when "1000001" => - dest <= 60; - src <= 14; - when "1000010" => - dest <= 39; - src <= 35; - when "1000011" => - dest <= 72; - src <= 60; - when "1000100" => - dest <= 31; - src <= 23; - when "1000101" => - dest <= 60; - src <= 16; - when "1000110" => - dest <= 64; - src <= 60; - when "1000111" => - dest <= 60; - src <= 31; - when "1001000" => - dest <= 48; - src <= 60; - when "1001001" => - dest <= 45; - src <= 72; - when "1001010" => - dest <= 34; - src <= 39; - when "1001011" => - dest <= 14; - src <= 8; - when "1001100" => - dest <= 60; - src <= 60; - when "1001101" => - dest <= 44; - src <= 47; - when "1001110" => - dest <= 60; - src <= 63; - when "1001111" => - dest <= 66; - src <= 66; - when "1010000" => - dest <= 52; - src <= 5; - when "1010001" => - dest <= 76; - src <= 60; - when "1010010" => - dest <= 32; - src <= 25; - when "1010011" => - dest <= 7; - src <= 76; - when "1010100" => - dest <= 60; - src <= 68; - when "1010101" => - dest <= 40; - src <= 45; - when "1010110" => - dest <= 80; - src <= 60; - when "1010111" => - dest <= 60; - src <= 44; - when "1011000" => - dest <= 63; - src <= 7; - when "1011001" => - dest <= 50; - src <= 32; - when "1011010" => - dest <= 53; - src <= 60; - when "1011011" => - dest <= 49; - src <= 80; - when "1011100" => - dest <= 5; - src <= 40; - when "1011101" => - dest <= 42; - src <= 70; - when "1011110" => - dest <= 71; - src <= 48; - when "1011111" => - dest <= 67; - src <= 67; - when "1100000" => - dest <= 41; - src <= 71; - when "1100001" => - dest <= 79; - src <= 78; - when "1100010" => - dest <= 33; - src <= 34; - when "1100011" => - dest <= 54; - src <= 49; - when "1100100" => - dest <= 77; - src <= 53; - when "1100101" => - dest <= 60; - src <= 79; - when "1100110" => - dest <= 6; - src <= 41; - when "1100111" => - dest <= 60; - src <= 6; - when "1101000" => - dest <= 70; - src <= 57; - when "1101001" => - dest <= 62; - src <= 43; - when "1101010" => - dest <= 68; - src <= 60; - when "1101011" => - dest <= 60; - src <= 33; - when "1101100" => - dest <= 58; - src <= 50; - when "1101101" => - dest <= 60; - src <= 58; - when "1101110" => - dest <= 60; - src <= 52; - when "1101111" => - dest <= 19; - src <= 60; - when "1110000" => - dest <= 11; - src <= 62; - when others => - dest <= 60; - src <= 60; - - end case; - end process; - - end generate NI_NUM60; - - NI_NUM61 : if NI_NUM = 61 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 58; - src <= 61; - when "0000001" => - dest <= 62; - src <= 61; - when "0000010" => - dest <= 61; - src <= 61; - when "0000011" => - dest <= 21; - src <= 61; - when "0000100" => - dest <= 16; - src <= 60; - when "0000101" => - dest <= 61; - src <= 55; - when "0000110" => - dest <= 11; - src <= 61; - when "0000111" => - dest <= 61; - src <= 61; - when "0001000" => - dest <= 29; - src <= 12; - when "0001001" => - dest <= 70; - src <= 20; - when "0001010" => - dest <= 61; - src <= 25; - when "0001011" => - dest <= 19; - src <= 61; - when "0001100" => - dest <= 57; - src <= 52; - when "0001101" => - dest <= 61; - src <= 11; - when "0001110" => - dest <= 3; - src <= 61; - when "0001111" => - dest <= 25; - src <= 61; - when "0010000" => - dest <= 60; - src <= 21; - when "0010001" => - dest <= 13; - src <= 3; - when "0010010" => - dest <= 10; - src <= 56; - when "0010011" => - dest <= 44; - src <= 62; - when "0010100" => - dest <= 61; - src <= 13; - when "0010101" => - dest <= 30; - src <= 16; - when "0010110" => - dest <= 2; - src <= 61; - when "0010111" => - dest <= 61; - src <= 29; - when "0011000" => - dest <= 22; - src <= 78; - when "0011001" => - dest <= 61; - src <= 61; - when "0011010" => - dest <= 56; - src <= 19; - when "0011011" => - dest <= 61; - src <= 22; - when "0011100" => - dest <= 38; - src <= 61; - when "0011101" => - dest <= 75; - src <= 61; - when "0011110" => - dest <= 61; - src <= 2; - when "0011111" => - dest <= 28; - src <= 30; - when "0100000" => - dest <= 4; - src <= 57; - when "0100001" => - dest <= 61; - src <= 10; - when "0100010" => - dest <= 18; - src <= 61; - when "0100011" => - dest <= 14; - src <= 61; - when "0100100" => - dest <= 61; - src <= 75; - when "0100101" => - dest <= 61; - src <= 38; - when "0100110" => - dest <= 52; - src <= 61; - when "0100111" => - dest <= 39; - src <= 4; - when "0101000" => - dest <= 1; - src <= 28; - when "0101001" => - dest <= 79; - src <= 70; - when "0101010" => - dest <= 31; - src <= 14; - when "0101011" => - dest <= 61; - src <= 18; - when "0101100" => - dest <= 9; - src <= 61; - when "0101101" => - dest <= 23; - src <= 43; - when "0101110" => - dest <= 74; - src <= 61; - when "0101111" => - dest <= 61; - src <= 74; - when "0110000" => - dest <= 61; - src <= 31; - when "0110001" => - dest <= 47; - src <= 61; - when "0110010" => - dest <= 48; - src <= 1; - when "0110011" => - dest <= 61; - src <= 61; - when "0110100" => - dest <= 37; - src <= 23; - when "0110101" => - dest <= 61; - src <= 9; - when "0110110" => - dest <= 66; - src <= 39; - when "0110111" => - dest <= 27; - src <= 61; - when "0111000" => - dest <= 61; - src <= 66; - when "0111001" => - dest <= 76; - src <= 65; - when "0111010" => - dest <= 26; - src <= 61; - when "0111011" => - dest <= 5; - src <= 76; - when "0111100" => - dest <= 61; - src <= 61; - when "0111101" => - dest <= 17; - src <= 47; - when "0111110" => - dest <= 24; - src <= 5; - when "0111111" => - dest <= 61; - src <= 61; - when "1000000" => - dest <= 0; - src <= 37; - when "1000001" => - dest <= 61; - src <= 15; - when "1000010" => - dest <= 40; - src <= 27; - when "1000011" => - dest <= 73; - src <= 61; - when "1000100" => - dest <= 32; - src <= 24; - when "1000101" => - dest <= 61; - src <= 17; - when "1000110" => - dest <= 65; - src <= 61; - when "1000111" => - dest <= 61; - src <= 32; - when "1001000" => - dest <= 49; - src <= 61; - when "1001001" => - dest <= 46; - src <= 73; - when "1001010" => - dest <= 35; - src <= 40; - when "1001011" => - dest <= 15; - src <= 0; - when "1001100" => - dest <= 61; - src <= 61; - when "1001101" => - dest <= 36; - src <= 48; - when "1001110" => - dest <= 61; - src <= 64; - when "1001111" => - dest <= 67; - src <= 67; - when "1010000" => - dest <= 53; - src <= 6; - when "1010001" => - dest <= 77; - src <= 61; - when "1010010" => - dest <= 33; - src <= 26; - when "1010011" => - dest <= 8; - src <= 77; - when "1010100" => - dest <= 61; - src <= 69; - when "1010101" => - dest <= 41; - src <= 46; - when "1010110" => - dest <= 72; - src <= 61; - when "1010111" => - dest <= 61; - src <= 36; - when "1011000" => - dest <= 64; - src <= 8; - when "1011001" => - dest <= 51; - src <= 33; - when "1011010" => - dest <= 45; - src <= 61; - when "1011011" => - dest <= 50; - src <= 72; - when "1011100" => - dest <= 6; - src <= 41; - when "1011101" => - dest <= 43; - src <= 71; - when "1011110" => - dest <= 63; - src <= 49; - when "1011111" => - dest <= 68; - src <= 68; - when "1100000" => - dest <= 42; - src <= 63; - when "1100001" => - dest <= 80; - src <= 79; - when "1100010" => - dest <= 34; - src <= 35; - when "1100011" => - dest <= 55; - src <= 50; - when "1100100" => - dest <= 78; - src <= 45; - when "1100101" => - dest <= 61; - src <= 80; - when "1100110" => - dest <= 7; - src <= 42; - when "1100111" => - dest <= 61; - src <= 7; - when "1101000" => - dest <= 71; - src <= 58; - when "1101001" => - dest <= 54; - src <= 44; - when "1101010" => - dest <= 69; - src <= 61; - when "1101011" => - dest <= 61; - src <= 34; - when "1101100" => - dest <= 59; - src <= 51; - when "1101101" => - dest <= 61; - src <= 59; - when "1101110" => - dest <= 61; - src <= 53; - when "1101111" => - dest <= 20; - src <= 61; - when "1110000" => - dest <= 12; - src <= 54; - when others => - dest <= 61; - src <= 61; - - end case; - end process; - - end generate NI_NUM61; - - NI_NUM62 : if NI_NUM = 62 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 59; - src <= 62; - when "0000001" => - dest <= 54; - src <= 62; - when "0000010" => - dest <= 62; - src <= 62; - when "0000011" => - dest <= 22; - src <= 62; - when "0000100" => - dest <= 17; - src <= 61; - when "0000101" => - dest <= 62; - src <= 56; - when "0000110" => - dest <= 12; - src <= 62; - when "0000111" => - dest <= 62; - src <= 62; - when "0001000" => - dest <= 30; - src <= 13; - when "0001001" => - dest <= 71; - src <= 21; - when "0001010" => - dest <= 62; - src <= 26; - when "0001011" => - dest <= 20; - src <= 62; - when "0001100" => - dest <= 58; - src <= 53; - when "0001101" => - dest <= 62; - src <= 12; - when "0001110" => - dest <= 4; - src <= 62; - when "0001111" => - dest <= 26; - src <= 62; - when "0010000" => - dest <= 61; - src <= 22; - when "0010001" => - dest <= 14; - src <= 4; - when "0010010" => - dest <= 11; - src <= 57; - when "0010011" => - dest <= 36; - src <= 54; - when "0010100" => - dest <= 62; - src <= 14; - when "0010101" => - dest <= 31; - src <= 17; - when "0010110" => - dest <= 3; - src <= 62; - when "0010111" => - dest <= 62; - src <= 30; - when "0011000" => - dest <= 23; - src <= 79; - when "0011001" => - dest <= 62; - src <= 62; - when "0011010" => - dest <= 57; - src <= 20; - when "0011011" => - dest <= 62; - src <= 23; - when "0011100" => - dest <= 39; - src <= 62; - when "0011101" => - dest <= 76; - src <= 62; - when "0011110" => - dest <= 62; - src <= 3; - when "0011111" => - dest <= 29; - src <= 31; - when "0100000" => - dest <= 5; - src <= 58; - when "0100001" => - dest <= 62; - src <= 11; - when "0100010" => - dest <= 19; - src <= 62; - when "0100011" => - dest <= 15; - src <= 62; - when "0100100" => - dest <= 62; - src <= 76; - when "0100101" => - dest <= 62; - src <= 39; - when "0100110" => - dest <= 53; - src <= 62; - when "0100111" => - dest <= 40; - src <= 5; - when "0101000" => - dest <= 2; - src <= 29; - when "0101001" => - dest <= 80; - src <= 71; - when "0101010" => - dest <= 32; - src <= 15; - when "0101011" => - dest <= 62; - src <= 19; - when "0101100" => - dest <= 10; - src <= 62; - when "0101101" => - dest <= 24; - src <= 44; - when "0101110" => - dest <= 75; - src <= 62; - when "0101111" => - dest <= 62; - src <= 75; - when "0110000" => - dest <= 62; - src <= 32; - when "0110001" => - dest <= 48; - src <= 62; - when "0110010" => - dest <= 49; - src <= 2; - when "0110011" => - dest <= 62; - src <= 62; - when "0110100" => - dest <= 38; - src <= 24; - when "0110101" => - dest <= 62; - src <= 10; - when "0110110" => - dest <= 67; - src <= 40; - when "0110111" => - dest <= 28; - src <= 62; - when "0111000" => - dest <= 62; - src <= 67; - when "0111001" => - dest <= 77; - src <= 66; - when "0111010" => - dest <= 18; - src <= 62; - when "0111011" => - dest <= 6; - src <= 77; - when "0111100" => - dest <= 62; - src <= 62; - when "0111101" => - dest <= 9; - src <= 48; - when "0111110" => - dest <= 25; - src <= 6; - when "0111111" => - dest <= 62; - src <= 62; - when "1000000" => - dest <= 1; - src <= 38; - when "1000001" => - dest <= 62; - src <= 16; - when "1000010" => - dest <= 41; - src <= 28; - when "1000011" => - dest <= 74; - src <= 62; - when "1000100" => - dest <= 33; - src <= 25; - when "1000101" => - dest <= 62; - src <= 9; - when "1000110" => - dest <= 66; - src <= 62; - when "1000111" => - dest <= 62; - src <= 33; - when "1001000" => - dest <= 50; - src <= 62; - when "1001001" => - dest <= 47; - src <= 74; - when "1001010" => - dest <= 27; - src <= 41; - when "1001011" => - dest <= 16; - src <= 1; - when "1001100" => - dest <= 62; - src <= 62; - when "1001101" => - dest <= 37; - src <= 49; - when "1001110" => - dest <= 62; - src <= 65; - when "1001111" => - dest <= 68; - src <= 68; - when "1010000" => - dest <= 45; - src <= 7; - when "1010001" => - dest <= 78; - src <= 62; - when "1010010" => - dest <= 34; - src <= 18; - when "1010011" => - dest <= 0; - src <= 78; - when "1010100" => - dest <= 62; - src <= 70; - when "1010101" => - dest <= 42; - src <= 47; - when "1010110" => - dest <= 73; - src <= 62; - when "1010111" => - dest <= 62; - src <= 37; - when "1011000" => - dest <= 65; - src <= 0; - when "1011001" => - dest <= 52; - src <= 34; - when "1011010" => - dest <= 46; - src <= 62; - when "1011011" => - dest <= 51; - src <= 73; - when "1011100" => - dest <= 7; - src <= 42; - when "1011101" => - dest <= 44; - src <= 63; - when "1011110" => - dest <= 64; - src <= 50; - when "1011111" => - dest <= 69; - src <= 69; - when "1100000" => - dest <= 43; - src <= 64; - when "1100001" => - dest <= 72; - src <= 80; - when "1100010" => - dest <= 35; - src <= 27; - when "1100011" => - dest <= 56; - src <= 51; - when "1100100" => - dest <= 79; - src <= 46; - when "1100101" => - dest <= 62; - src <= 72; - when "1100110" => - dest <= 8; - src <= 43; - when "1100111" => - dest <= 62; - src <= 8; - when "1101000" => - dest <= 63; - src <= 59; - when "1101001" => - dest <= 55; - src <= 36; - when "1101010" => - dest <= 70; - src <= 62; - when "1101011" => - dest <= 62; - src <= 35; - when "1101100" => - dest <= 60; - src <= 52; - when "1101101" => - dest <= 62; - src <= 60; - when "1101110" => - dest <= 62; - src <= 45; - when "1101111" => - dest <= 21; - src <= 62; - when "1110000" => - dest <= 13; - src <= 55; - when others => - dest <= 62; - src <= 62; - - end case; - end process; - - end generate NI_NUM62; - - NI_NUM63 : if NI_NUM = 63 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 69; - src <= 63; - when "0000001" => - dest <= 64; - src <= 63; - when "0000010" => - dest <= 63; - src <= 63; - when "0000011" => - dest <= 32; - src <= 63; - when "0000100" => - dest <= 18; - src <= 71; - when "0000101" => - dest <= 63; - src <= 66; - when "0000110" => - dest <= 22; - src <= 63; - when "0000111" => - dest <= 63; - src <= 63; - when "0001000" => - dest <= 40; - src <= 23; - when "0001001" => - dest <= 72; - src <= 31; - when "0001010" => - dest <= 63; - src <= 27; - when "0001011" => - dest <= 30; - src <= 63; - when "0001100" => - dest <= 68; - src <= 54; - when "0001101" => - dest <= 63; - src <= 22; - when "0001110" => - dest <= 14; - src <= 63; - when "0001111" => - dest <= 27; - src <= 63; - when "0010000" => - dest <= 71; - src <= 32; - when "0010001" => - dest <= 24; - src <= 14; - when "0010010" => - dest <= 21; - src <= 67; - when "0010011" => - dest <= 46; - src <= 64; - when "0010100" => - dest <= 63; - src <= 24; - when "0010101" => - dest <= 41; - src <= 18; - when "0010110" => - dest <= 13; - src <= 63; - when "0010111" => - dest <= 63; - src <= 40; - when "0011000" => - dest <= 33; - src <= 8; - when "0011001" => - dest <= 63; - src <= 63; - when "0011010" => - dest <= 67; - src <= 30; - when "0011011" => - dest <= 63; - src <= 33; - when "0011100" => - dest <= 49; - src <= 63; - when "0011101" => - dest <= 5; - src <= 63; - when "0011110" => - dest <= 63; - src <= 13; - when "0011111" => - dest <= 39; - src <= 41; - when "0100000" => - dest <= 15; - src <= 68; - when "0100001" => - dest <= 63; - src <= 21; - when "0100010" => - dest <= 29; - src <= 63; - when "0100011" => - dest <= 25; - src <= 63; - when "0100100" => - dest <= 63; - src <= 5; - when "0100101" => - dest <= 63; - src <= 49; - when "0100110" => - dest <= 54; - src <= 63; - when "0100111" => - dest <= 50; - src <= 15; - when "0101000" => - dest <= 12; - src <= 39; - when "0101001" => - dest <= 0; - src <= 72; - when "0101010" => - dest <= 42; - src <= 25; - when "0101011" => - dest <= 63; - src <= 29; - when "0101100" => - dest <= 20; - src <= 63; - when "0101101" => - dest <= 34; - src <= 45; - when "0101110" => - dest <= 4; - src <= 63; - when "0101111" => - dest <= 63; - src <= 4; - when "0110000" => - dest <= 63; - src <= 42; - when "0110001" => - dest <= 58; - src <= 63; - when "0110010" => - dest <= 59; - src <= 12; - when "0110011" => - dest <= 63; - src <= 63; - when "0110100" => - dest <= 48; - src <= 34; - when "0110101" => - dest <= 63; - src <= 20; - when "0110110" => - dest <= 77; - src <= 50; - when "0110111" => - dest <= 38; - src <= 63; - when "0111000" => - dest <= 63; - src <= 77; - when "0111001" => - dest <= 6; - src <= 76; - when "0111010" => - dest <= 28; - src <= 63; - when "0111011" => - dest <= 16; - src <= 6; - when "0111100" => - dest <= 63; - src <= 63; - when "0111101" => - dest <= 19; - src <= 58; - when "0111110" => - dest <= 35; - src <= 16; - when "0111111" => - dest <= 63; - src <= 63; - when "1000000" => - dest <= 11; - src <= 48; - when "1000001" => - dest <= 63; - src <= 26; - when "1000010" => - dest <= 51; - src <= 38; - when "1000011" => - dest <= 3; - src <= 63; - when "1000100" => - dest <= 43; - src <= 35; - when "1000101" => - dest <= 63; - src <= 19; - when "1000110" => - dest <= 76; - src <= 63; - when "1000111" => - dest <= 63; - src <= 43; - when "1001000" => - dest <= 60; - src <= 63; - when "1001001" => - dest <= 57; - src <= 3; - when "1001010" => - dest <= 37; - src <= 51; - when "1001011" => - dest <= 26; - src <= 11; - when "1001100" => - dest <= 63; - src <= 63; - when "1001101" => - dest <= 47; - src <= 59; - when "1001110" => - dest <= 63; - src <= 75; - when "1001111" => - dest <= 78; - src <= 78; - when "1010000" => - dest <= 55; - src <= 17; - when "1010001" => - dest <= 7; - src <= 63; - when "1010010" => - dest <= 44; - src <= 28; - when "1010011" => - dest <= 10; - src <= 7; - when "1010100" => - dest <= 63; - src <= 80; - when "1010101" => - dest <= 52; - src <= 57; - when "1010110" => - dest <= 2; - src <= 63; - when "1010111" => - dest <= 63; - src <= 47; - when "1011000" => - dest <= 75; - src <= 10; - when "1011001" => - dest <= 62; - src <= 44; - when "1011010" => - dest <= 56; - src <= 63; - when "1011011" => - dest <= 61; - src <= 2; - when "1011100" => - dest <= 17; - src <= 52; - when "1011101" => - dest <= 45; - src <= 73; - when "1011110" => - dest <= 74; - src <= 60; - when "1011111" => - dest <= 79; - src <= 79; - when "1100000" => - dest <= 53; - src <= 74; - when "1100001" => - dest <= 1; - src <= 0; - when "1100010" => - dest <= 36; - src <= 37; - when "1100011" => - dest <= 66; - src <= 61; - when "1100100" => - dest <= 8; - src <= 56; - when "1100101" => - dest <= 63; - src <= 1; - when "1100110" => - dest <= 9; - src <= 53; - when "1100111" => - dest <= 63; - src <= 9; - when "1101000" => - dest <= 73; - src <= 69; - when "1101001" => - dest <= 65; - src <= 46; - when "1101010" => - dest <= 80; - src <= 63; - when "1101011" => - dest <= 63; - src <= 36; - when "1101100" => - dest <= 70; - src <= 62; - when "1101101" => - dest <= 63; - src <= 70; - when "1101110" => - dest <= 63; - src <= 55; - when "1101111" => - dest <= 31; - src <= 63; - when "1110000" => - dest <= 23; - src <= 65; - when others => - dest <= 63; - src <= 63; - - end case; - end process; - - end generate NI_NUM63; - - NI_NUM64 : if NI_NUM = 64 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 70; - src <= 64; - when "0000001" => - dest <= 65; - src <= 64; - when "0000010" => - dest <= 64; - src <= 64; - when "0000011" => - dest <= 33; - src <= 64; - when "0000100" => - dest <= 19; - src <= 63; - when "0000101" => - dest <= 64; - src <= 67; - when "0000110" => - dest <= 23; - src <= 64; - when "0000111" => - dest <= 64; - src <= 64; - when "0001000" => - dest <= 41; - src <= 24; - when "0001001" => - dest <= 73; - src <= 32; - when "0001010" => - dest <= 64; - src <= 28; - when "0001011" => - dest <= 31; - src <= 64; - when "0001100" => - dest <= 69; - src <= 55; - when "0001101" => - dest <= 64; - src <= 23; - when "0001110" => - dest <= 15; - src <= 64; - when "0001111" => - dest <= 28; - src <= 64; - when "0010000" => - dest <= 63; - src <= 33; - when "0010001" => - dest <= 25; - src <= 15; - when "0010010" => - dest <= 22; - src <= 68; - when "0010011" => - dest <= 47; - src <= 65; - when "0010100" => - dest <= 64; - src <= 25; - when "0010101" => - dest <= 42; - src <= 19; - when "0010110" => - dest <= 14; - src <= 64; - when "0010111" => - dest <= 64; - src <= 41; - when "0011000" => - dest <= 34; - src <= 0; - when "0011001" => - dest <= 64; - src <= 64; - when "0011010" => - dest <= 68; - src <= 31; - when "0011011" => - dest <= 64; - src <= 34; - when "0011100" => - dest <= 50; - src <= 64; - when "0011101" => - dest <= 6; - src <= 64; - when "0011110" => - dest <= 64; - src <= 14; - when "0011111" => - dest <= 40; - src <= 42; - when "0100000" => - dest <= 16; - src <= 69; - when "0100001" => - dest <= 64; - src <= 22; - when "0100010" => - dest <= 30; - src <= 64; - when "0100011" => - dest <= 26; - src <= 64; - when "0100100" => - dest <= 64; - src <= 6; - when "0100101" => - dest <= 64; - src <= 50; - when "0100110" => - dest <= 55; - src <= 64; - when "0100111" => - dest <= 51; - src <= 16; - when "0101000" => - dest <= 13; - src <= 40; - when "0101001" => - dest <= 1; - src <= 73; - when "0101010" => - dest <= 43; - src <= 26; - when "0101011" => - dest <= 64; - src <= 30; - when "0101100" => - dest <= 21; - src <= 64; - when "0101101" => - dest <= 35; - src <= 46; - when "0101110" => - dest <= 5; - src <= 64; - when "0101111" => - dest <= 64; - src <= 5; - when "0110000" => - dest <= 64; - src <= 43; - when "0110001" => - dest <= 59; - src <= 64; - when "0110010" => - dest <= 60; - src <= 13; - when "0110011" => - dest <= 64; - src <= 64; - when "0110100" => - dest <= 49; - src <= 35; - when "0110101" => - dest <= 64; - src <= 21; - when "0110110" => - dest <= 78; - src <= 51; - when "0110111" => - dest <= 39; - src <= 64; - when "0111000" => - dest <= 64; - src <= 78; - when "0111001" => - dest <= 7; - src <= 77; - when "0111010" => - dest <= 29; - src <= 64; - when "0111011" => - dest <= 17; - src <= 7; - when "0111100" => - dest <= 64; - src <= 64; - when "0111101" => - dest <= 20; - src <= 59; - when "0111110" => - dest <= 27; - src <= 17; - when "0111111" => - dest <= 64; - src <= 64; - when "1000000" => - dest <= 12; - src <= 49; - when "1000001" => - dest <= 64; - src <= 18; - when "1000010" => - dest <= 52; - src <= 39; - when "1000011" => - dest <= 4; - src <= 64; - when "1000100" => - dest <= 44; - src <= 27; - when "1000101" => - dest <= 64; - src <= 20; - when "1000110" => - dest <= 77; - src <= 64; - when "1000111" => - dest <= 64; - src <= 44; - when "1001000" => - dest <= 61; - src <= 64; - when "1001001" => - dest <= 58; - src <= 4; - when "1001010" => - dest <= 38; - src <= 52; - when "1001011" => - dest <= 18; - src <= 12; - when "1001100" => - dest <= 64; - src <= 64; - when "1001101" => - dest <= 48; - src <= 60; - when "1001110" => - dest <= 64; - src <= 76; - when "1001111" => - dest <= 79; - src <= 79; - when "1010000" => - dest <= 56; - src <= 9; - when "1010001" => - dest <= 8; - src <= 64; - when "1010010" => - dest <= 36; - src <= 29; - when "1010011" => - dest <= 11; - src <= 8; - when "1010100" => - dest <= 64; - src <= 72; - when "1010101" => - dest <= 53; - src <= 58; - when "1010110" => - dest <= 3; - src <= 64; - when "1010111" => - dest <= 64; - src <= 48; - when "1011000" => - dest <= 76; - src <= 11; - when "1011001" => - dest <= 54; - src <= 36; - when "1011010" => - dest <= 57; - src <= 64; - when "1011011" => - dest <= 62; - src <= 3; - when "1011100" => - dest <= 9; - src <= 53; - when "1011101" => - dest <= 46; - src <= 74; - when "1011110" => - dest <= 75; - src <= 61; - when "1011111" => - dest <= 80; - src <= 80; - when "1100000" => - dest <= 45; - src <= 75; - when "1100001" => - dest <= 2; - src <= 1; - when "1100010" => - dest <= 37; - src <= 38; - when "1100011" => - dest <= 67; - src <= 62; - when "1100100" => - dest <= 0; - src <= 57; - when "1100101" => - dest <= 64; - src <= 2; - when "1100110" => - dest <= 10; - src <= 45; - when "1100111" => - dest <= 64; - src <= 10; - when "1101000" => - dest <= 74; - src <= 70; - when "1101001" => - dest <= 66; - src <= 47; - when "1101010" => - dest <= 72; - src <= 64; - when "1101011" => - dest <= 64; - src <= 37; - when "1101100" => - dest <= 71; - src <= 54; - when "1101101" => - dest <= 64; - src <= 71; - when "1101110" => - dest <= 64; - src <= 56; - when "1101111" => - dest <= 32; - src <= 64; - when "1110000" => - dest <= 24; - src <= 66; - when others => - dest <= 64; - src <= 64; - - end case; - end process; - - end generate NI_NUM64; - - NI_NUM65 : if NI_NUM = 65 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 71; - src <= 65; - when "0000001" => - dest <= 66; - src <= 65; - when "0000010" => - dest <= 65; - src <= 65; - when "0000011" => - dest <= 34; - src <= 65; - when "0000100" => - dest <= 20; - src <= 64; - when "0000101" => - dest <= 65; - src <= 68; - when "0000110" => - dest <= 24; - src <= 65; - when "0000111" => - dest <= 65; - src <= 65; - when "0001000" => - dest <= 42; - src <= 25; - when "0001001" => - dest <= 74; - src <= 33; - when "0001010" => - dest <= 65; - src <= 29; - when "0001011" => - dest <= 32; - src <= 65; - when "0001100" => - dest <= 70; - src <= 56; - when "0001101" => - dest <= 65; - src <= 24; - when "0001110" => - dest <= 16; - src <= 65; - when "0001111" => - dest <= 29; - src <= 65; - when "0010000" => - dest <= 64; - src <= 34; - when "0010001" => - dest <= 26; - src <= 16; - when "0010010" => - dest <= 23; - src <= 69; - when "0010011" => - dest <= 48; - src <= 66; - when "0010100" => - dest <= 65; - src <= 26; - when "0010101" => - dest <= 43; - src <= 20; - when "0010110" => - dest <= 15; - src <= 65; - when "0010111" => - dest <= 65; - src <= 42; - when "0011000" => - dest <= 35; - src <= 1; - when "0011001" => - dest <= 65; - src <= 65; - when "0011010" => - dest <= 69; - src <= 32; - when "0011011" => - dest <= 65; - src <= 35; - when "0011100" => - dest <= 51; - src <= 65; - when "0011101" => - dest <= 7; - src <= 65; - when "0011110" => - dest <= 65; - src <= 15; - when "0011111" => - dest <= 41; - src <= 43; - when "0100000" => - dest <= 17; - src <= 70; - when "0100001" => - dest <= 65; - src <= 23; - when "0100010" => - dest <= 31; - src <= 65; - when "0100011" => - dest <= 18; - src <= 65; - when "0100100" => - dest <= 65; - src <= 7; - when "0100101" => - dest <= 65; - src <= 51; - when "0100110" => - dest <= 56; - src <= 65; - when "0100111" => - dest <= 52; - src <= 17; - when "0101000" => - dest <= 14; - src <= 41; - when "0101001" => - dest <= 2; - src <= 74; - when "0101010" => - dest <= 44; - src <= 18; - when "0101011" => - dest <= 65; - src <= 31; - when "0101100" => - dest <= 22; - src <= 65; - when "0101101" => - dest <= 27; - src <= 47; - when "0101110" => - dest <= 6; - src <= 65; - when "0101111" => - dest <= 65; - src <= 6; - when "0110000" => - dest <= 65; - src <= 44; - when "0110001" => - dest <= 60; - src <= 65; - when "0110010" => - dest <= 61; - src <= 14; - when "0110011" => - dest <= 65; - src <= 65; - when "0110100" => - dest <= 50; - src <= 27; - when "0110101" => - dest <= 65; - src <= 22; - when "0110110" => - dest <= 79; - src <= 52; - when "0110111" => - dest <= 40; - src <= 65; - when "0111000" => - dest <= 65; - src <= 79; - when "0111001" => - dest <= 8; - src <= 78; - when "0111010" => - dest <= 30; - src <= 65; - when "0111011" => - dest <= 9; - src <= 8; - when "0111100" => - dest <= 65; - src <= 65; - when "0111101" => - dest <= 21; - src <= 60; - when "0111110" => - dest <= 28; - src <= 9; - when "0111111" => - dest <= 65; - src <= 65; - when "1000000" => - dest <= 13; - src <= 50; - when "1000001" => - dest <= 65; - src <= 19; - when "1000010" => - dest <= 53; - src <= 40; - when "1000011" => - dest <= 5; - src <= 65; - when "1000100" => - dest <= 36; - src <= 28; - when "1000101" => - dest <= 65; - src <= 21; - when "1000110" => - dest <= 78; - src <= 65; - when "1000111" => - dest <= 65; - src <= 36; - when "1001000" => - dest <= 62; - src <= 65; - when "1001001" => - dest <= 59; - src <= 5; - when "1001010" => - dest <= 39; - src <= 53; - when "1001011" => - dest <= 19; - src <= 13; - when "1001100" => - dest <= 65; - src <= 65; - when "1001101" => - dest <= 49; - src <= 61; - when "1001110" => - dest <= 65; - src <= 77; - when "1001111" => - dest <= 80; - src <= 80; - when "1010000" => - dest <= 57; - src <= 10; - when "1010001" => - dest <= 0; - src <= 65; - when "1010010" => - dest <= 37; - src <= 30; - when "1010011" => - dest <= 12; - src <= 0; - when "1010100" => - dest <= 65; - src <= 73; - when "1010101" => - dest <= 45; - src <= 59; - when "1010110" => - dest <= 4; - src <= 65; - when "1010111" => - dest <= 65; - src <= 49; - when "1011000" => - dest <= 77; - src <= 12; - when "1011001" => - dest <= 55; - src <= 37; - when "1011010" => - dest <= 58; - src <= 65; - when "1011011" => - dest <= 54; - src <= 4; - when "1011100" => - dest <= 10; - src <= 45; - when "1011101" => - dest <= 47; - src <= 75; - when "1011110" => - dest <= 76; - src <= 62; - when "1011111" => - dest <= 72; - src <= 72; - when "1100000" => - dest <= 46; - src <= 76; - when "1100001" => - dest <= 3; - src <= 2; - when "1100010" => - dest <= 38; - src <= 39; - when "1100011" => - dest <= 68; - src <= 54; - when "1100100" => - dest <= 1; - src <= 58; - when "1100101" => - dest <= 65; - src <= 3; - when "1100110" => - dest <= 11; - src <= 46; - when "1100111" => - dest <= 65; - src <= 11; - when "1101000" => - dest <= 75; - src <= 71; - when "1101001" => - dest <= 67; - src <= 48; - when "1101010" => - dest <= 73; - src <= 65; - when "1101011" => - dest <= 65; - src <= 38; - when "1101100" => - dest <= 63; - src <= 55; - when "1101101" => - dest <= 65; - src <= 63; - when "1101110" => - dest <= 65; - src <= 57; - when "1101111" => - dest <= 33; - src <= 65; - when "1110000" => - dest <= 25; - src <= 67; - when others => - dest <= 65; - src <= 65; - - end case; - end process; - - end generate NI_NUM65; - - NI_NUM66 : if NI_NUM = 66 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 63; - src <= 66; - when "0000001" => - dest <= 67; - src <= 66; - when "0000010" => - dest <= 66; - src <= 66; - when "0000011" => - dest <= 35; - src <= 66; - when "0000100" => - dest <= 21; - src <= 65; - when "0000101" => - dest <= 66; - src <= 69; - when "0000110" => - dest <= 25; - src <= 66; - when "0000111" => - dest <= 66; - src <= 66; - when "0001000" => - dest <= 43; - src <= 26; - when "0001001" => - dest <= 75; - src <= 34; - when "0001010" => - dest <= 66; - src <= 30; - when "0001011" => - dest <= 33; - src <= 66; - when "0001100" => - dest <= 71; - src <= 57; - when "0001101" => - dest <= 66; - src <= 25; - when "0001110" => - dest <= 17; - src <= 66; - when "0001111" => - dest <= 30; - src <= 66; - when "0010000" => - dest <= 65; - src <= 35; - when "0010001" => - dest <= 18; - src <= 17; - when "0010010" => - dest <= 24; - src <= 70; - when "0010011" => - dest <= 49; - src <= 67; - when "0010100" => - dest <= 66; - src <= 18; - when "0010101" => - dest <= 44; - src <= 21; - when "0010110" => - dest <= 16; - src <= 66; - when "0010111" => - dest <= 66; - src <= 43; - when "0011000" => - dest <= 27; - src <= 2; - when "0011001" => - dest <= 66; - src <= 66; - when "0011010" => - dest <= 70; - src <= 33; - when "0011011" => - dest <= 66; - src <= 27; - when "0011100" => - dest <= 52; - src <= 66; - when "0011101" => - dest <= 8; - src <= 66; - when "0011110" => - dest <= 66; - src <= 16; - when "0011111" => - dest <= 42; - src <= 44; - when "0100000" => - dest <= 9; - src <= 71; - when "0100001" => - dest <= 66; - src <= 24; - when "0100010" => - dest <= 32; - src <= 66; - when "0100011" => - dest <= 19; - src <= 66; - when "0100100" => - dest <= 66; - src <= 8; - when "0100101" => - dest <= 66; - src <= 52; - when "0100110" => - dest <= 57; - src <= 66; - when "0100111" => - dest <= 53; - src <= 9; - when "0101000" => - dest <= 15; - src <= 42; - when "0101001" => - dest <= 3; - src <= 75; - when "0101010" => - dest <= 36; - src <= 19; - when "0101011" => - dest <= 66; - src <= 32; - when "0101100" => - dest <= 23; - src <= 66; - when "0101101" => - dest <= 28; - src <= 48; - when "0101110" => - dest <= 7; - src <= 66; - when "0101111" => - dest <= 66; - src <= 7; - when "0110000" => - dest <= 66; - src <= 36; - when "0110001" => - dest <= 61; - src <= 66; - when "0110010" => - dest <= 62; - src <= 15; - when "0110011" => - dest <= 66; - src <= 66; - when "0110100" => - dest <= 51; - src <= 28; - when "0110101" => - dest <= 66; - src <= 23; - when "0110110" => - dest <= 80; - src <= 53; - when "0110111" => - dest <= 41; - src <= 66; - when "0111000" => - dest <= 66; - src <= 80; - when "0111001" => - dest <= 0; - src <= 79; - when "0111010" => - dest <= 31; - src <= 66; - when "0111011" => - dest <= 10; - src <= 0; - when "0111100" => - dest <= 66; - src <= 66; - when "0111101" => - dest <= 22; - src <= 61; - when "0111110" => - dest <= 29; - src <= 10; - when "0111111" => - dest <= 66; - src <= 66; - when "1000000" => - dest <= 14; - src <= 51; - when "1000001" => - dest <= 66; - src <= 20; - when "1000010" => - dest <= 45; - src <= 41; - when "1000011" => - dest <= 6; - src <= 66; - when "1000100" => - dest <= 37; - src <= 29; - when "1000101" => - dest <= 66; - src <= 22; - when "1000110" => - dest <= 79; - src <= 66; - when "1000111" => - dest <= 66; - src <= 37; - when "1001000" => - dest <= 54; - src <= 66; - when "1001001" => - dest <= 60; - src <= 6; - when "1001010" => - dest <= 40; - src <= 45; - when "1001011" => - dest <= 20; - src <= 14; - when "1001100" => - dest <= 66; - src <= 66; - when "1001101" => - dest <= 50; - src <= 62; - when "1001110" => - dest <= 66; - src <= 78; - when "1001111" => - dest <= 72; - src <= 72; - when "1010000" => - dest <= 58; - src <= 11; - when "1010001" => - dest <= 1; - src <= 66; - when "1010010" => - dest <= 38; - src <= 31; - when "1010011" => - dest <= 13; - src <= 1; - when "1010100" => - dest <= 66; - src <= 74; - when "1010101" => - dest <= 46; - src <= 60; - when "1010110" => - dest <= 5; - src <= 66; - when "1010111" => - dest <= 66; - src <= 50; - when "1011000" => - dest <= 78; - src <= 13; - when "1011001" => - dest <= 56; - src <= 38; - when "1011010" => - dest <= 59; - src <= 66; - when "1011011" => - dest <= 55; - src <= 5; - when "1011100" => - dest <= 11; - src <= 46; - when "1011101" => - dest <= 48; - src <= 76; - when "1011110" => - dest <= 77; - src <= 54; - when "1011111" => - dest <= 73; - src <= 73; - when "1100000" => - dest <= 47; - src <= 77; - when "1100001" => - dest <= 4; - src <= 3; - when "1100010" => - dest <= 39; - src <= 40; - when "1100011" => - dest <= 69; - src <= 55; - when "1100100" => - dest <= 2; - src <= 59; - when "1100101" => - dest <= 66; - src <= 4; - when "1100110" => - dest <= 12; - src <= 47; - when "1100111" => - dest <= 66; - src <= 12; - when "1101000" => - dest <= 76; - src <= 63; - when "1101001" => - dest <= 68; - src <= 49; - when "1101010" => - dest <= 74; - src <= 66; - when "1101011" => - dest <= 66; - src <= 39; - when "1101100" => - dest <= 64; - src <= 56; - when "1101101" => - dest <= 66; - src <= 64; - when "1101110" => - dest <= 66; - src <= 58; - when "1101111" => - dest <= 34; - src <= 66; - when "1110000" => - dest <= 26; - src <= 68; - when others => - dest <= 66; - src <= 66; - - end case; - end process; - - end generate NI_NUM66; - - NI_NUM67 : if NI_NUM = 67 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 64; - src <= 67; - when "0000001" => - dest <= 68; - src <= 67; - when "0000010" => - dest <= 67; - src <= 67; - when "0000011" => - dest <= 27; - src <= 67; - when "0000100" => - dest <= 22; - src <= 66; - when "0000101" => - dest <= 67; - src <= 70; - when "0000110" => - dest <= 26; - src <= 67; - when "0000111" => - dest <= 67; - src <= 67; - when "0001000" => - dest <= 44; - src <= 18; - when "0001001" => - dest <= 76; - src <= 35; - when "0001010" => - dest <= 67; - src <= 31; - when "0001011" => - dest <= 34; - src <= 67; - when "0001100" => - dest <= 63; - src <= 58; - when "0001101" => - dest <= 67; - src <= 26; - when "0001110" => - dest <= 9; - src <= 67; - when "0001111" => - dest <= 31; - src <= 67; - when "0010000" => - dest <= 66; - src <= 27; - when "0010001" => - dest <= 19; - src <= 9; - when "0010010" => - dest <= 25; - src <= 71; - when "0010011" => - dest <= 50; - src <= 68; - when "0010100" => - dest <= 67; - src <= 19; - when "0010101" => - dest <= 36; - src <= 22; - when "0010110" => - dest <= 17; - src <= 67; - when "0010111" => - dest <= 67; - src <= 44; - when "0011000" => - dest <= 28; - src <= 3; - when "0011001" => - dest <= 67; - src <= 67; - when "0011010" => - dest <= 71; - src <= 34; - when "0011011" => - dest <= 67; - src <= 28; - when "0011100" => - dest <= 53; - src <= 67; - when "0011101" => - dest <= 0; - src <= 67; - when "0011110" => - dest <= 67; - src <= 17; - when "0011111" => - dest <= 43; - src <= 36; - when "0100000" => - dest <= 10; - src <= 63; - when "0100001" => - dest <= 67; - src <= 25; - when "0100010" => - dest <= 33; - src <= 67; - when "0100011" => - dest <= 20; - src <= 67; - when "0100100" => - dest <= 67; - src <= 0; - when "0100101" => - dest <= 67; - src <= 53; - when "0100110" => - dest <= 58; - src <= 67; - when "0100111" => - dest <= 45; - src <= 10; - when "0101000" => - dest <= 16; - src <= 43; - when "0101001" => - dest <= 4; - src <= 76; - when "0101010" => - dest <= 37; - src <= 20; - when "0101011" => - dest <= 67; - src <= 33; - when "0101100" => - dest <= 24; - src <= 67; - when "0101101" => - dest <= 29; - src <= 49; - when "0101110" => - dest <= 8; - src <= 67; - when "0101111" => - dest <= 67; - src <= 8; - when "0110000" => - dest <= 67; - src <= 37; - when "0110001" => - dest <= 62; - src <= 67; - when "0110010" => - dest <= 54; - src <= 16; - when "0110011" => - dest <= 67; - src <= 67; - when "0110100" => - dest <= 52; - src <= 29; - when "0110101" => - dest <= 67; - src <= 24; - when "0110110" => - dest <= 72; - src <= 45; - when "0110111" => - dest <= 42; - src <= 67; - when "0111000" => - dest <= 67; - src <= 72; - when "0111001" => - dest <= 1; - src <= 80; - when "0111010" => - dest <= 32; - src <= 67; - when "0111011" => - dest <= 11; - src <= 1; - when "0111100" => - dest <= 67; - src <= 67; - when "0111101" => - dest <= 23; - src <= 62; - when "0111110" => - dest <= 30; - src <= 11; - when "0111111" => - dest <= 67; - src <= 67; - when "1000000" => - dest <= 15; - src <= 52; - when "1000001" => - dest <= 67; - src <= 21; - when "1000010" => - dest <= 46; - src <= 42; - when "1000011" => - dest <= 7; - src <= 67; - when "1000100" => - dest <= 38; - src <= 30; - when "1000101" => - dest <= 67; - src <= 23; - when "1000110" => - dest <= 80; - src <= 67; - when "1000111" => - dest <= 67; - src <= 38; - when "1001000" => - dest <= 55; - src <= 67; - when "1001001" => - dest <= 61; - src <= 7; - when "1001010" => - dest <= 41; - src <= 46; - when "1001011" => - dest <= 21; - src <= 15; - when "1001100" => - dest <= 67; - src <= 67; - when "1001101" => - dest <= 51; - src <= 54; - when "1001110" => - dest <= 67; - src <= 79; - when "1001111" => - dest <= 73; - src <= 73; - when "1010000" => - dest <= 59; - src <= 12; - when "1010001" => - dest <= 2; - src <= 67; - when "1010010" => - dest <= 39; - src <= 32; - when "1010011" => - dest <= 14; - src <= 2; - when "1010100" => - dest <= 67; - src <= 75; - when "1010101" => - dest <= 47; - src <= 61; - when "1010110" => - dest <= 6; - src <= 67; - when "1010111" => - dest <= 67; - src <= 51; - when "1011000" => - dest <= 79; - src <= 14; - when "1011001" => - dest <= 57; - src <= 39; - when "1011010" => - dest <= 60; - src <= 67; - when "1011011" => - dest <= 56; - src <= 6; - when "1011100" => - dest <= 12; - src <= 47; - when "1011101" => - dest <= 49; - src <= 77; - when "1011110" => - dest <= 78; - src <= 55; - when "1011111" => - dest <= 74; - src <= 74; - when "1100000" => - dest <= 48; - src <= 78; - when "1100001" => - dest <= 5; - src <= 4; - when "1100010" => - dest <= 40; - src <= 41; - when "1100011" => - dest <= 70; - src <= 56; - when "1100100" => - dest <= 3; - src <= 60; - when "1100101" => - dest <= 67; - src <= 5; - when "1100110" => - dest <= 13; - src <= 48; - when "1100111" => - dest <= 67; - src <= 13; - when "1101000" => - dest <= 77; - src <= 64; - when "1101001" => - dest <= 69; - src <= 50; - when "1101010" => - dest <= 75; - src <= 67; - when "1101011" => - dest <= 67; - src <= 40; - when "1101100" => - dest <= 65; - src <= 57; - when "1101101" => - dest <= 67; - src <= 65; - when "1101110" => - dest <= 67; - src <= 59; - when "1101111" => - dest <= 35; - src <= 67; - when "1110000" => - dest <= 18; - src <= 69; - when others => - dest <= 67; - src <= 67; - - end case; - end process; - - end generate NI_NUM67; - - NI_NUM68 : if NI_NUM = 68 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 65; - src <= 68; - when "0000001" => - dest <= 69; - src <= 68; - when "0000010" => - dest <= 68; - src <= 68; - when "0000011" => - dest <= 28; - src <= 68; - when "0000100" => - dest <= 23; - src <= 67; - when "0000101" => - dest <= 68; - src <= 71; - when "0000110" => - dest <= 18; - src <= 68; - when "0000111" => - dest <= 68; - src <= 68; - when "0001000" => - dest <= 36; - src <= 19; - when "0001001" => - dest <= 77; - src <= 27; - when "0001010" => - dest <= 68; - src <= 32; - when "0001011" => - dest <= 35; - src <= 68; - when "0001100" => - dest <= 64; - src <= 59; - when "0001101" => - dest <= 68; - src <= 18; - when "0001110" => - dest <= 10; - src <= 68; - when "0001111" => - dest <= 32; - src <= 68; - when "0010000" => - dest <= 67; - src <= 28; - when "0010001" => - dest <= 20; - src <= 10; - when "0010010" => - dest <= 26; - src <= 63; - when "0010011" => - dest <= 51; - src <= 69; - when "0010100" => - dest <= 68; - src <= 20; - when "0010101" => - dest <= 37; - src <= 23; - when "0010110" => - dest <= 9; - src <= 68; - when "0010111" => - dest <= 68; - src <= 36; - when "0011000" => - dest <= 29; - src <= 4; - when "0011001" => - dest <= 68; - src <= 68; - when "0011010" => - dest <= 63; - src <= 35; - when "0011011" => - dest <= 68; - src <= 29; - when "0011100" => - dest <= 45; - src <= 68; - when "0011101" => - dest <= 1; - src <= 68; - when "0011110" => - dest <= 68; - src <= 9; - when "0011111" => - dest <= 44; - src <= 37; - when "0100000" => - dest <= 11; - src <= 64; - when "0100001" => - dest <= 68; - src <= 26; - when "0100010" => - dest <= 34; - src <= 68; - when "0100011" => - dest <= 21; - src <= 68; - when "0100100" => - dest <= 68; - src <= 1; - when "0100101" => - dest <= 68; - src <= 45; - when "0100110" => - dest <= 59; - src <= 68; - when "0100111" => - dest <= 46; - src <= 11; - when "0101000" => - dest <= 17; - src <= 44; - when "0101001" => - dest <= 5; - src <= 77; - when "0101010" => - dest <= 38; - src <= 21; - when "0101011" => - dest <= 68; - src <= 34; - when "0101100" => - dest <= 25; - src <= 68; - when "0101101" => - dest <= 30; - src <= 50; - when "0101110" => - dest <= 0; - src <= 68; - when "0101111" => - dest <= 68; - src <= 0; - when "0110000" => - dest <= 68; - src <= 38; - when "0110001" => - dest <= 54; - src <= 68; - when "0110010" => - dest <= 55; - src <= 17; - when "0110011" => - dest <= 68; - src <= 68; - when "0110100" => - dest <= 53; - src <= 30; - when "0110101" => - dest <= 68; - src <= 25; - when "0110110" => - dest <= 73; - src <= 46; - when "0110111" => - dest <= 43; - src <= 68; - when "0111000" => - dest <= 68; - src <= 73; - when "0111001" => - dest <= 2; - src <= 72; - when "0111010" => - dest <= 33; - src <= 68; - when "0111011" => - dest <= 12; - src <= 2; - when "0111100" => - dest <= 68; - src <= 68; - when "0111101" => - dest <= 24; - src <= 54; - when "0111110" => - dest <= 31; - src <= 12; - when "0111111" => - dest <= 68; - src <= 68; - when "1000000" => - dest <= 16; - src <= 53; - when "1000001" => - dest <= 68; - src <= 22; - when "1000010" => - dest <= 47; - src <= 43; - when "1000011" => - dest <= 8; - src <= 68; - when "1000100" => - dest <= 39; - src <= 31; - when "1000101" => - dest <= 68; - src <= 24; - when "1000110" => - dest <= 72; - src <= 68; - when "1000111" => - dest <= 68; - src <= 39; - when "1001000" => - dest <= 56; - src <= 68; - when "1001001" => - dest <= 62; - src <= 8; - when "1001010" => - dest <= 42; - src <= 47; - when "1001011" => - dest <= 22; - src <= 16; - when "1001100" => - dest <= 68; - src <= 68; - when "1001101" => - dest <= 52; - src <= 55; - when "1001110" => - dest <= 68; - src <= 80; - when "1001111" => - dest <= 74; - src <= 74; - when "1010000" => - dest <= 60; - src <= 13; - when "1010001" => - dest <= 3; - src <= 68; - when "1010010" => - dest <= 40; - src <= 33; - when "1010011" => - dest <= 15; - src <= 3; - when "1010100" => - dest <= 68; - src <= 76; - when "1010101" => - dest <= 48; - src <= 62; - when "1010110" => - dest <= 7; - src <= 68; - when "1010111" => - dest <= 68; - src <= 52; - when "1011000" => - dest <= 80; - src <= 15; - when "1011001" => - dest <= 58; - src <= 40; - when "1011010" => - dest <= 61; - src <= 68; - when "1011011" => - dest <= 57; - src <= 7; - when "1011100" => - dest <= 13; - src <= 48; - when "1011101" => - dest <= 50; - src <= 78; - when "1011110" => - dest <= 79; - src <= 56; - when "1011111" => - dest <= 75; - src <= 75; - when "1100000" => - dest <= 49; - src <= 79; - when "1100001" => - dest <= 6; - src <= 5; - when "1100010" => - dest <= 41; - src <= 42; - when "1100011" => - dest <= 71; - src <= 57; - when "1100100" => - dest <= 4; - src <= 61; - when "1100101" => - dest <= 68; - src <= 6; - when "1100110" => - dest <= 14; - src <= 49; - when "1100111" => - dest <= 68; - src <= 14; - when "1101000" => - dest <= 78; - src <= 65; - when "1101001" => - dest <= 70; - src <= 51; - when "1101010" => - dest <= 76; - src <= 68; - when "1101011" => - dest <= 68; - src <= 41; - when "1101100" => - dest <= 66; - src <= 58; - when "1101101" => - dest <= 68; - src <= 66; - when "1101110" => - dest <= 68; - src <= 60; - when "1101111" => - dest <= 27; - src <= 68; - when "1110000" => - dest <= 19; - src <= 70; - when others => - dest <= 68; - src <= 68; - - end case; - end process; - - end generate NI_NUM68; - - NI_NUM69 : if NI_NUM = 69 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 66; - src <= 69; - when "0000001" => - dest <= 70; - src <= 69; - when "0000010" => - dest <= 69; - src <= 69; - when "0000011" => - dest <= 29; - src <= 69; - when "0000100" => - dest <= 24; - src <= 68; - when "0000101" => - dest <= 69; - src <= 63; - when "0000110" => - dest <= 19; - src <= 69; - when "0000111" => - dest <= 69; - src <= 69; - when "0001000" => - dest <= 37; - src <= 20; - when "0001001" => - dest <= 78; - src <= 28; - when "0001010" => - dest <= 69; - src <= 33; - when "0001011" => - dest <= 27; - src <= 69; - when "0001100" => - dest <= 65; - src <= 60; - when "0001101" => - dest <= 69; - src <= 19; - when "0001110" => - dest <= 11; - src <= 69; - when "0001111" => - dest <= 33; - src <= 69; - when "0010000" => - dest <= 68; - src <= 29; - when "0010001" => - dest <= 21; - src <= 11; - when "0010010" => - dest <= 18; - src <= 64; - when "0010011" => - dest <= 52; - src <= 70; - when "0010100" => - dest <= 69; - src <= 21; - when "0010101" => - dest <= 38; - src <= 24; - when "0010110" => - dest <= 10; - src <= 69; - when "0010111" => - dest <= 69; - src <= 37; - when "0011000" => - dest <= 30; - src <= 5; - when "0011001" => - dest <= 69; - src <= 69; - when "0011010" => - dest <= 64; - src <= 27; - when "0011011" => - dest <= 69; - src <= 30; - when "0011100" => - dest <= 46; - src <= 69; - when "0011101" => - dest <= 2; - src <= 69; - when "0011110" => - dest <= 69; - src <= 10; - when "0011111" => - dest <= 36; - src <= 38; - when "0100000" => - dest <= 12; - src <= 65; - when "0100001" => - dest <= 69; - src <= 18; - when "0100010" => - dest <= 35; - src <= 69; - when "0100011" => - dest <= 22; - src <= 69; - when "0100100" => - dest <= 69; - src <= 2; - when "0100101" => - dest <= 69; - src <= 46; - when "0100110" => - dest <= 60; - src <= 69; - when "0100111" => - dest <= 47; - src <= 12; - when "0101000" => - dest <= 9; - src <= 36; - when "0101001" => - dest <= 6; - src <= 78; - when "0101010" => - dest <= 39; - src <= 22; - when "0101011" => - dest <= 69; - src <= 35; - when "0101100" => - dest <= 26; - src <= 69; - when "0101101" => - dest <= 31; - src <= 51; - when "0101110" => - dest <= 1; - src <= 69; - when "0101111" => - dest <= 69; - src <= 1; - when "0110000" => - dest <= 69; - src <= 39; - when "0110001" => - dest <= 55; - src <= 69; - when "0110010" => - dest <= 56; - src <= 9; - when "0110011" => - dest <= 69; - src <= 69; - when "0110100" => - dest <= 45; - src <= 31; - when "0110101" => - dest <= 69; - src <= 26; - when "0110110" => - dest <= 74; - src <= 47; - when "0110111" => - dest <= 44; - src <= 69; - when "0111000" => - dest <= 69; - src <= 74; - when "0111001" => - dest <= 3; - src <= 73; - when "0111010" => - dest <= 34; - src <= 69; - when "0111011" => - dest <= 13; - src <= 3; - when "0111100" => - dest <= 69; - src <= 69; - when "0111101" => - dest <= 25; - src <= 55; - when "0111110" => - dest <= 32; - src <= 13; - when "0111111" => - dest <= 69; - src <= 69; - when "1000000" => - dest <= 17; - src <= 45; - when "1000001" => - dest <= 69; - src <= 23; - when "1000010" => - dest <= 48; - src <= 44; - when "1000011" => - dest <= 0; - src <= 69; - when "1000100" => - dest <= 40; - src <= 32; - when "1000101" => - dest <= 69; - src <= 25; - when "1000110" => - dest <= 73; - src <= 69; - when "1000111" => - dest <= 69; - src <= 40; - when "1001000" => - dest <= 57; - src <= 69; - when "1001001" => - dest <= 54; - src <= 0; - when "1001010" => - dest <= 43; - src <= 48; - when "1001011" => - dest <= 23; - src <= 17; - when "1001100" => - dest <= 69; - src <= 69; - when "1001101" => - dest <= 53; - src <= 56; - when "1001110" => - dest <= 69; - src <= 72; - when "1001111" => - dest <= 75; - src <= 75; - when "1010000" => - dest <= 61; - src <= 14; - when "1010001" => - dest <= 4; - src <= 69; - when "1010010" => - dest <= 41; - src <= 34; - when "1010011" => - dest <= 16; - src <= 4; - when "1010100" => - dest <= 69; - src <= 77; - when "1010101" => - dest <= 49; - src <= 54; - when "1010110" => - dest <= 8; - src <= 69; - when "1010111" => - dest <= 69; - src <= 53; - when "1011000" => - dest <= 72; - src <= 16; - when "1011001" => - dest <= 59; - src <= 41; - when "1011010" => - dest <= 62; - src <= 69; - when "1011011" => - dest <= 58; - src <= 8; - when "1011100" => - dest <= 14; - src <= 49; - when "1011101" => - dest <= 51; - src <= 79; - when "1011110" => - dest <= 80; - src <= 57; - when "1011111" => - dest <= 76; - src <= 76; - when "1100000" => - dest <= 50; - src <= 80; - when "1100001" => - dest <= 7; - src <= 6; - when "1100010" => - dest <= 42; - src <= 43; - when "1100011" => - dest <= 63; - src <= 58; - when "1100100" => - dest <= 5; - src <= 62; - when "1100101" => - dest <= 69; - src <= 7; - when "1100110" => - dest <= 15; - src <= 50; - when "1100111" => - dest <= 69; - src <= 15; - when "1101000" => - dest <= 79; - src <= 66; - when "1101001" => - dest <= 71; - src <= 52; - when "1101010" => - dest <= 77; - src <= 69; - when "1101011" => - dest <= 69; - src <= 42; - when "1101100" => - dest <= 67; - src <= 59; - when "1101101" => - dest <= 69; - src <= 67; - when "1101110" => - dest <= 69; - src <= 61; - when "1101111" => - dest <= 28; - src <= 69; - when "1110000" => - dest <= 20; - src <= 71; - when others => - dest <= 69; - src <= 69; - - end case; - end process; - - end generate NI_NUM69; - - NI_NUM70 : if NI_NUM = 70 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 67; - src <= 70; - when "0000001" => - dest <= 71; - src <= 70; - when "0000010" => - dest <= 70; - src <= 70; - when "0000011" => - dest <= 30; - src <= 70; - when "0000100" => - dest <= 25; - src <= 69; - when "0000101" => - dest <= 70; - src <= 64; - when "0000110" => - dest <= 20; - src <= 70; - when "0000111" => - dest <= 70; - src <= 70; - when "0001000" => - dest <= 38; - src <= 21; - when "0001001" => - dest <= 79; - src <= 29; - when "0001010" => - dest <= 70; - src <= 34; - when "0001011" => - dest <= 28; - src <= 70; - when "0001100" => - dest <= 66; - src <= 61; - when "0001101" => - dest <= 70; - src <= 20; - when "0001110" => - dest <= 12; - src <= 70; - when "0001111" => - dest <= 34; - src <= 70; - when "0010000" => - dest <= 69; - src <= 30; - when "0010001" => - dest <= 22; - src <= 12; - when "0010010" => - dest <= 19; - src <= 65; - when "0010011" => - dest <= 53; - src <= 71; - when "0010100" => - dest <= 70; - src <= 22; - when "0010101" => - dest <= 39; - src <= 25; - when "0010110" => - dest <= 11; - src <= 70; - when "0010111" => - dest <= 70; - src <= 38; - when "0011000" => - dest <= 31; - src <= 6; - when "0011001" => - dest <= 70; - src <= 70; - when "0011010" => - dest <= 65; - src <= 28; - when "0011011" => - dest <= 70; - src <= 31; - when "0011100" => - dest <= 47; - src <= 70; - when "0011101" => - dest <= 3; - src <= 70; - when "0011110" => - dest <= 70; - src <= 11; - when "0011111" => - dest <= 37; - src <= 39; - when "0100000" => - dest <= 13; - src <= 66; - when "0100001" => - dest <= 70; - src <= 19; - when "0100010" => - dest <= 27; - src <= 70; - when "0100011" => - dest <= 23; - src <= 70; - when "0100100" => - dest <= 70; - src <= 3; - when "0100101" => - dest <= 70; - src <= 47; - when "0100110" => - dest <= 61; - src <= 70; - when "0100111" => - dest <= 48; - src <= 13; - when "0101000" => - dest <= 10; - src <= 37; - when "0101001" => - dest <= 7; - src <= 79; - when "0101010" => - dest <= 40; - src <= 23; - when "0101011" => - dest <= 70; - src <= 27; - when "0101100" => - dest <= 18; - src <= 70; - when "0101101" => - dest <= 32; - src <= 52; - when "0101110" => - dest <= 2; - src <= 70; - when "0101111" => - dest <= 70; - src <= 2; - when "0110000" => - dest <= 70; - src <= 40; - when "0110001" => - dest <= 56; - src <= 70; - when "0110010" => - dest <= 57; - src <= 10; - when "0110011" => - dest <= 70; - src <= 70; - when "0110100" => - dest <= 46; - src <= 32; - when "0110101" => - dest <= 70; - src <= 18; - when "0110110" => - dest <= 75; - src <= 48; - when "0110111" => - dest <= 36; - src <= 70; - when "0111000" => - dest <= 70; - src <= 75; - when "0111001" => - dest <= 4; - src <= 74; - when "0111010" => - dest <= 35; - src <= 70; - when "0111011" => - dest <= 14; - src <= 4; - when "0111100" => - dest <= 70; - src <= 70; - when "0111101" => - dest <= 26; - src <= 56; - when "0111110" => - dest <= 33; - src <= 14; - when "0111111" => - dest <= 70; - src <= 70; - when "1000000" => - dest <= 9; - src <= 46; - when "1000001" => - dest <= 70; - src <= 24; - when "1000010" => - dest <= 49; - src <= 36; - when "1000011" => - dest <= 1; - src <= 70; - when "1000100" => - dest <= 41; - src <= 33; - when "1000101" => - dest <= 70; - src <= 26; - when "1000110" => - dest <= 74; - src <= 70; - when "1000111" => - dest <= 70; - src <= 41; - when "1001000" => - dest <= 58; - src <= 70; - when "1001001" => - dest <= 55; - src <= 1; - when "1001010" => - dest <= 44; - src <= 49; - when "1001011" => - dest <= 24; - src <= 9; - when "1001100" => - dest <= 70; - src <= 70; - when "1001101" => - dest <= 45; - src <= 57; - when "1001110" => - dest <= 70; - src <= 73; - when "1001111" => - dest <= 76; - src <= 76; - when "1010000" => - dest <= 62; - src <= 15; - when "1010001" => - dest <= 5; - src <= 70; - when "1010010" => - dest <= 42; - src <= 35; - when "1010011" => - dest <= 17; - src <= 5; - when "1010100" => - dest <= 70; - src <= 78; - when "1010101" => - dest <= 50; - src <= 55; - when "1010110" => - dest <= 0; - src <= 70; - when "1010111" => - dest <= 70; - src <= 45; - when "1011000" => - dest <= 73; - src <= 17; - when "1011001" => - dest <= 60; - src <= 42; - when "1011010" => - dest <= 54; - src <= 70; - when "1011011" => - dest <= 59; - src <= 0; - when "1011100" => - dest <= 15; - src <= 50; - when "1011101" => - dest <= 52; - src <= 80; - when "1011110" => - dest <= 72; - src <= 58; - when "1011111" => - dest <= 77; - src <= 77; - when "1100000" => - dest <= 51; - src <= 72; - when "1100001" => - dest <= 8; - src <= 7; - when "1100010" => - dest <= 43; - src <= 44; - when "1100011" => - dest <= 64; - src <= 59; - when "1100100" => - dest <= 6; - src <= 54; - when "1100101" => - dest <= 70; - src <= 8; - when "1100110" => - dest <= 16; - src <= 51; - when "1100111" => - dest <= 70; - src <= 16; - when "1101000" => - dest <= 80; - src <= 67; - when "1101001" => - dest <= 63; - src <= 53; - when "1101010" => - dest <= 78; - src <= 70; - when "1101011" => - dest <= 70; - src <= 43; - when "1101100" => - dest <= 68; - src <= 60; - when "1101101" => - dest <= 70; - src <= 68; - when "1101110" => - dest <= 70; - src <= 62; - when "1101111" => - dest <= 29; - src <= 70; - when "1110000" => - dest <= 21; - src <= 63; - when others => - dest <= 70; - src <= 70; - - end case; - end process; - - end generate NI_NUM70; - - NI_NUM71 : if NI_NUM = 71 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 68; - src <= 71; - when "0000001" => - dest <= 63; - src <= 71; - when "0000010" => - dest <= 71; - src <= 71; - when "0000011" => - dest <= 31; - src <= 71; - when "0000100" => - dest <= 26; - src <= 70; - when "0000101" => - dest <= 71; - src <= 65; - when "0000110" => - dest <= 21; - src <= 71; - when "0000111" => - dest <= 71; - src <= 71; - when "0001000" => - dest <= 39; - src <= 22; - when "0001001" => - dest <= 80; - src <= 30; - when "0001010" => - dest <= 71; - src <= 35; - when "0001011" => - dest <= 29; - src <= 71; - when "0001100" => - dest <= 67; - src <= 62; - when "0001101" => - dest <= 71; - src <= 21; - when "0001110" => - dest <= 13; - src <= 71; - when "0001111" => - dest <= 35; - src <= 71; - when "0010000" => - dest <= 70; - src <= 31; - when "0010001" => - dest <= 23; - src <= 13; - when "0010010" => - dest <= 20; - src <= 66; - when "0010011" => - dest <= 45; - src <= 63; - when "0010100" => - dest <= 71; - src <= 23; - when "0010101" => - dest <= 40; - src <= 26; - when "0010110" => - dest <= 12; - src <= 71; - when "0010111" => - dest <= 71; - src <= 39; - when "0011000" => - dest <= 32; - src <= 7; - when "0011001" => - dest <= 71; - src <= 71; - when "0011010" => - dest <= 66; - src <= 29; - when "0011011" => - dest <= 71; - src <= 32; - when "0011100" => - dest <= 48; - src <= 71; - when "0011101" => - dest <= 4; - src <= 71; - when "0011110" => - dest <= 71; - src <= 12; - when "0011111" => - dest <= 38; - src <= 40; - when "0100000" => - dest <= 14; - src <= 67; - when "0100001" => - dest <= 71; - src <= 20; - when "0100010" => - dest <= 28; - src <= 71; - when "0100011" => - dest <= 24; - src <= 71; - when "0100100" => - dest <= 71; - src <= 4; - when "0100101" => - dest <= 71; - src <= 48; - when "0100110" => - dest <= 62; - src <= 71; - when "0100111" => - dest <= 49; - src <= 14; - when "0101000" => - dest <= 11; - src <= 38; - when "0101001" => - dest <= 8; - src <= 80; - when "0101010" => - dest <= 41; - src <= 24; - when "0101011" => - dest <= 71; - src <= 28; - when "0101100" => - dest <= 19; - src <= 71; - when "0101101" => - dest <= 33; - src <= 53; - when "0101110" => - dest <= 3; - src <= 71; - when "0101111" => - dest <= 71; - src <= 3; - when "0110000" => - dest <= 71; - src <= 41; - when "0110001" => - dest <= 57; - src <= 71; - when "0110010" => - dest <= 58; - src <= 11; - when "0110011" => - dest <= 71; - src <= 71; - when "0110100" => - dest <= 47; - src <= 33; - when "0110101" => - dest <= 71; - src <= 19; - when "0110110" => - dest <= 76; - src <= 49; - when "0110111" => - dest <= 37; - src <= 71; - when "0111000" => - dest <= 71; - src <= 76; - when "0111001" => - dest <= 5; - src <= 75; - when "0111010" => - dest <= 27; - src <= 71; - when "0111011" => - dest <= 15; - src <= 5; - when "0111100" => - dest <= 71; - src <= 71; - when "0111101" => - dest <= 18; - src <= 57; - when "0111110" => - dest <= 34; - src <= 15; - when "0111111" => - dest <= 71; - src <= 71; - when "1000000" => - dest <= 10; - src <= 47; - when "1000001" => - dest <= 71; - src <= 25; - when "1000010" => - dest <= 50; - src <= 37; - when "1000011" => - dest <= 2; - src <= 71; - when "1000100" => - dest <= 42; - src <= 34; - when "1000101" => - dest <= 71; - src <= 18; - when "1000110" => - dest <= 75; - src <= 71; - when "1000111" => - dest <= 71; - src <= 42; - when "1001000" => - dest <= 59; - src <= 71; - when "1001001" => - dest <= 56; - src <= 2; - when "1001010" => - dest <= 36; - src <= 50; - when "1001011" => - dest <= 25; - src <= 10; - when "1001100" => - dest <= 71; - src <= 71; - when "1001101" => - dest <= 46; - src <= 58; - when "1001110" => - dest <= 71; - src <= 74; - when "1001111" => - dest <= 77; - src <= 77; - when "1010000" => - dest <= 54; - src <= 16; - when "1010001" => - dest <= 6; - src <= 71; - when "1010010" => - dest <= 43; - src <= 27; - when "1010011" => - dest <= 9; - src <= 6; - when "1010100" => - dest <= 71; - src <= 79; - when "1010101" => - dest <= 51; - src <= 56; - when "1010110" => - dest <= 1; - src <= 71; - when "1010111" => - dest <= 71; - src <= 46; - when "1011000" => - dest <= 74; - src <= 9; - when "1011001" => - dest <= 61; - src <= 43; - when "1011010" => - dest <= 55; - src <= 71; - when "1011011" => - dest <= 60; - src <= 1; - when "1011100" => - dest <= 16; - src <= 51; - when "1011101" => - dest <= 53; - src <= 72; - when "1011110" => - dest <= 73; - src <= 59; - when "1011111" => - dest <= 78; - src <= 78; - when "1100000" => - dest <= 52; - src <= 73; - when "1100001" => - dest <= 0; - src <= 8; - when "1100010" => - dest <= 44; - src <= 36; - when "1100011" => - dest <= 65; - src <= 60; - when "1100100" => - dest <= 7; - src <= 55; - when "1100101" => - dest <= 71; - src <= 0; - when "1100110" => - dest <= 17; - src <= 52; - when "1100111" => - dest <= 71; - src <= 17; - when "1101000" => - dest <= 72; - src <= 68; - when "1101001" => - dest <= 64; - src <= 45; - when "1101010" => - dest <= 79; - src <= 71; - when "1101011" => - dest <= 71; - src <= 44; - when "1101100" => - dest <= 69; - src <= 61; - when "1101101" => - dest <= 71; - src <= 69; - when "1101110" => - dest <= 71; - src <= 54; - when "1101111" => - dest <= 30; - src <= 71; - when "1110000" => - dest <= 22; - src <= 64; - when others => - dest <= 71; - src <= 71; - - end case; - end process; - - end generate NI_NUM71; - - NI_NUM72 : if NI_NUM = 72 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 78; - src <= 72; - when "0000001" => - dest <= 73; - src <= 72; - when "0000010" => - dest <= 72; - src <= 72; - when "0000011" => - dest <= 41; - src <= 72; - when "0000100" => - dest <= 27; - src <= 80; - when "0000101" => - dest <= 72; - src <= 75; - when "0000110" => - dest <= 31; - src <= 72; - when "0000111" => - dest <= 72; - src <= 72; - when "0001000" => - dest <= 49; - src <= 32; - when "0001001" => - dest <= 0; - src <= 40; - when "0001010" => - dest <= 72; - src <= 36; - when "0001011" => - dest <= 39; - src <= 72; - when "0001100" => - dest <= 77; - src <= 63; - when "0001101" => - dest <= 72; - src <= 31; - when "0001110" => - dest <= 23; - src <= 72; - when "0001111" => - dest <= 36; - src <= 72; - when "0010000" => - dest <= 80; - src <= 41; - when "0010001" => - dest <= 33; - src <= 23; - when "0010010" => - dest <= 30; - src <= 76; - when "0010011" => - dest <= 55; - src <= 73; - when "0010100" => - dest <= 72; - src <= 33; - when "0010101" => - dest <= 50; - src <= 27; - when "0010110" => - dest <= 22; - src <= 72; - when "0010111" => - dest <= 72; - src <= 49; - when "0011000" => - dest <= 42; - src <= 17; - when "0011001" => - dest <= 72; - src <= 72; - when "0011010" => - dest <= 76; - src <= 39; - when "0011011" => - dest <= 72; - src <= 42; - when "0011100" => - dest <= 58; - src <= 72; - when "0011101" => - dest <= 14; - src <= 72; - when "0011110" => - dest <= 72; - src <= 22; - when "0011111" => - dest <= 48; - src <= 50; - when "0100000" => - dest <= 24; - src <= 77; - when "0100001" => - dest <= 72; - src <= 30; - when "0100010" => - dest <= 38; - src <= 72; - when "0100011" => - dest <= 34; - src <= 72; - when "0100100" => - dest <= 72; - src <= 14; - when "0100101" => - dest <= 72; - src <= 58; - when "0100110" => - dest <= 63; - src <= 72; - when "0100111" => - dest <= 59; - src <= 24; - when "0101000" => - dest <= 21; - src <= 48; - when "0101001" => - dest <= 9; - src <= 0; - when "0101010" => - dest <= 51; - src <= 34; - when "0101011" => - dest <= 72; - src <= 38; - when "0101100" => - dest <= 29; - src <= 72; - when "0101101" => - dest <= 43; - src <= 54; - when "0101110" => - dest <= 13; - src <= 72; - when "0101111" => - dest <= 72; - src <= 13; - when "0110000" => - dest <= 72; - src <= 51; - when "0110001" => - dest <= 67; - src <= 72; - when "0110010" => - dest <= 68; - src <= 21; - when "0110011" => - dest <= 72; - src <= 72; - when "0110100" => - dest <= 57; - src <= 43; - when "0110101" => - dest <= 72; - src <= 29; - when "0110110" => - dest <= 5; - src <= 59; - when "0110111" => - dest <= 47; - src <= 72; - when "0111000" => - dest <= 72; - src <= 5; - when "0111001" => - dest <= 15; - src <= 4; - when "0111010" => - dest <= 37; - src <= 72; - when "0111011" => - dest <= 25; - src <= 15; - when "0111100" => - dest <= 72; - src <= 72; - when "0111101" => - dest <= 28; - src <= 67; - when "0111110" => - dest <= 44; - src <= 25; - when "0111111" => - dest <= 72; - src <= 72; - when "1000000" => - dest <= 20; - src <= 57; - when "1000001" => - dest <= 72; - src <= 35; - when "1000010" => - dest <= 60; - src <= 47; - when "1000011" => - dest <= 12; - src <= 72; - when "1000100" => - dest <= 52; - src <= 44; - when "1000101" => - dest <= 72; - src <= 28; - when "1000110" => - dest <= 4; - src <= 72; - when "1000111" => - dest <= 72; - src <= 52; - when "1001000" => - dest <= 69; - src <= 72; - when "1001001" => - dest <= 66; - src <= 12; - when "1001010" => - dest <= 46; - src <= 60; - when "1001011" => - dest <= 35; - src <= 20; - when "1001100" => - dest <= 72; - src <= 72; - when "1001101" => - dest <= 56; - src <= 68; - when "1001110" => - dest <= 72; - src <= 3; - when "1001111" => - dest <= 6; - src <= 6; - when "1010000" => - dest <= 64; - src <= 26; - when "1010001" => - dest <= 16; - src <= 72; - when "1010010" => - dest <= 53; - src <= 37; - when "1010011" => - dest <= 19; - src <= 16; - when "1010100" => - dest <= 72; - src <= 8; - when "1010101" => - dest <= 61; - src <= 66; - when "1010110" => - dest <= 11; - src <= 72; - when "1010111" => - dest <= 72; - src <= 56; - when "1011000" => - dest <= 3; - src <= 19; - when "1011001" => - dest <= 71; - src <= 53; - when "1011010" => - dest <= 65; - src <= 72; - when "1011011" => - dest <= 70; - src <= 11; - when "1011100" => - dest <= 26; - src <= 61; - when "1011101" => - dest <= 54; - src <= 1; - when "1011110" => - dest <= 2; - src <= 69; - when "1011111" => - dest <= 7; - src <= 7; - when "1100000" => - dest <= 62; - src <= 2; - when "1100001" => - dest <= 10; - src <= 9; - when "1100010" => - dest <= 45; - src <= 46; - when "1100011" => - dest <= 75; - src <= 70; - when "1100100" => - dest <= 17; - src <= 65; - when "1100101" => - dest <= 72; - src <= 10; - when "1100110" => - dest <= 18; - src <= 62; - when "1100111" => - dest <= 72; - src <= 18; - when "1101000" => - dest <= 1; - src <= 78; - when "1101001" => - dest <= 74; - src <= 55; - when "1101010" => - dest <= 8; - src <= 72; - when "1101011" => - dest <= 72; - src <= 45; - when "1101100" => - dest <= 79; - src <= 71; - when "1101101" => - dest <= 72; - src <= 79; - when "1101110" => - dest <= 72; - src <= 64; - when "1101111" => - dest <= 40; - src <= 72; - when "1110000" => - dest <= 32; - src <= 74; - when others => - dest <= 72; - src <= 72; - - end case; - end process; - - end generate NI_NUM72; - - NI_NUM73 : if NI_NUM = 73 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 79; - src <= 73; - when "0000001" => - dest <= 74; - src <= 73; - when "0000010" => - dest <= 73; - src <= 73; - when "0000011" => - dest <= 42; - src <= 73; - when "0000100" => - dest <= 28; - src <= 72; - when "0000101" => - dest <= 73; - src <= 76; - when "0000110" => - dest <= 32; - src <= 73; - when "0000111" => - dest <= 73; - src <= 73; - when "0001000" => - dest <= 50; - src <= 33; - when "0001001" => - dest <= 1; - src <= 41; - when "0001010" => - dest <= 73; - src <= 37; - when "0001011" => - dest <= 40; - src <= 73; - when "0001100" => - dest <= 78; - src <= 64; - when "0001101" => - dest <= 73; - src <= 32; - when "0001110" => - dest <= 24; - src <= 73; - when "0001111" => - dest <= 37; - src <= 73; - when "0010000" => - dest <= 72; - src <= 42; - when "0010001" => - dest <= 34; - src <= 24; - when "0010010" => - dest <= 31; - src <= 77; - when "0010011" => - dest <= 56; - src <= 74; - when "0010100" => - dest <= 73; - src <= 34; - when "0010101" => - dest <= 51; - src <= 28; - when "0010110" => - dest <= 23; - src <= 73; - when "0010111" => - dest <= 73; - src <= 50; - when "0011000" => - dest <= 43; - src <= 9; - when "0011001" => - dest <= 73; - src <= 73; - when "0011010" => - dest <= 77; - src <= 40; - when "0011011" => - dest <= 73; - src <= 43; - when "0011100" => - dest <= 59; - src <= 73; - when "0011101" => - dest <= 15; - src <= 73; - when "0011110" => - dest <= 73; - src <= 23; - when "0011111" => - dest <= 49; - src <= 51; - when "0100000" => - dest <= 25; - src <= 78; - when "0100001" => - dest <= 73; - src <= 31; - when "0100010" => - dest <= 39; - src <= 73; - when "0100011" => - dest <= 35; - src <= 73; - when "0100100" => - dest <= 73; - src <= 15; - when "0100101" => - dest <= 73; - src <= 59; - when "0100110" => - dest <= 64; - src <= 73; - when "0100111" => - dest <= 60; - src <= 25; - when "0101000" => - dest <= 22; - src <= 49; - when "0101001" => - dest <= 10; - src <= 1; - when "0101010" => - dest <= 52; - src <= 35; - when "0101011" => - dest <= 73; - src <= 39; - when "0101100" => - dest <= 30; - src <= 73; - when "0101101" => - dest <= 44; - src <= 55; - when "0101110" => - dest <= 14; - src <= 73; - when "0101111" => - dest <= 73; - src <= 14; - when "0110000" => - dest <= 73; - src <= 52; - when "0110001" => - dest <= 68; - src <= 73; - when "0110010" => - dest <= 69; - src <= 22; - when "0110011" => - dest <= 73; - src <= 73; - when "0110100" => - dest <= 58; - src <= 44; - when "0110101" => - dest <= 73; - src <= 30; - when "0110110" => - dest <= 6; - src <= 60; - when "0110111" => - dest <= 48; - src <= 73; - when "0111000" => - dest <= 73; - src <= 6; - when "0111001" => - dest <= 16; - src <= 5; - when "0111010" => - dest <= 38; - src <= 73; - when "0111011" => - dest <= 26; - src <= 16; - when "0111100" => - dest <= 73; - src <= 73; - when "0111101" => - dest <= 29; - src <= 68; - when "0111110" => - dest <= 36; - src <= 26; - when "0111111" => - dest <= 73; - src <= 73; - when "1000000" => - dest <= 21; - src <= 58; - when "1000001" => - dest <= 73; - src <= 27; - when "1000010" => - dest <= 61; - src <= 48; - when "1000011" => - dest <= 13; - src <= 73; - when "1000100" => - dest <= 53; - src <= 36; - when "1000101" => - dest <= 73; - src <= 29; - when "1000110" => - dest <= 5; - src <= 73; - when "1000111" => - dest <= 73; - src <= 53; - when "1001000" => - dest <= 70; - src <= 73; - when "1001001" => - dest <= 67; - src <= 13; - when "1001010" => - dest <= 47; - src <= 61; - when "1001011" => - dest <= 27; - src <= 21; - when "1001100" => - dest <= 73; - src <= 73; - when "1001101" => - dest <= 57; - src <= 69; - when "1001110" => - dest <= 73; - src <= 4; - when "1001111" => - dest <= 7; - src <= 7; - when "1010000" => - dest <= 65; - src <= 18; - when "1010001" => - dest <= 17; - src <= 73; - when "1010010" => - dest <= 45; - src <= 38; - when "1010011" => - dest <= 20; - src <= 17; - when "1010100" => - dest <= 73; - src <= 0; - when "1010101" => - dest <= 62; - src <= 67; - when "1010110" => - dest <= 12; - src <= 73; - when "1010111" => - dest <= 73; - src <= 57; - when "1011000" => - dest <= 4; - src <= 20; - when "1011001" => - dest <= 63; - src <= 45; - when "1011010" => - dest <= 66; - src <= 73; - when "1011011" => - dest <= 71; - src <= 12; - when "1011100" => - dest <= 18; - src <= 62; - when "1011101" => - dest <= 55; - src <= 2; - when "1011110" => - dest <= 3; - src <= 70; - when "1011111" => - dest <= 8; - src <= 8; - when "1100000" => - dest <= 54; - src <= 3; - when "1100001" => - dest <= 11; - src <= 10; - when "1100010" => - dest <= 46; - src <= 47; - when "1100011" => - dest <= 76; - src <= 71; - when "1100100" => - dest <= 9; - src <= 66; - when "1100101" => - dest <= 73; - src <= 11; - when "1100110" => - dest <= 19; - src <= 54; - when "1100111" => - dest <= 73; - src <= 19; - when "1101000" => - dest <= 2; - src <= 79; - when "1101001" => - dest <= 75; - src <= 56; - when "1101010" => - dest <= 0; - src <= 73; - when "1101011" => - dest <= 73; - src <= 46; - when "1101100" => - dest <= 80; - src <= 63; - when "1101101" => - dest <= 73; - src <= 80; - when "1101110" => - dest <= 73; - src <= 65; - when "1101111" => - dest <= 41; - src <= 73; - when "1110000" => - dest <= 33; - src <= 75; - when others => - dest <= 73; - src <= 73; - - end case; - end process; - - end generate NI_NUM73; - - NI_NUM74 : if NI_NUM = 74 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 80; - src <= 74; - when "0000001" => - dest <= 75; - src <= 74; - when "0000010" => - dest <= 74; - src <= 74; - when "0000011" => - dest <= 43; - src <= 74; - when "0000100" => - dest <= 29; - src <= 73; - when "0000101" => - dest <= 74; - src <= 77; - when "0000110" => - dest <= 33; - src <= 74; - when "0000111" => - dest <= 74; - src <= 74; - when "0001000" => - dest <= 51; - src <= 34; - when "0001001" => - dest <= 2; - src <= 42; - when "0001010" => - dest <= 74; - src <= 38; - when "0001011" => - dest <= 41; - src <= 74; - when "0001100" => - dest <= 79; - src <= 65; - when "0001101" => - dest <= 74; - src <= 33; - when "0001110" => - dest <= 25; - src <= 74; - when "0001111" => - dest <= 38; - src <= 74; - when "0010000" => - dest <= 73; - src <= 43; - when "0010001" => - dest <= 35; - src <= 25; - when "0010010" => - dest <= 32; - src <= 78; - when "0010011" => - dest <= 57; - src <= 75; - when "0010100" => - dest <= 74; - src <= 35; - when "0010101" => - dest <= 52; - src <= 29; - when "0010110" => - dest <= 24; - src <= 74; - when "0010111" => - dest <= 74; - src <= 51; - when "0011000" => - dest <= 44; - src <= 10; - when "0011001" => - dest <= 74; - src <= 74; - when "0011010" => - dest <= 78; - src <= 41; - when "0011011" => - dest <= 74; - src <= 44; - when "0011100" => - dest <= 60; - src <= 74; - when "0011101" => - dest <= 16; - src <= 74; - when "0011110" => - dest <= 74; - src <= 24; - when "0011111" => - dest <= 50; - src <= 52; - when "0100000" => - dest <= 26; - src <= 79; - when "0100001" => - dest <= 74; - src <= 32; - when "0100010" => - dest <= 40; - src <= 74; - when "0100011" => - dest <= 27; - src <= 74; - when "0100100" => - dest <= 74; - src <= 16; - when "0100101" => - dest <= 74; - src <= 60; - when "0100110" => - dest <= 65; - src <= 74; - when "0100111" => - dest <= 61; - src <= 26; - when "0101000" => - dest <= 23; - src <= 50; - when "0101001" => - dest <= 11; - src <= 2; - when "0101010" => - dest <= 53; - src <= 27; - when "0101011" => - dest <= 74; - src <= 40; - when "0101100" => - dest <= 31; - src <= 74; - when "0101101" => - dest <= 36; - src <= 56; - when "0101110" => - dest <= 15; - src <= 74; - when "0101111" => - dest <= 74; - src <= 15; - when "0110000" => - dest <= 74; - src <= 53; - when "0110001" => - dest <= 69; - src <= 74; - when "0110010" => - dest <= 70; - src <= 23; - when "0110011" => - dest <= 74; - src <= 74; - when "0110100" => - dest <= 59; - src <= 36; - when "0110101" => - dest <= 74; - src <= 31; - when "0110110" => - dest <= 7; - src <= 61; - when "0110111" => - dest <= 49; - src <= 74; - when "0111000" => - dest <= 74; - src <= 7; - when "0111001" => - dest <= 17; - src <= 6; - when "0111010" => - dest <= 39; - src <= 74; - when "0111011" => - dest <= 18; - src <= 17; - when "0111100" => - dest <= 74; - src <= 74; - when "0111101" => - dest <= 30; - src <= 69; - when "0111110" => - dest <= 37; - src <= 18; - when "0111111" => - dest <= 74; - src <= 74; - when "1000000" => - dest <= 22; - src <= 59; - when "1000001" => - dest <= 74; - src <= 28; - when "1000010" => - dest <= 62; - src <= 49; - when "1000011" => - dest <= 14; - src <= 74; - when "1000100" => - dest <= 45; - src <= 37; - when "1000101" => - dest <= 74; - src <= 30; - when "1000110" => - dest <= 6; - src <= 74; - when "1000111" => - dest <= 74; - src <= 45; - when "1001000" => - dest <= 71; - src <= 74; - when "1001001" => - dest <= 68; - src <= 14; - when "1001010" => - dest <= 48; - src <= 62; - when "1001011" => - dest <= 28; - src <= 22; - when "1001100" => - dest <= 74; - src <= 74; - when "1001101" => - dest <= 58; - src <= 70; - when "1001110" => - dest <= 74; - src <= 5; - when "1001111" => - dest <= 8; - src <= 8; - when "1010000" => - dest <= 66; - src <= 19; - when "1010001" => - dest <= 9; - src <= 74; - when "1010010" => - dest <= 46; - src <= 39; - when "1010011" => - dest <= 21; - src <= 9; - when "1010100" => - dest <= 74; - src <= 1; - when "1010101" => - dest <= 54; - src <= 68; - when "1010110" => - dest <= 13; - src <= 74; - when "1010111" => - dest <= 74; - src <= 58; - when "1011000" => - dest <= 5; - src <= 21; - when "1011001" => - dest <= 64; - src <= 46; - when "1011010" => - dest <= 67; - src <= 74; - when "1011011" => - dest <= 63; - src <= 13; - when "1011100" => - dest <= 19; - src <= 54; - when "1011101" => - dest <= 56; - src <= 3; - when "1011110" => - dest <= 4; - src <= 71; - when "1011111" => - dest <= 0; - src <= 0; - when "1100000" => - dest <= 55; - src <= 4; - when "1100001" => - dest <= 12; - src <= 11; - when "1100010" => - dest <= 47; - src <= 48; - when "1100011" => - dest <= 77; - src <= 63; - when "1100100" => - dest <= 10; - src <= 67; - when "1100101" => - dest <= 74; - src <= 12; - when "1100110" => - dest <= 20; - src <= 55; - when "1100111" => - dest <= 74; - src <= 20; - when "1101000" => - dest <= 3; - src <= 80; - when "1101001" => - dest <= 76; - src <= 57; - when "1101010" => - dest <= 1; - src <= 74; - when "1101011" => - dest <= 74; - src <= 47; - when "1101100" => - dest <= 72; - src <= 64; - when "1101101" => - dest <= 74; - src <= 72; - when "1101110" => - dest <= 74; - src <= 66; - when "1101111" => - dest <= 42; - src <= 74; - when "1110000" => - dest <= 34; - src <= 76; - when others => - dest <= 74; - src <= 74; - - end case; - end process; - - end generate NI_NUM74; - - NI_NUM75 : if NI_NUM = 75 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 72; - src <= 75; - when "0000001" => - dest <= 76; - src <= 75; - when "0000010" => - dest <= 75; - src <= 75; - when "0000011" => - dest <= 44; - src <= 75; - when "0000100" => - dest <= 30; - src <= 74; - when "0000101" => - dest <= 75; - src <= 78; - when "0000110" => - dest <= 34; - src <= 75; - when "0000111" => - dest <= 75; - src <= 75; - when "0001000" => - dest <= 52; - src <= 35; - when "0001001" => - dest <= 3; - src <= 43; - when "0001010" => - dest <= 75; - src <= 39; - when "0001011" => - dest <= 42; - src <= 75; - when "0001100" => - dest <= 80; - src <= 66; - when "0001101" => - dest <= 75; - src <= 34; - when "0001110" => - dest <= 26; - src <= 75; - when "0001111" => - dest <= 39; - src <= 75; - when "0010000" => - dest <= 74; - src <= 44; - when "0010001" => - dest <= 27; - src <= 26; - when "0010010" => - dest <= 33; - src <= 79; - when "0010011" => - dest <= 58; - src <= 76; - when "0010100" => - dest <= 75; - src <= 27; - when "0010101" => - dest <= 53; - src <= 30; - when "0010110" => - dest <= 25; - src <= 75; - when "0010111" => - dest <= 75; - src <= 52; - when "0011000" => - dest <= 36; - src <= 11; - when "0011001" => - dest <= 75; - src <= 75; - when "0011010" => - dest <= 79; - src <= 42; - when "0011011" => - dest <= 75; - src <= 36; - when "0011100" => - dest <= 61; - src <= 75; - when "0011101" => - dest <= 17; - src <= 75; - when "0011110" => - dest <= 75; - src <= 25; - when "0011111" => - dest <= 51; - src <= 53; - when "0100000" => - dest <= 18; - src <= 80; - when "0100001" => - dest <= 75; - src <= 33; - when "0100010" => - dest <= 41; - src <= 75; - when "0100011" => - dest <= 28; - src <= 75; - when "0100100" => - dest <= 75; - src <= 17; - when "0100101" => - dest <= 75; - src <= 61; - when "0100110" => - dest <= 66; - src <= 75; - when "0100111" => - dest <= 62; - src <= 18; - when "0101000" => - dest <= 24; - src <= 51; - when "0101001" => - dest <= 12; - src <= 3; - when "0101010" => - dest <= 45; - src <= 28; - when "0101011" => - dest <= 75; - src <= 41; - when "0101100" => - dest <= 32; - src <= 75; - when "0101101" => - dest <= 37; - src <= 57; - when "0101110" => - dest <= 16; - src <= 75; - when "0101111" => - dest <= 75; - src <= 16; - when "0110000" => - dest <= 75; - src <= 45; - when "0110001" => - dest <= 70; - src <= 75; - when "0110010" => - dest <= 71; - src <= 24; - when "0110011" => - dest <= 75; - src <= 75; - when "0110100" => - dest <= 60; - src <= 37; - when "0110101" => - dest <= 75; - src <= 32; - when "0110110" => - dest <= 8; - src <= 62; - when "0110111" => - dest <= 50; - src <= 75; - when "0111000" => - dest <= 75; - src <= 8; - when "0111001" => - dest <= 9; - src <= 7; - when "0111010" => - dest <= 40; - src <= 75; - when "0111011" => - dest <= 19; - src <= 9; - when "0111100" => - dest <= 75; - src <= 75; - when "0111101" => - dest <= 31; - src <= 70; - when "0111110" => - dest <= 38; - src <= 19; - when "0111111" => - dest <= 75; - src <= 75; - when "1000000" => - dest <= 23; - src <= 60; - when "1000001" => - dest <= 75; - src <= 29; - when "1000010" => - dest <= 54; - src <= 50; - when "1000011" => - dest <= 15; - src <= 75; - when "1000100" => - dest <= 46; - src <= 38; - when "1000101" => - dest <= 75; - src <= 31; - when "1000110" => - dest <= 7; - src <= 75; - when "1000111" => - dest <= 75; - src <= 46; - when "1001000" => - dest <= 63; - src <= 75; - when "1001001" => - dest <= 69; - src <= 15; - when "1001010" => - dest <= 49; - src <= 54; - when "1001011" => - dest <= 29; - src <= 23; - when "1001100" => - dest <= 75; - src <= 75; - when "1001101" => - dest <= 59; - src <= 71; - when "1001110" => - dest <= 75; - src <= 6; - when "1001111" => - dest <= 0; - src <= 0; - when "1010000" => - dest <= 67; - src <= 20; - when "1010001" => - dest <= 10; - src <= 75; - when "1010010" => - dest <= 47; - src <= 40; - when "1010011" => - dest <= 22; - src <= 10; - when "1010100" => - dest <= 75; - src <= 2; - when "1010101" => - dest <= 55; - src <= 69; - when "1010110" => - dest <= 14; - src <= 75; - when "1010111" => - dest <= 75; - src <= 59; - when "1011000" => - dest <= 6; - src <= 22; - when "1011001" => - dest <= 65; - src <= 47; - when "1011010" => - dest <= 68; - src <= 75; - when "1011011" => - dest <= 64; - src <= 14; - when "1011100" => - dest <= 20; - src <= 55; - when "1011101" => - dest <= 57; - src <= 4; - when "1011110" => - dest <= 5; - src <= 63; - when "1011111" => - dest <= 1; - src <= 1; - when "1100000" => - dest <= 56; - src <= 5; - when "1100001" => - dest <= 13; - src <= 12; - when "1100010" => - dest <= 48; - src <= 49; - when "1100011" => - dest <= 78; - src <= 64; - when "1100100" => - dest <= 11; - src <= 68; - when "1100101" => - dest <= 75; - src <= 13; - when "1100110" => - dest <= 21; - src <= 56; - when "1100111" => - dest <= 75; - src <= 21; - when "1101000" => - dest <= 4; - src <= 72; - when "1101001" => - dest <= 77; - src <= 58; - when "1101010" => - dest <= 2; - src <= 75; - when "1101011" => - dest <= 75; - src <= 48; - when "1101100" => - dest <= 73; - src <= 65; - when "1101101" => - dest <= 75; - src <= 73; - when "1101110" => - dest <= 75; - src <= 67; - when "1101111" => - dest <= 43; - src <= 75; - when "1110000" => - dest <= 35; - src <= 77; - when others => - dest <= 75; - src <= 75; - - end case; - end process; - - end generate NI_NUM75; - - NI_NUM76 : if NI_NUM = 76 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 73; - src <= 76; - when "0000001" => - dest <= 77; - src <= 76; - when "0000010" => - dest <= 76; - src <= 76; - when "0000011" => - dest <= 36; - src <= 76; - when "0000100" => - dest <= 31; - src <= 75; - when "0000101" => - dest <= 76; - src <= 79; - when "0000110" => - dest <= 35; - src <= 76; - when "0000111" => - dest <= 76; - src <= 76; - when "0001000" => - dest <= 53; - src <= 27; - when "0001001" => - dest <= 4; - src <= 44; - when "0001010" => - dest <= 76; - src <= 40; - when "0001011" => - dest <= 43; - src <= 76; - when "0001100" => - dest <= 72; - src <= 67; - when "0001101" => - dest <= 76; - src <= 35; - when "0001110" => - dest <= 18; - src <= 76; - when "0001111" => - dest <= 40; - src <= 76; - when "0010000" => - dest <= 75; - src <= 36; - when "0010001" => - dest <= 28; - src <= 18; - when "0010010" => - dest <= 34; - src <= 80; - when "0010011" => - dest <= 59; - src <= 77; - when "0010100" => - dest <= 76; - src <= 28; - when "0010101" => - dest <= 45; - src <= 31; - when "0010110" => - dest <= 26; - src <= 76; - when "0010111" => - dest <= 76; - src <= 53; - when "0011000" => - dest <= 37; - src <= 12; - when "0011001" => - dest <= 76; - src <= 76; - when "0011010" => - dest <= 80; - src <= 43; - when "0011011" => - dest <= 76; - src <= 37; - when "0011100" => - dest <= 62; - src <= 76; - when "0011101" => - dest <= 9; - src <= 76; - when "0011110" => - dest <= 76; - src <= 26; - when "0011111" => - dest <= 52; - src <= 45; - when "0100000" => - dest <= 19; - src <= 72; - when "0100001" => - dest <= 76; - src <= 34; - when "0100010" => - dest <= 42; - src <= 76; - when "0100011" => - dest <= 29; - src <= 76; - when "0100100" => - dest <= 76; - src <= 9; - when "0100101" => - dest <= 76; - src <= 62; - when "0100110" => - dest <= 67; - src <= 76; - when "0100111" => - dest <= 54; - src <= 19; - when "0101000" => - dest <= 25; - src <= 52; - when "0101001" => - dest <= 13; - src <= 4; - when "0101010" => - dest <= 46; - src <= 29; - when "0101011" => - dest <= 76; - src <= 42; - when "0101100" => - dest <= 33; - src <= 76; - when "0101101" => - dest <= 38; - src <= 58; - when "0101110" => - dest <= 17; - src <= 76; - when "0101111" => - dest <= 76; - src <= 17; - when "0110000" => - dest <= 76; - src <= 46; - when "0110001" => - dest <= 71; - src <= 76; - when "0110010" => - dest <= 63; - src <= 25; - when "0110011" => - dest <= 76; - src <= 76; - when "0110100" => - dest <= 61; - src <= 38; - when "0110101" => - dest <= 76; - src <= 33; - when "0110110" => - dest <= 0; - src <= 54; - when "0110111" => - dest <= 51; - src <= 76; - when "0111000" => - dest <= 76; - src <= 0; - when "0111001" => - dest <= 10; - src <= 8; - when "0111010" => - dest <= 41; - src <= 76; - when "0111011" => - dest <= 20; - src <= 10; - when "0111100" => - dest <= 76; - src <= 76; - when "0111101" => - dest <= 32; - src <= 71; - when "0111110" => - dest <= 39; - src <= 20; - when "0111111" => - dest <= 76; - src <= 76; - when "1000000" => - dest <= 24; - src <= 61; - when "1000001" => - dest <= 76; - src <= 30; - when "1000010" => - dest <= 55; - src <= 51; - when "1000011" => - dest <= 16; - src <= 76; - when "1000100" => - dest <= 47; - src <= 39; - when "1000101" => - dest <= 76; - src <= 32; - when "1000110" => - dest <= 8; - src <= 76; - when "1000111" => - dest <= 76; - src <= 47; - when "1001000" => - dest <= 64; - src <= 76; - when "1001001" => - dest <= 70; - src <= 16; - when "1001010" => - dest <= 50; - src <= 55; - when "1001011" => - dest <= 30; - src <= 24; - when "1001100" => - dest <= 76; - src <= 76; - when "1001101" => - dest <= 60; - src <= 63; - when "1001110" => - dest <= 76; - src <= 7; - when "1001111" => - dest <= 1; - src <= 1; - when "1010000" => - dest <= 68; - src <= 21; - when "1010001" => - dest <= 11; - src <= 76; - when "1010010" => - dest <= 48; - src <= 41; - when "1010011" => - dest <= 23; - src <= 11; - when "1010100" => - dest <= 76; - src <= 3; - when "1010101" => - dest <= 56; - src <= 70; - when "1010110" => - dest <= 15; - src <= 76; - when "1010111" => - dest <= 76; - src <= 60; - when "1011000" => - dest <= 7; - src <= 23; - when "1011001" => - dest <= 66; - src <= 48; - when "1011010" => - dest <= 69; - src <= 76; - when "1011011" => - dest <= 65; - src <= 15; - when "1011100" => - dest <= 21; - src <= 56; - when "1011101" => - dest <= 58; - src <= 5; - when "1011110" => - dest <= 6; - src <= 64; - when "1011111" => - dest <= 2; - src <= 2; - when "1100000" => - dest <= 57; - src <= 6; - when "1100001" => - dest <= 14; - src <= 13; - when "1100010" => - dest <= 49; - src <= 50; - when "1100011" => - dest <= 79; - src <= 65; - when "1100100" => - dest <= 12; - src <= 69; - when "1100101" => - dest <= 76; - src <= 14; - when "1100110" => - dest <= 22; - src <= 57; - when "1100111" => - dest <= 76; - src <= 22; - when "1101000" => - dest <= 5; - src <= 73; - when "1101001" => - dest <= 78; - src <= 59; - when "1101010" => - dest <= 3; - src <= 76; - when "1101011" => - dest <= 76; - src <= 49; - when "1101100" => - dest <= 74; - src <= 66; - when "1101101" => - dest <= 76; - src <= 74; - when "1101110" => - dest <= 76; - src <= 68; - when "1101111" => - dest <= 44; - src <= 76; - when "1110000" => - dest <= 27; - src <= 78; - when others => - dest <= 76; - src <= 76; - - end case; - end process; - - end generate NI_NUM76; - - NI_NUM77 : if NI_NUM = 77 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 74; - src <= 77; - when "0000001" => - dest <= 78; - src <= 77; - when "0000010" => - dest <= 77; - src <= 77; - when "0000011" => - dest <= 37; - src <= 77; - when "0000100" => - dest <= 32; - src <= 76; - when "0000101" => - dest <= 77; - src <= 80; - when "0000110" => - dest <= 27; - src <= 77; - when "0000111" => - dest <= 77; - src <= 77; - when "0001000" => - dest <= 45; - src <= 28; - when "0001001" => - dest <= 5; - src <= 36; - when "0001010" => - dest <= 77; - src <= 41; - when "0001011" => - dest <= 44; - src <= 77; - when "0001100" => - dest <= 73; - src <= 68; - when "0001101" => - dest <= 77; - src <= 27; - when "0001110" => - dest <= 19; - src <= 77; - when "0001111" => - dest <= 41; - src <= 77; - when "0010000" => - dest <= 76; - src <= 37; - when "0010001" => - dest <= 29; - src <= 19; - when "0010010" => - dest <= 35; - src <= 72; - when "0010011" => - dest <= 60; - src <= 78; - when "0010100" => - dest <= 77; - src <= 29; - when "0010101" => - dest <= 46; - src <= 32; - when "0010110" => - dest <= 18; - src <= 77; - when "0010111" => - dest <= 77; - src <= 45; - when "0011000" => - dest <= 38; - src <= 13; - when "0011001" => - dest <= 77; - src <= 77; - when "0011010" => - dest <= 72; - src <= 44; - when "0011011" => - dest <= 77; - src <= 38; - when "0011100" => - dest <= 54; - src <= 77; - when "0011101" => - dest <= 10; - src <= 77; - when "0011110" => - dest <= 77; - src <= 18; - when "0011111" => - dest <= 53; - src <= 46; - when "0100000" => - dest <= 20; - src <= 73; - when "0100001" => - dest <= 77; - src <= 35; - when "0100010" => - dest <= 43; - src <= 77; - when "0100011" => - dest <= 30; - src <= 77; - when "0100100" => - dest <= 77; - src <= 10; - when "0100101" => - dest <= 77; - src <= 54; - when "0100110" => - dest <= 68; - src <= 77; - when "0100111" => - dest <= 55; - src <= 20; - when "0101000" => - dest <= 26; - src <= 53; - when "0101001" => - dest <= 14; - src <= 5; - when "0101010" => - dest <= 47; - src <= 30; - when "0101011" => - dest <= 77; - src <= 43; - when "0101100" => - dest <= 34; - src <= 77; - when "0101101" => - dest <= 39; - src <= 59; - when "0101110" => - dest <= 9; - src <= 77; - when "0101111" => - dest <= 77; - src <= 9; - when "0110000" => - dest <= 77; - src <= 47; - when "0110001" => - dest <= 63; - src <= 77; - when "0110010" => - dest <= 64; - src <= 26; - when "0110011" => - dest <= 77; - src <= 77; - when "0110100" => - dest <= 62; - src <= 39; - when "0110101" => - dest <= 77; - src <= 34; - when "0110110" => - dest <= 1; - src <= 55; - when "0110111" => - dest <= 52; - src <= 77; - when "0111000" => - dest <= 77; - src <= 1; - when "0111001" => - dest <= 11; - src <= 0; - when "0111010" => - dest <= 42; - src <= 77; - when "0111011" => - dest <= 21; - src <= 11; - when "0111100" => - dest <= 77; - src <= 77; - when "0111101" => - dest <= 33; - src <= 63; - when "0111110" => - dest <= 40; - src <= 21; - when "0111111" => - dest <= 77; - src <= 77; - when "1000000" => - dest <= 25; - src <= 62; - when "1000001" => - dest <= 77; - src <= 31; - when "1000010" => - dest <= 56; - src <= 52; - when "1000011" => - dest <= 17; - src <= 77; - when "1000100" => - dest <= 48; - src <= 40; - when "1000101" => - dest <= 77; - src <= 33; - when "1000110" => - dest <= 0; - src <= 77; - when "1000111" => - dest <= 77; - src <= 48; - when "1001000" => - dest <= 65; - src <= 77; - when "1001001" => - dest <= 71; - src <= 17; - when "1001010" => - dest <= 51; - src <= 56; - when "1001011" => - dest <= 31; - src <= 25; - when "1001100" => - dest <= 77; - src <= 77; - when "1001101" => - dest <= 61; - src <= 64; - when "1001110" => - dest <= 77; - src <= 8; - when "1001111" => - dest <= 2; - src <= 2; - when "1010000" => - dest <= 69; - src <= 22; - when "1010001" => - dest <= 12; - src <= 77; - when "1010010" => - dest <= 49; - src <= 42; - when "1010011" => - dest <= 24; - src <= 12; - when "1010100" => - dest <= 77; - src <= 4; - when "1010101" => - dest <= 57; - src <= 71; - when "1010110" => - dest <= 16; - src <= 77; - when "1010111" => - dest <= 77; - src <= 61; - when "1011000" => - dest <= 8; - src <= 24; - when "1011001" => - dest <= 67; - src <= 49; - when "1011010" => - dest <= 70; - src <= 77; - when "1011011" => - dest <= 66; - src <= 16; - when "1011100" => - dest <= 22; - src <= 57; - when "1011101" => - dest <= 59; - src <= 6; - when "1011110" => - dest <= 7; - src <= 65; - when "1011111" => - dest <= 3; - src <= 3; - when "1100000" => - dest <= 58; - src <= 7; - when "1100001" => - dest <= 15; - src <= 14; - when "1100010" => - dest <= 50; - src <= 51; - when "1100011" => - dest <= 80; - src <= 66; - when "1100100" => - dest <= 13; - src <= 70; - when "1100101" => - dest <= 77; - src <= 15; - when "1100110" => - dest <= 23; - src <= 58; - when "1100111" => - dest <= 77; - src <= 23; - when "1101000" => - dest <= 6; - src <= 74; - when "1101001" => - dest <= 79; - src <= 60; - when "1101010" => - dest <= 4; - src <= 77; - when "1101011" => - dest <= 77; - src <= 50; - when "1101100" => - dest <= 75; - src <= 67; - when "1101101" => - dest <= 77; - src <= 75; - when "1101110" => - dest <= 77; - src <= 69; - when "1101111" => - dest <= 36; - src <= 77; - when "1110000" => - dest <= 28; - src <= 79; - when others => - dest <= 77; - src <= 77; - - end case; - end process; - - end generate NI_NUM77; - - NI_NUM78 : if NI_NUM = 78 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 75; - src <= 78; - when "0000001" => - dest <= 79; - src <= 78; - when "0000010" => - dest <= 78; - src <= 78; - when "0000011" => - dest <= 38; - src <= 78; - when "0000100" => - dest <= 33; - src <= 77; - when "0000101" => - dest <= 78; - src <= 72; - when "0000110" => - dest <= 28; - src <= 78; - when "0000111" => - dest <= 78; - src <= 78; - when "0001000" => - dest <= 46; - src <= 29; - when "0001001" => - dest <= 6; - src <= 37; - when "0001010" => - dest <= 78; - src <= 42; - when "0001011" => - dest <= 36; - src <= 78; - when "0001100" => - dest <= 74; - src <= 69; - when "0001101" => - dest <= 78; - src <= 28; - when "0001110" => - dest <= 20; - src <= 78; - when "0001111" => - dest <= 42; - src <= 78; - when "0010000" => - dest <= 77; - src <= 38; - when "0010001" => - dest <= 30; - src <= 20; - when "0010010" => - dest <= 27; - src <= 73; - when "0010011" => - dest <= 61; - src <= 79; - when "0010100" => - dest <= 78; - src <= 30; - when "0010101" => - dest <= 47; - src <= 33; - when "0010110" => - dest <= 19; - src <= 78; - when "0010111" => - dest <= 78; - src <= 46; - when "0011000" => - dest <= 39; - src <= 14; - when "0011001" => - dest <= 78; - src <= 78; - when "0011010" => - dest <= 73; - src <= 36; - when "0011011" => - dest <= 78; - src <= 39; - when "0011100" => - dest <= 55; - src <= 78; - when "0011101" => - dest <= 11; - src <= 78; - when "0011110" => - dest <= 78; - src <= 19; - when "0011111" => - dest <= 45; - src <= 47; - when "0100000" => - dest <= 21; - src <= 74; - when "0100001" => - dest <= 78; - src <= 27; - when "0100010" => - dest <= 44; - src <= 78; - when "0100011" => - dest <= 31; - src <= 78; - when "0100100" => - dest <= 78; - src <= 11; - when "0100101" => - dest <= 78; - src <= 55; - when "0100110" => - dest <= 69; - src <= 78; - when "0100111" => - dest <= 56; - src <= 21; - when "0101000" => - dest <= 18; - src <= 45; - when "0101001" => - dest <= 15; - src <= 6; - when "0101010" => - dest <= 48; - src <= 31; - when "0101011" => - dest <= 78; - src <= 44; - when "0101100" => - dest <= 35; - src <= 78; - when "0101101" => - dest <= 40; - src <= 60; - when "0101110" => - dest <= 10; - src <= 78; - when "0101111" => - dest <= 78; - src <= 10; - when "0110000" => - dest <= 78; - src <= 48; - when "0110001" => - dest <= 64; - src <= 78; - when "0110010" => - dest <= 65; - src <= 18; - when "0110011" => - dest <= 78; - src <= 78; - when "0110100" => - dest <= 54; - src <= 40; - when "0110101" => - dest <= 78; - src <= 35; - when "0110110" => - dest <= 2; - src <= 56; - when "0110111" => - dest <= 53; - src <= 78; - when "0111000" => - dest <= 78; - src <= 2; - when "0111001" => - dest <= 12; - src <= 1; - when "0111010" => - dest <= 43; - src <= 78; - when "0111011" => - dest <= 22; - src <= 12; - when "0111100" => - dest <= 78; - src <= 78; - when "0111101" => - dest <= 34; - src <= 64; - when "0111110" => - dest <= 41; - src <= 22; - when "0111111" => - dest <= 78; - src <= 78; - when "1000000" => - dest <= 26; - src <= 54; - when "1000001" => - dest <= 78; - src <= 32; - when "1000010" => - dest <= 57; - src <= 53; - when "1000011" => - dest <= 9; - src <= 78; - when "1000100" => - dest <= 49; - src <= 41; - when "1000101" => - dest <= 78; - src <= 34; - when "1000110" => - dest <= 1; - src <= 78; - when "1000111" => - dest <= 78; - src <= 49; - when "1001000" => - dest <= 66; - src <= 78; - when "1001001" => - dest <= 63; - src <= 9; - when "1001010" => - dest <= 52; - src <= 57; - when "1001011" => - dest <= 32; - src <= 26; - when "1001100" => - dest <= 78; - src <= 78; - when "1001101" => - dest <= 62; - src <= 65; - when "1001110" => - dest <= 78; - src <= 0; - when "1001111" => - dest <= 3; - src <= 3; - when "1010000" => - dest <= 70; - src <= 23; - when "1010001" => - dest <= 13; - src <= 78; - when "1010010" => - dest <= 50; - src <= 43; - when "1010011" => - dest <= 25; - src <= 13; - when "1010100" => - dest <= 78; - src <= 5; - when "1010101" => - dest <= 58; - src <= 63; - when "1010110" => - dest <= 17; - src <= 78; - when "1010111" => - dest <= 78; - src <= 62; - when "1011000" => - dest <= 0; - src <= 25; - when "1011001" => - dest <= 68; - src <= 50; - when "1011010" => - dest <= 71; - src <= 78; - when "1011011" => - dest <= 67; - src <= 17; - when "1011100" => - dest <= 23; - src <= 58; - when "1011101" => - dest <= 60; - src <= 7; - when "1011110" => - dest <= 8; - src <= 66; - when "1011111" => - dest <= 4; - src <= 4; - when "1100000" => - dest <= 59; - src <= 8; - when "1100001" => - dest <= 16; - src <= 15; - when "1100010" => - dest <= 51; - src <= 52; - when "1100011" => - dest <= 72; - src <= 67; - when "1100100" => - dest <= 14; - src <= 71; - when "1100101" => - dest <= 78; - src <= 16; - when "1100110" => - dest <= 24; - src <= 59; - when "1100111" => - dest <= 78; - src <= 24; - when "1101000" => - dest <= 7; - src <= 75; - when "1101001" => - dest <= 80; - src <= 61; - when "1101010" => - dest <= 5; - src <= 78; - when "1101011" => - dest <= 78; - src <= 51; - when "1101100" => - dest <= 76; - src <= 68; - when "1101101" => - dest <= 78; - src <= 76; - when "1101110" => - dest <= 78; - src <= 70; - when "1101111" => - dest <= 37; - src <= 78; - when "1110000" => - dest <= 29; - src <= 80; - when others => - dest <= 78; - src <= 78; - - end case; - end process; - - end generate NI_NUM78; - - NI_NUM79 : if NI_NUM = 79 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 76; - src <= 79; - when "0000001" => - dest <= 80; - src <= 79; - when "0000010" => - dest <= 79; - src <= 79; - when "0000011" => - dest <= 39; - src <= 79; - when "0000100" => - dest <= 34; - src <= 78; - when "0000101" => - dest <= 79; - src <= 73; - when "0000110" => - dest <= 29; - src <= 79; - when "0000111" => - dest <= 79; - src <= 79; - when "0001000" => - dest <= 47; - src <= 30; - when "0001001" => - dest <= 7; - src <= 38; - when "0001010" => - dest <= 79; - src <= 43; - when "0001011" => - dest <= 37; - src <= 79; - when "0001100" => - dest <= 75; - src <= 70; - when "0001101" => - dest <= 79; - src <= 29; - when "0001110" => - dest <= 21; - src <= 79; - when "0001111" => - dest <= 43; - src <= 79; - when "0010000" => - dest <= 78; - src <= 39; - when "0010001" => - dest <= 31; - src <= 21; - when "0010010" => - dest <= 28; - src <= 74; - when "0010011" => - dest <= 62; - src <= 80; - when "0010100" => - dest <= 79; - src <= 31; - when "0010101" => - dest <= 48; - src <= 34; - when "0010110" => - dest <= 20; - src <= 79; - when "0010111" => - dest <= 79; - src <= 47; - when "0011000" => - dest <= 40; - src <= 15; - when "0011001" => - dest <= 79; - src <= 79; - when "0011010" => - dest <= 74; - src <= 37; - when "0011011" => - dest <= 79; - src <= 40; - when "0011100" => - dest <= 56; - src <= 79; - when "0011101" => - dest <= 12; - src <= 79; - when "0011110" => - dest <= 79; - src <= 20; - when "0011111" => - dest <= 46; - src <= 48; - when "0100000" => - dest <= 22; - src <= 75; - when "0100001" => - dest <= 79; - src <= 28; - when "0100010" => - dest <= 36; - src <= 79; - when "0100011" => - dest <= 32; - src <= 79; - when "0100100" => - dest <= 79; - src <= 12; - when "0100101" => - dest <= 79; - src <= 56; - when "0100110" => - dest <= 70; - src <= 79; - when "0100111" => - dest <= 57; - src <= 22; - when "0101000" => - dest <= 19; - src <= 46; - when "0101001" => - dest <= 16; - src <= 7; - when "0101010" => - dest <= 49; - src <= 32; - when "0101011" => - dest <= 79; - src <= 36; - when "0101100" => - dest <= 27; - src <= 79; - when "0101101" => - dest <= 41; - src <= 61; - when "0101110" => - dest <= 11; - src <= 79; - when "0101111" => - dest <= 79; - src <= 11; - when "0110000" => - dest <= 79; - src <= 49; - when "0110001" => - dest <= 65; - src <= 79; - when "0110010" => - dest <= 66; - src <= 19; - when "0110011" => - dest <= 79; - src <= 79; - when "0110100" => - dest <= 55; - src <= 41; - when "0110101" => - dest <= 79; - src <= 27; - when "0110110" => - dest <= 3; - src <= 57; - when "0110111" => - dest <= 45; - src <= 79; - when "0111000" => - dest <= 79; - src <= 3; - when "0111001" => - dest <= 13; - src <= 2; - when "0111010" => - dest <= 44; - src <= 79; - when "0111011" => - dest <= 23; - src <= 13; - when "0111100" => - dest <= 79; - src <= 79; - when "0111101" => - dest <= 35; - src <= 65; - when "0111110" => - dest <= 42; - src <= 23; - when "0111111" => - dest <= 79; - src <= 79; - when "1000000" => - dest <= 18; - src <= 55; - when "1000001" => - dest <= 79; - src <= 33; - when "1000010" => - dest <= 58; - src <= 45; - when "1000011" => - dest <= 10; - src <= 79; - when "1000100" => - dest <= 50; - src <= 42; - when "1000101" => - dest <= 79; - src <= 35; - when "1000110" => - dest <= 2; - src <= 79; - when "1000111" => - dest <= 79; - src <= 50; - when "1001000" => - dest <= 67; - src <= 79; - when "1001001" => - dest <= 64; - src <= 10; - when "1001010" => - dest <= 53; - src <= 58; - when "1001011" => - dest <= 33; - src <= 18; - when "1001100" => - dest <= 79; - src <= 79; - when "1001101" => - dest <= 54; - src <= 66; - when "1001110" => - dest <= 79; - src <= 1; - when "1001111" => - dest <= 4; - src <= 4; - when "1010000" => - dest <= 71; - src <= 24; - when "1010001" => - dest <= 14; - src <= 79; - when "1010010" => - dest <= 51; - src <= 44; - when "1010011" => - dest <= 26; - src <= 14; - when "1010100" => - dest <= 79; - src <= 6; - when "1010101" => - dest <= 59; - src <= 64; - when "1010110" => - dest <= 9; - src <= 79; - when "1010111" => - dest <= 79; - src <= 54; - when "1011000" => - dest <= 1; - src <= 26; - when "1011001" => - dest <= 69; - src <= 51; - when "1011010" => - dest <= 63; - src <= 79; - when "1011011" => - dest <= 68; - src <= 9; - when "1011100" => - dest <= 24; - src <= 59; - when "1011101" => - dest <= 61; - src <= 8; - when "1011110" => - dest <= 0; - src <= 67; - when "1011111" => - dest <= 5; - src <= 5; - when "1100000" => - dest <= 60; - src <= 0; - when "1100001" => - dest <= 17; - src <= 16; - when "1100010" => - dest <= 52; - src <= 53; - when "1100011" => - dest <= 73; - src <= 68; - when "1100100" => - dest <= 15; - src <= 63; - when "1100101" => - dest <= 79; - src <= 17; - when "1100110" => - dest <= 25; - src <= 60; - when "1100111" => - dest <= 79; - src <= 25; - when "1101000" => - dest <= 8; - src <= 76; - when "1101001" => - dest <= 72; - src <= 62; - when "1101010" => - dest <= 6; - src <= 79; - when "1101011" => - dest <= 79; - src <= 52; - when "1101100" => - dest <= 77; - src <= 69; - when "1101101" => - dest <= 79; - src <= 77; - when "1101110" => - dest <= 79; - src <= 71; - when "1101111" => - dest <= 38; - src <= 79; - when "1110000" => - dest <= 30; - src <= 72; - when others => - dest <= 79; - src <= 79; - - end case; - end process; - - end generate NI_NUM79; - - NI_NUM80 : if NI_NUM = 80 generate - process(count) begin - - case count is - - when "0000000" => - dest <= 77; - src <= 80; - when "0000001" => - dest <= 72; - src <= 80; - when "0000010" => - dest <= 80; - src <= 80; - when "0000011" => - dest <= 40; - src <= 80; - when "0000100" => - dest <= 35; - src <= 79; - when "0000101" => - dest <= 80; - src <= 74; - when "0000110" => - dest <= 30; - src <= 80; - when "0000111" => - dest <= 80; - src <= 80; - when "0001000" => - dest <= 48; - src <= 31; - when "0001001" => - dest <= 8; - src <= 39; - when "0001010" => - dest <= 80; - src <= 44; - when "0001011" => - dest <= 38; - src <= 80; - when "0001100" => - dest <= 76; - src <= 71; - when "0001101" => - dest <= 80; - src <= 30; - when "0001110" => - dest <= 22; - src <= 80; - when "0001111" => - dest <= 44; - src <= 80; - when "0010000" => - dest <= 79; - src <= 40; - when "0010001" => - dest <= 32; - src <= 22; - when "0010010" => - dest <= 29; - src <= 75; - when "0010011" => - dest <= 54; - src <= 72; - when "0010100" => - dest <= 80; - src <= 32; - when "0010101" => - dest <= 49; - src <= 35; - when "0010110" => - dest <= 21; - src <= 80; - when "0010111" => - dest <= 80; - src <= 48; - when "0011000" => - dest <= 41; - src <= 16; - when "0011001" => - dest <= 80; - src <= 80; - when "0011010" => - dest <= 75; - src <= 38; - when "0011011" => - dest <= 80; - src <= 41; - when "0011100" => - dest <= 57; - src <= 80; - when "0011101" => - dest <= 13; - src <= 80; - when "0011110" => - dest <= 80; - src <= 21; - when "0011111" => - dest <= 47; - src <= 49; - when "0100000" => - dest <= 23; - src <= 76; - when "0100001" => - dest <= 80; - src <= 29; - when "0100010" => - dest <= 37; - src <= 80; - when "0100011" => - dest <= 33; - src <= 80; - when "0100100" => - dest <= 80; - src <= 13; - when "0100101" => - dest <= 80; - src <= 57; - when "0100110" => - dest <= 71; - src <= 80; - when "0100111" => - dest <= 58; - src <= 23; - when "0101000" => - dest <= 20; - src <= 47; - when "0101001" => - dest <= 17; - src <= 8; - when "0101010" => - dest <= 50; - src <= 33; - when "0101011" => - dest <= 80; - src <= 37; - when "0101100" => - dest <= 28; - src <= 80; - when "0101101" => - dest <= 42; - src <= 62; - when "0101110" => - dest <= 12; - src <= 80; - when "0101111" => - dest <= 80; - src <= 12; - when "0110000" => - dest <= 80; - src <= 50; - when "0110001" => - dest <= 66; - src <= 80; - when "0110010" => - dest <= 67; - src <= 20; - when "0110011" => - dest <= 80; - src <= 80; - when "0110100" => - dest <= 56; - src <= 42; - when "0110101" => - dest <= 80; - src <= 28; - when "0110110" => - dest <= 4; - src <= 58; - when "0110111" => - dest <= 46; - src <= 80; - when "0111000" => - dest <= 80; - src <= 4; - when "0111001" => - dest <= 14; - src <= 3; - when "0111010" => - dest <= 36; - src <= 80; - when "0111011" => - dest <= 24; - src <= 14; - when "0111100" => - dest <= 80; - src <= 80; - when "0111101" => - dest <= 27; - src <= 66; - when "0111110" => - dest <= 43; - src <= 24; - when "0111111" => - dest <= 80; - src <= 80; - when "1000000" => - dest <= 19; - src <= 56; - when "1000001" => - dest <= 80; - src <= 34; - when "1000010" => - dest <= 59; - src <= 46; - when "1000011" => - dest <= 11; - src <= 80; - when "1000100" => - dest <= 51; - src <= 43; - when "1000101" => - dest <= 80; - src <= 27; - when "1000110" => - dest <= 3; - src <= 80; - when "1000111" => - dest <= 80; - src <= 51; - when "1001000" => - dest <= 68; - src <= 80; - when "1001001" => - dest <= 65; - src <= 11; - when "1001010" => - dest <= 45; - src <= 59; - when "1001011" => - dest <= 34; - src <= 19; - when "1001100" => - dest <= 80; - src <= 80; - when "1001101" => - dest <= 55; - src <= 67; - when "1001110" => - dest <= 80; - src <= 2; - when "1001111" => - dest <= 5; - src <= 5; - when "1010000" => - dest <= 63; - src <= 25; - when "1010001" => - dest <= 15; - src <= 80; - when "1010010" => - dest <= 52; - src <= 36; - when "1010011" => - dest <= 18; - src <= 15; - when "1010100" => - dest <= 80; - src <= 7; - when "1010101" => - dest <= 60; - src <= 65; - when "1010110" => - dest <= 10; - src <= 80; - when "1010111" => - dest <= 80; - src <= 55; - when "1011000" => - dest <= 2; - src <= 18; - when "1011001" => - dest <= 70; - src <= 52; - when "1011010" => - dest <= 64; - src <= 80; - when "1011011" => - dest <= 69; - src <= 10; - when "1011100" => - dest <= 25; - src <= 60; - when "1011101" => - dest <= 62; - src <= 0; - when "1011110" => - dest <= 1; - src <= 68; - when "1011111" => - dest <= 6; - src <= 6; - when "1100000" => - dest <= 61; - src <= 1; - when "1100001" => - dest <= 9; - src <= 17; - when "1100010" => - dest <= 53; - src <= 45; - when "1100011" => - dest <= 74; - src <= 69; - when "1100100" => - dest <= 16; - src <= 64; - when "1100101" => - dest <= 80; - src <= 9; - when "1100110" => - dest <= 26; - src <= 61; - when "1100111" => - dest <= 80; - src <= 26; - when "1101000" => - dest <= 0; - src <= 77; - when "1101001" => - dest <= 73; - src <= 54; - when "1101010" => - dest <= 7; - src <= 80; - when "1101011" => - dest <= 80; - src <= 53; - when "1101100" => - dest <= 78; - src <= 70; - when "1101101" => - dest <= 80; - src <= 78; - when "1101110" => - dest <= 80; - src <= 63; - when "1101111" => - dest <= 39; - src <= 80; - when "1110000" => - dest <= 31; - src <= 73; - when others => - dest <= 80; - src <= 80; - - end case; - end process; - - end generate NI_NUM80; - -end data; +------------------------------------------------------------- +-- ni_ST_81.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity ni_ST_81 is + generic ( + NI_NUM : natural); + port ( + count : in unsigned(6 downto 0); + dest : out integer range 0 to 80; + src : out integer range 0 to 80 + ); +end ni_ST_81; + +architecture data of ni_ST_81 is +begin -- data + + NI_NUM0 : if NI_NUM = 0 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 6; + src <= 0; + when "0000001" => + dest <= 1; + src <= 0; + when "0000010" => + dest <= 0; + src <= 0; + when "0000011" => + dest <= 50; + src <= 0; + when "0000100" => + dest <= 36; + src <= 8; + when "0000101" => + dest <= 0; + src <= 3; + when "0000110" => + dest <= 40; + src <= 0; + when "0000111" => + dest <= 0; + src <= 0; + when "0001000" => + dest <= 58; + src <= 41; + when "0001001" => + dest <= 9; + src <= 49; + when "0001010" => + dest <= 0; + src <= 45; + when "0001011" => + dest <= 48; + src <= 0; + when "0001100" => + dest <= 5; + src <= 72; + when "0001101" => + dest <= 0; + src <= 40; + when "0001110" => + dest <= 32; + src <= 0; + when "0001111" => + dest <= 45; + src <= 0; + when "0010000" => + dest <= 8; + src <= 50; + when "0010001" => + dest <= 42; + src <= 32; + when "0010010" => + dest <= 39; + src <= 4; + when "0010011" => + dest <= 64; + src <= 1; + when "0010100" => + dest <= 0; + src <= 42; + when "0010101" => + dest <= 59; + src <= 36; + when "0010110" => + dest <= 31; + src <= 0; + when "0010111" => + dest <= 0; + src <= 58; + when "0011000" => + dest <= 51; + src <= 26; + when "0011001" => + dest <= 0; + src <= 0; + when "0011010" => + dest <= 4; + src <= 48; + when "0011011" => + dest <= 0; + src <= 51; + when "0011100" => + dest <= 67; + src <= 0; + when "0011101" => + dest <= 23; + src <= 0; + when "0011110" => + dest <= 0; + src <= 31; + when "0011111" => + dest <= 57; + src <= 59; + when "0100000" => + dest <= 33; + src <= 5; + when "0100001" => + dest <= 0; + src <= 39; + when "0100010" => + dest <= 47; + src <= 0; + when "0100011" => + dest <= 43; + src <= 0; + when "0100100" => + dest <= 0; + src <= 23; + when "0100101" => + dest <= 0; + src <= 67; + when "0100110" => + dest <= 72; + src <= 0; + when "0100111" => + dest <= 68; + src <= 33; + when "0101000" => + dest <= 30; + src <= 57; + when "0101001" => + dest <= 18; + src <= 9; + when "0101010" => + dest <= 60; + src <= 43; + when "0101011" => + dest <= 0; + src <= 47; + when "0101100" => + dest <= 38; + src <= 0; + when "0101101" => + dest <= 52; + src <= 63; + when "0101110" => + dest <= 22; + src <= 0; + when "0101111" => + dest <= 0; + src <= 22; + when "0110000" => + dest <= 0; + src <= 60; + when "0110001" => + dest <= 76; + src <= 0; + when "0110010" => + dest <= 77; + src <= 30; + when "0110011" => + dest <= 0; + src <= 0; + when "0110100" => + dest <= 66; + src <= 52; + when "0110101" => + dest <= 0; + src <= 38; + when "0110110" => + dest <= 14; + src <= 68; + when "0110111" => + dest <= 56; + src <= 0; + when "0111000" => + dest <= 0; + src <= 14; + when "0111001" => + dest <= 24; + src <= 13; + when "0111010" => + dest <= 46; + src <= 0; + when "0111011" => + dest <= 34; + src <= 24; + when "0111100" => + dest <= 0; + src <= 0; + when "0111101" => + dest <= 37; + src <= 76; + when "0111110" => + dest <= 53; + src <= 34; + when "0111111" => + dest <= 0; + src <= 0; + when "1000000" => + dest <= 29; + src <= 66; + when "1000001" => + dest <= 0; + src <= 44; + when "1000010" => + dest <= 69; + src <= 56; + when "1000011" => + dest <= 21; + src <= 0; + when "1000100" => + dest <= 61; + src <= 53; + when "1000101" => + dest <= 0; + src <= 37; + when "1000110" => + dest <= 13; + src <= 0; + when "1000111" => + dest <= 0; + src <= 61; + when "1001000" => + dest <= 78; + src <= 0; + when "1001001" => + dest <= 75; + src <= 21; + when "1001010" => + dest <= 55; + src <= 69; + when "1001011" => + dest <= 44; + src <= 29; + when "1001100" => + dest <= 0; + src <= 0; + when "1001101" => + dest <= 65; + src <= 77; + when "1001110" => + dest <= 0; + src <= 12; + when "1001111" => + dest <= 15; + src <= 15; + when "1010000" => + dest <= 73; + src <= 35; + when "1010001" => + dest <= 25; + src <= 0; + when "1010010" => + dest <= 62; + src <= 46; + when "1010011" => + dest <= 28; + src <= 25; + when "1010100" => + dest <= 0; + src <= 17; + when "1010101" => + dest <= 70; + src <= 75; + when "1010110" => + dest <= 20; + src <= 0; + when "1010111" => + dest <= 0; + src <= 65; + when "1011000" => + dest <= 12; + src <= 28; + when "1011001" => + dest <= 80; + src <= 62; + when "1011010" => + dest <= 74; + src <= 0; + when "1011011" => + dest <= 79; + src <= 20; + when "1011100" => + dest <= 35; + src <= 70; + when "1011101" => + dest <= 63; + src <= 10; + when "1011110" => + dest <= 11; + src <= 78; + when "1011111" => + dest <= 16; + src <= 16; + when "1100000" => + dest <= 71; + src <= 11; + when "1100001" => + dest <= 19; + src <= 18; + when "1100010" => + dest <= 54; + src <= 55; + when "1100011" => + dest <= 3; + src <= 79; + when "1100100" => + dest <= 26; + src <= 74; + when "1100101" => + dest <= 0; + src <= 19; + when "1100110" => + dest <= 27; + src <= 71; + when "1100111" => + dest <= 0; + src <= 27; + when "1101000" => + dest <= 10; + src <= 6; + when "1101001" => + dest <= 2; + src <= 64; + when "1101010" => + dest <= 17; + src <= 0; + when "1101011" => + dest <= 0; + src <= 54; + when "1101100" => + dest <= 7; + src <= 80; + when "1101101" => + dest <= 0; + src <= 7; + when "1101110" => + dest <= 0; + src <= 73; + when "1101111" => + dest <= 49; + src <= 0; + when "1110000" => + dest <= 41; + src <= 2; + when others => + dest <= 0; + src <= 0; + + end case; + end process; + + end generate NI_NUM0; + + NI_NUM1 : if NI_NUM = 1 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 7; + src <= 1; + when "0000001" => + dest <= 2; + src <= 1; + when "0000010" => + dest <= 1; + src <= 1; + when "0000011" => + dest <= 51; + src <= 1; + when "0000100" => + dest <= 37; + src <= 0; + when "0000101" => + dest <= 1; + src <= 4; + when "0000110" => + dest <= 41; + src <= 1; + when "0000111" => + dest <= 1; + src <= 1; + when "0001000" => + dest <= 59; + src <= 42; + when "0001001" => + dest <= 10; + src <= 50; + when "0001010" => + dest <= 1; + src <= 46; + when "0001011" => + dest <= 49; + src <= 1; + when "0001100" => + dest <= 6; + src <= 73; + when "0001101" => + dest <= 1; + src <= 41; + when "0001110" => + dest <= 33; + src <= 1; + when "0001111" => + dest <= 46; + src <= 1; + when "0010000" => + dest <= 0; + src <= 51; + when "0010001" => + dest <= 43; + src <= 33; + when "0010010" => + dest <= 40; + src <= 5; + when "0010011" => + dest <= 65; + src <= 2; + when "0010100" => + dest <= 1; + src <= 43; + when "0010101" => + dest <= 60; + src <= 37; + when "0010110" => + dest <= 32; + src <= 1; + when "0010111" => + dest <= 1; + src <= 59; + when "0011000" => + dest <= 52; + src <= 18; + when "0011001" => + dest <= 1; + src <= 1; + when "0011010" => + dest <= 5; + src <= 49; + when "0011011" => + dest <= 1; + src <= 52; + when "0011100" => + dest <= 68; + src <= 1; + when "0011101" => + dest <= 24; + src <= 1; + when "0011110" => + dest <= 1; + src <= 32; + when "0011111" => + dest <= 58; + src <= 60; + when "0100000" => + dest <= 34; + src <= 6; + when "0100001" => + dest <= 1; + src <= 40; + when "0100010" => + dest <= 48; + src <= 1; + when "0100011" => + dest <= 44; + src <= 1; + when "0100100" => + dest <= 1; + src <= 24; + when "0100101" => + dest <= 1; + src <= 68; + when "0100110" => + dest <= 73; + src <= 1; + when "0100111" => + dest <= 69; + src <= 34; + when "0101000" => + dest <= 31; + src <= 58; + when "0101001" => + dest <= 19; + src <= 10; + when "0101010" => + dest <= 61; + src <= 44; + when "0101011" => + dest <= 1; + src <= 48; + when "0101100" => + dest <= 39; + src <= 1; + when "0101101" => + dest <= 53; + src <= 64; + when "0101110" => + dest <= 23; + src <= 1; + when "0101111" => + dest <= 1; + src <= 23; + when "0110000" => + dest <= 1; + src <= 61; + when "0110001" => + dest <= 77; + src <= 1; + when "0110010" => + dest <= 78; + src <= 31; + when "0110011" => + dest <= 1; + src <= 1; + when "0110100" => + dest <= 67; + src <= 53; + when "0110101" => + dest <= 1; + src <= 39; + when "0110110" => + dest <= 15; + src <= 69; + when "0110111" => + dest <= 57; + src <= 1; + when "0111000" => + dest <= 1; + src <= 15; + when "0111001" => + dest <= 25; + src <= 14; + when "0111010" => + dest <= 47; + src <= 1; + when "0111011" => + dest <= 35; + src <= 25; + when "0111100" => + dest <= 1; + src <= 1; + when "0111101" => + dest <= 38; + src <= 77; + when "0111110" => + dest <= 45; + src <= 35; + when "0111111" => + dest <= 1; + src <= 1; + when "1000000" => + dest <= 30; + src <= 67; + when "1000001" => + dest <= 1; + src <= 36; + when "1000010" => + dest <= 70; + src <= 57; + when "1000011" => + dest <= 22; + src <= 1; + when "1000100" => + dest <= 62; + src <= 45; + when "1000101" => + dest <= 1; + src <= 38; + when "1000110" => + dest <= 14; + src <= 1; + when "1000111" => + dest <= 1; + src <= 62; + when "1001000" => + dest <= 79; + src <= 1; + when "1001001" => + dest <= 76; + src <= 22; + when "1001010" => + dest <= 56; + src <= 70; + when "1001011" => + dest <= 36; + src <= 30; + when "1001100" => + dest <= 1; + src <= 1; + when "1001101" => + dest <= 66; + src <= 78; + when "1001110" => + dest <= 1; + src <= 13; + when "1001111" => + dest <= 16; + src <= 16; + when "1010000" => + dest <= 74; + src <= 27; + when "1010001" => + dest <= 26; + src <= 1; + when "1010010" => + dest <= 54; + src <= 47; + when "1010011" => + dest <= 29; + src <= 26; + when "1010100" => + dest <= 1; + src <= 9; + when "1010101" => + dest <= 71; + src <= 76; + when "1010110" => + dest <= 21; + src <= 1; + when "1010111" => + dest <= 1; + src <= 66; + when "1011000" => + dest <= 13; + src <= 29; + when "1011001" => + dest <= 72; + src <= 54; + when "1011010" => + dest <= 75; + src <= 1; + when "1011011" => + dest <= 80; + src <= 21; + when "1011100" => + dest <= 27; + src <= 71; + when "1011101" => + dest <= 64; + src <= 11; + when "1011110" => + dest <= 12; + src <= 79; + when "1011111" => + dest <= 17; + src <= 17; + when "1100000" => + dest <= 63; + src <= 12; + when "1100001" => + dest <= 20; + src <= 19; + when "1100010" => + dest <= 55; + src <= 56; + when "1100011" => + dest <= 4; + src <= 80; + when "1100100" => + dest <= 18; + src <= 75; + when "1100101" => + dest <= 1; + src <= 20; + when "1100110" => + dest <= 28; + src <= 63; + when "1100111" => + dest <= 1; + src <= 28; + when "1101000" => + dest <= 11; + src <= 7; + when "1101001" => + dest <= 3; + src <= 65; + when "1101010" => + dest <= 9; + src <= 1; + when "1101011" => + dest <= 1; + src <= 55; + when "1101100" => + dest <= 8; + src <= 72; + when "1101101" => + dest <= 1; + src <= 8; + when "1101110" => + dest <= 1; + src <= 74; + when "1101111" => + dest <= 50; + src <= 1; + when "1110000" => + dest <= 42; + src <= 3; + when others => + dest <= 1; + src <= 1; + + end case; + end process; + + end generate NI_NUM1; + + NI_NUM2 : if NI_NUM = 2 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 8; + src <= 2; + when "0000001" => + dest <= 3; + src <= 2; + when "0000010" => + dest <= 2; + src <= 2; + when "0000011" => + dest <= 52; + src <= 2; + when "0000100" => + dest <= 38; + src <= 1; + when "0000101" => + dest <= 2; + src <= 5; + when "0000110" => + dest <= 42; + src <= 2; + when "0000111" => + dest <= 2; + src <= 2; + when "0001000" => + dest <= 60; + src <= 43; + when "0001001" => + dest <= 11; + src <= 51; + when "0001010" => + dest <= 2; + src <= 47; + when "0001011" => + dest <= 50; + src <= 2; + when "0001100" => + dest <= 7; + src <= 74; + when "0001101" => + dest <= 2; + src <= 42; + when "0001110" => + dest <= 34; + src <= 2; + when "0001111" => + dest <= 47; + src <= 2; + when "0010000" => + dest <= 1; + src <= 52; + when "0010001" => + dest <= 44; + src <= 34; + when "0010010" => + dest <= 41; + src <= 6; + when "0010011" => + dest <= 66; + src <= 3; + when "0010100" => + dest <= 2; + src <= 44; + when "0010101" => + dest <= 61; + src <= 38; + when "0010110" => + dest <= 33; + src <= 2; + when "0010111" => + dest <= 2; + src <= 60; + when "0011000" => + dest <= 53; + src <= 19; + when "0011001" => + dest <= 2; + src <= 2; + when "0011010" => + dest <= 6; + src <= 50; + when "0011011" => + dest <= 2; + src <= 53; + when "0011100" => + dest <= 69; + src <= 2; + when "0011101" => + dest <= 25; + src <= 2; + when "0011110" => + dest <= 2; + src <= 33; + when "0011111" => + dest <= 59; + src <= 61; + when "0100000" => + dest <= 35; + src <= 7; + when "0100001" => + dest <= 2; + src <= 41; + when "0100010" => + dest <= 49; + src <= 2; + when "0100011" => + dest <= 36; + src <= 2; + when "0100100" => + dest <= 2; + src <= 25; + when "0100101" => + dest <= 2; + src <= 69; + when "0100110" => + dest <= 74; + src <= 2; + when "0100111" => + dest <= 70; + src <= 35; + when "0101000" => + dest <= 32; + src <= 59; + when "0101001" => + dest <= 20; + src <= 11; + when "0101010" => + dest <= 62; + src <= 36; + when "0101011" => + dest <= 2; + src <= 49; + when "0101100" => + dest <= 40; + src <= 2; + when "0101101" => + dest <= 45; + src <= 65; + when "0101110" => + dest <= 24; + src <= 2; + when "0101111" => + dest <= 2; + src <= 24; + when "0110000" => + dest <= 2; + src <= 62; + when "0110001" => + dest <= 78; + src <= 2; + when "0110010" => + dest <= 79; + src <= 32; + when "0110011" => + dest <= 2; + src <= 2; + when "0110100" => + dest <= 68; + src <= 45; + when "0110101" => + dest <= 2; + src <= 40; + when "0110110" => + dest <= 16; + src <= 70; + when "0110111" => + dest <= 58; + src <= 2; + when "0111000" => + dest <= 2; + src <= 16; + when "0111001" => + dest <= 26; + src <= 15; + when "0111010" => + dest <= 48; + src <= 2; + when "0111011" => + dest <= 27; + src <= 26; + when "0111100" => + dest <= 2; + src <= 2; + when "0111101" => + dest <= 39; + src <= 78; + when "0111110" => + dest <= 46; + src <= 27; + when "0111111" => + dest <= 2; + src <= 2; + when "1000000" => + dest <= 31; + src <= 68; + when "1000001" => + dest <= 2; + src <= 37; + when "1000010" => + dest <= 71; + src <= 58; + when "1000011" => + dest <= 23; + src <= 2; + when "1000100" => + dest <= 54; + src <= 46; + when "1000101" => + dest <= 2; + src <= 39; + when "1000110" => + dest <= 15; + src <= 2; + when "1000111" => + dest <= 2; + src <= 54; + when "1001000" => + dest <= 80; + src <= 2; + when "1001001" => + dest <= 77; + src <= 23; + when "1001010" => + dest <= 57; + src <= 71; + when "1001011" => + dest <= 37; + src <= 31; + when "1001100" => + dest <= 2; + src <= 2; + when "1001101" => + dest <= 67; + src <= 79; + when "1001110" => + dest <= 2; + src <= 14; + when "1001111" => + dest <= 17; + src <= 17; + when "1010000" => + dest <= 75; + src <= 28; + when "1010001" => + dest <= 18; + src <= 2; + when "1010010" => + dest <= 55; + src <= 48; + when "1010011" => + dest <= 30; + src <= 18; + when "1010100" => + dest <= 2; + src <= 10; + when "1010101" => + dest <= 63; + src <= 77; + when "1010110" => + dest <= 22; + src <= 2; + when "1010111" => + dest <= 2; + src <= 67; + when "1011000" => + dest <= 14; + src <= 30; + when "1011001" => + dest <= 73; + src <= 55; + when "1011010" => + dest <= 76; + src <= 2; + when "1011011" => + dest <= 72; + src <= 22; + when "1011100" => + dest <= 28; + src <= 63; + when "1011101" => + dest <= 65; + src <= 12; + when "1011110" => + dest <= 13; + src <= 80; + when "1011111" => + dest <= 9; + src <= 9; + when "1100000" => + dest <= 64; + src <= 13; + when "1100001" => + dest <= 21; + src <= 20; + when "1100010" => + dest <= 56; + src <= 57; + when "1100011" => + dest <= 5; + src <= 72; + when "1100100" => + dest <= 19; + src <= 76; + when "1100101" => + dest <= 2; + src <= 21; + when "1100110" => + dest <= 29; + src <= 64; + when "1100111" => + dest <= 2; + src <= 29; + when "1101000" => + dest <= 12; + src <= 8; + when "1101001" => + dest <= 4; + src <= 66; + when "1101010" => + dest <= 10; + src <= 2; + when "1101011" => + dest <= 2; + src <= 56; + when "1101100" => + dest <= 0; + src <= 73; + when "1101101" => + dest <= 2; + src <= 0; + when "1101110" => + dest <= 2; + src <= 75; + when "1101111" => + dest <= 51; + src <= 2; + when "1110000" => + dest <= 43; + src <= 4; + when others => + dest <= 2; + src <= 2; + + end case; + end process; + + end generate NI_NUM2; + + NI_NUM3 : if NI_NUM = 3 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 0; + src <= 3; + when "0000001" => + dest <= 4; + src <= 3; + when "0000010" => + dest <= 3; + src <= 3; + when "0000011" => + dest <= 53; + src <= 3; + when "0000100" => + dest <= 39; + src <= 2; + when "0000101" => + dest <= 3; + src <= 6; + when "0000110" => + dest <= 43; + src <= 3; + when "0000111" => + dest <= 3; + src <= 3; + when "0001000" => + dest <= 61; + src <= 44; + when "0001001" => + dest <= 12; + src <= 52; + when "0001010" => + dest <= 3; + src <= 48; + when "0001011" => + dest <= 51; + src <= 3; + when "0001100" => + dest <= 8; + src <= 75; + when "0001101" => + dest <= 3; + src <= 43; + when "0001110" => + dest <= 35; + src <= 3; + when "0001111" => + dest <= 48; + src <= 3; + when "0010000" => + dest <= 2; + src <= 53; + when "0010001" => + dest <= 36; + src <= 35; + when "0010010" => + dest <= 42; + src <= 7; + when "0010011" => + dest <= 67; + src <= 4; + when "0010100" => + dest <= 3; + src <= 36; + when "0010101" => + dest <= 62; + src <= 39; + when "0010110" => + dest <= 34; + src <= 3; + when "0010111" => + dest <= 3; + src <= 61; + when "0011000" => + dest <= 45; + src <= 20; + when "0011001" => + dest <= 3; + src <= 3; + when "0011010" => + dest <= 7; + src <= 51; + when "0011011" => + dest <= 3; + src <= 45; + when "0011100" => + dest <= 70; + src <= 3; + when "0011101" => + dest <= 26; + src <= 3; + when "0011110" => + dest <= 3; + src <= 34; + when "0011111" => + dest <= 60; + src <= 62; + when "0100000" => + dest <= 27; + src <= 8; + when "0100001" => + dest <= 3; + src <= 42; + when "0100010" => + dest <= 50; + src <= 3; + when "0100011" => + dest <= 37; + src <= 3; + when "0100100" => + dest <= 3; + src <= 26; + when "0100101" => + dest <= 3; + src <= 70; + when "0100110" => + dest <= 75; + src <= 3; + when "0100111" => + dest <= 71; + src <= 27; + when "0101000" => + dest <= 33; + src <= 60; + when "0101001" => + dest <= 21; + src <= 12; + when "0101010" => + dest <= 54; + src <= 37; + when "0101011" => + dest <= 3; + src <= 50; + when "0101100" => + dest <= 41; + src <= 3; + when "0101101" => + dest <= 46; + src <= 66; + when "0101110" => + dest <= 25; + src <= 3; + when "0101111" => + dest <= 3; + src <= 25; + when "0110000" => + dest <= 3; + src <= 54; + when "0110001" => + dest <= 79; + src <= 3; + when "0110010" => + dest <= 80; + src <= 33; + when "0110011" => + dest <= 3; + src <= 3; + when "0110100" => + dest <= 69; + src <= 46; + when "0110101" => + dest <= 3; + src <= 41; + when "0110110" => + dest <= 17; + src <= 71; + when "0110111" => + dest <= 59; + src <= 3; + when "0111000" => + dest <= 3; + src <= 17; + when "0111001" => + dest <= 18; + src <= 16; + when "0111010" => + dest <= 49; + src <= 3; + when "0111011" => + dest <= 28; + src <= 18; + when "0111100" => + dest <= 3; + src <= 3; + when "0111101" => + dest <= 40; + src <= 79; + when "0111110" => + dest <= 47; + src <= 28; + when "0111111" => + dest <= 3; + src <= 3; + when "1000000" => + dest <= 32; + src <= 69; + when "1000001" => + dest <= 3; + src <= 38; + when "1000010" => + dest <= 63; + src <= 59; + when "1000011" => + dest <= 24; + src <= 3; + when "1000100" => + dest <= 55; + src <= 47; + when "1000101" => + dest <= 3; + src <= 40; + when "1000110" => + dest <= 16; + src <= 3; + when "1000111" => + dest <= 3; + src <= 55; + when "1001000" => + dest <= 72; + src <= 3; + when "1001001" => + dest <= 78; + src <= 24; + when "1001010" => + dest <= 58; + src <= 63; + when "1001011" => + dest <= 38; + src <= 32; + when "1001100" => + dest <= 3; + src <= 3; + when "1001101" => + dest <= 68; + src <= 80; + when "1001110" => + dest <= 3; + src <= 15; + when "1001111" => + dest <= 9; + src <= 9; + when "1010000" => + dest <= 76; + src <= 29; + when "1010001" => + dest <= 19; + src <= 3; + when "1010010" => + dest <= 56; + src <= 49; + when "1010011" => + dest <= 31; + src <= 19; + when "1010100" => + dest <= 3; + src <= 11; + when "1010101" => + dest <= 64; + src <= 78; + when "1010110" => + dest <= 23; + src <= 3; + when "1010111" => + dest <= 3; + src <= 68; + when "1011000" => + dest <= 15; + src <= 31; + when "1011001" => + dest <= 74; + src <= 56; + when "1011010" => + dest <= 77; + src <= 3; + when "1011011" => + dest <= 73; + src <= 23; + when "1011100" => + dest <= 29; + src <= 64; + when "1011101" => + dest <= 66; + src <= 13; + when "1011110" => + dest <= 14; + src <= 72; + when "1011111" => + dest <= 10; + src <= 10; + when "1100000" => + dest <= 65; + src <= 14; + when "1100001" => + dest <= 22; + src <= 21; + when "1100010" => + dest <= 57; + src <= 58; + when "1100011" => + dest <= 6; + src <= 73; + when "1100100" => + dest <= 20; + src <= 77; + when "1100101" => + dest <= 3; + src <= 22; + when "1100110" => + dest <= 30; + src <= 65; + when "1100111" => + dest <= 3; + src <= 30; + when "1101000" => + dest <= 13; + src <= 0; + when "1101001" => + dest <= 5; + src <= 67; + when "1101010" => + dest <= 11; + src <= 3; + when "1101011" => + dest <= 3; + src <= 57; + when "1101100" => + dest <= 1; + src <= 74; + when "1101101" => + dest <= 3; + src <= 1; + when "1101110" => + dest <= 3; + src <= 76; + when "1101111" => + dest <= 52; + src <= 3; + when "1110000" => + dest <= 44; + src <= 5; + when others => + dest <= 3; + src <= 3; + + end case; + end process; + + end generate NI_NUM3; + + NI_NUM4 : if NI_NUM = 4 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 1; + src <= 4; + when "0000001" => + dest <= 5; + src <= 4; + when "0000010" => + dest <= 4; + src <= 4; + when "0000011" => + dest <= 45; + src <= 4; + when "0000100" => + dest <= 40; + src <= 3; + when "0000101" => + dest <= 4; + src <= 7; + when "0000110" => + dest <= 44; + src <= 4; + when "0000111" => + dest <= 4; + src <= 4; + when "0001000" => + dest <= 62; + src <= 36; + when "0001001" => + dest <= 13; + src <= 53; + when "0001010" => + dest <= 4; + src <= 49; + when "0001011" => + dest <= 52; + src <= 4; + when "0001100" => + dest <= 0; + src <= 76; + when "0001101" => + dest <= 4; + src <= 44; + when "0001110" => + dest <= 27; + src <= 4; + when "0001111" => + dest <= 49; + src <= 4; + when "0010000" => + dest <= 3; + src <= 45; + when "0010001" => + dest <= 37; + src <= 27; + when "0010010" => + dest <= 43; + src <= 8; + when "0010011" => + dest <= 68; + src <= 5; + when "0010100" => + dest <= 4; + src <= 37; + when "0010101" => + dest <= 54; + src <= 40; + when "0010110" => + dest <= 35; + src <= 4; + when "0010111" => + dest <= 4; + src <= 62; + when "0011000" => + dest <= 46; + src <= 21; + when "0011001" => + dest <= 4; + src <= 4; + when "0011010" => + dest <= 8; + src <= 52; + when "0011011" => + dest <= 4; + src <= 46; + when "0011100" => + dest <= 71; + src <= 4; + when "0011101" => + dest <= 18; + src <= 4; + when "0011110" => + dest <= 4; + src <= 35; + when "0011111" => + dest <= 61; + src <= 54; + when "0100000" => + dest <= 28; + src <= 0; + when "0100001" => + dest <= 4; + src <= 43; + when "0100010" => + dest <= 51; + src <= 4; + when "0100011" => + dest <= 38; + src <= 4; + when "0100100" => + dest <= 4; + src <= 18; + when "0100101" => + dest <= 4; + src <= 71; + when "0100110" => + dest <= 76; + src <= 4; + when "0100111" => + dest <= 63; + src <= 28; + when "0101000" => + dest <= 34; + src <= 61; + when "0101001" => + dest <= 22; + src <= 13; + when "0101010" => + dest <= 55; + src <= 38; + when "0101011" => + dest <= 4; + src <= 51; + when "0101100" => + dest <= 42; + src <= 4; + when "0101101" => + dest <= 47; + src <= 67; + when "0101110" => + dest <= 26; + src <= 4; + when "0101111" => + dest <= 4; + src <= 26; + when "0110000" => + dest <= 4; + src <= 55; + when "0110001" => + dest <= 80; + src <= 4; + when "0110010" => + dest <= 72; + src <= 34; + when "0110011" => + dest <= 4; + src <= 4; + when "0110100" => + dest <= 70; + src <= 47; + when "0110101" => + dest <= 4; + src <= 42; + when "0110110" => + dest <= 9; + src <= 63; + when "0110111" => + dest <= 60; + src <= 4; + when "0111000" => + dest <= 4; + src <= 9; + when "0111001" => + dest <= 19; + src <= 17; + when "0111010" => + dest <= 50; + src <= 4; + when "0111011" => + dest <= 29; + src <= 19; + when "0111100" => + dest <= 4; + src <= 4; + when "0111101" => + dest <= 41; + src <= 80; + when "0111110" => + dest <= 48; + src <= 29; + when "0111111" => + dest <= 4; + src <= 4; + when "1000000" => + dest <= 33; + src <= 70; + when "1000001" => + dest <= 4; + src <= 39; + when "1000010" => + dest <= 64; + src <= 60; + when "1000011" => + dest <= 25; + src <= 4; + when "1000100" => + dest <= 56; + src <= 48; + when "1000101" => + dest <= 4; + src <= 41; + when "1000110" => + dest <= 17; + src <= 4; + when "1000111" => + dest <= 4; + src <= 56; + when "1001000" => + dest <= 73; + src <= 4; + when "1001001" => + dest <= 79; + src <= 25; + when "1001010" => + dest <= 59; + src <= 64; + when "1001011" => + dest <= 39; + src <= 33; + when "1001100" => + dest <= 4; + src <= 4; + when "1001101" => + dest <= 69; + src <= 72; + when "1001110" => + dest <= 4; + src <= 16; + when "1001111" => + dest <= 10; + src <= 10; + when "1010000" => + dest <= 77; + src <= 30; + when "1010001" => + dest <= 20; + src <= 4; + when "1010010" => + dest <= 57; + src <= 50; + when "1010011" => + dest <= 32; + src <= 20; + when "1010100" => + dest <= 4; + src <= 12; + when "1010101" => + dest <= 65; + src <= 79; + when "1010110" => + dest <= 24; + src <= 4; + when "1010111" => + dest <= 4; + src <= 69; + when "1011000" => + dest <= 16; + src <= 32; + when "1011001" => + dest <= 75; + src <= 57; + when "1011010" => + dest <= 78; + src <= 4; + when "1011011" => + dest <= 74; + src <= 24; + when "1011100" => + dest <= 30; + src <= 65; + when "1011101" => + dest <= 67; + src <= 14; + when "1011110" => + dest <= 15; + src <= 73; + when "1011111" => + dest <= 11; + src <= 11; + when "1100000" => + dest <= 66; + src <= 15; + when "1100001" => + dest <= 23; + src <= 22; + when "1100010" => + dest <= 58; + src <= 59; + when "1100011" => + dest <= 7; + src <= 74; + when "1100100" => + dest <= 21; + src <= 78; + when "1100101" => + dest <= 4; + src <= 23; + when "1100110" => + dest <= 31; + src <= 66; + when "1100111" => + dest <= 4; + src <= 31; + when "1101000" => + dest <= 14; + src <= 1; + when "1101001" => + dest <= 6; + src <= 68; + when "1101010" => + dest <= 12; + src <= 4; + when "1101011" => + dest <= 4; + src <= 58; + when "1101100" => + dest <= 2; + src <= 75; + when "1101101" => + dest <= 4; + src <= 2; + when "1101110" => + dest <= 4; + src <= 77; + when "1101111" => + dest <= 53; + src <= 4; + when "1110000" => + dest <= 36; + src <= 6; + when others => + dest <= 4; + src <= 4; + + end case; + end process; + + end generate NI_NUM4; + + NI_NUM5 : if NI_NUM = 5 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 2; + src <= 5; + when "0000001" => + dest <= 6; + src <= 5; + when "0000010" => + dest <= 5; + src <= 5; + when "0000011" => + dest <= 46; + src <= 5; + when "0000100" => + dest <= 41; + src <= 4; + when "0000101" => + dest <= 5; + src <= 8; + when "0000110" => + dest <= 36; + src <= 5; + when "0000111" => + dest <= 5; + src <= 5; + when "0001000" => + dest <= 54; + src <= 37; + when "0001001" => + dest <= 14; + src <= 45; + when "0001010" => + dest <= 5; + src <= 50; + when "0001011" => + dest <= 53; + src <= 5; + when "0001100" => + dest <= 1; + src <= 77; + when "0001101" => + dest <= 5; + src <= 36; + when "0001110" => + dest <= 28; + src <= 5; + when "0001111" => + dest <= 50; + src <= 5; + when "0010000" => + dest <= 4; + src <= 46; + when "0010001" => + dest <= 38; + src <= 28; + when "0010010" => + dest <= 44; + src <= 0; + when "0010011" => + dest <= 69; + src <= 6; + when "0010100" => + dest <= 5; + src <= 38; + when "0010101" => + dest <= 55; + src <= 41; + when "0010110" => + dest <= 27; + src <= 5; + when "0010111" => + dest <= 5; + src <= 54; + when "0011000" => + dest <= 47; + src <= 22; + when "0011001" => + dest <= 5; + src <= 5; + when "0011010" => + dest <= 0; + src <= 53; + when "0011011" => + dest <= 5; + src <= 47; + when "0011100" => + dest <= 63; + src <= 5; + when "0011101" => + dest <= 19; + src <= 5; + when "0011110" => + dest <= 5; + src <= 27; + when "0011111" => + dest <= 62; + src <= 55; + when "0100000" => + dest <= 29; + src <= 1; + when "0100001" => + dest <= 5; + src <= 44; + when "0100010" => + dest <= 52; + src <= 5; + when "0100011" => + dest <= 39; + src <= 5; + when "0100100" => + dest <= 5; + src <= 19; + when "0100101" => + dest <= 5; + src <= 63; + when "0100110" => + dest <= 77; + src <= 5; + when "0100111" => + dest <= 64; + src <= 29; + when "0101000" => + dest <= 35; + src <= 62; + when "0101001" => + dest <= 23; + src <= 14; + when "0101010" => + dest <= 56; + src <= 39; + when "0101011" => + dest <= 5; + src <= 52; + when "0101100" => + dest <= 43; + src <= 5; + when "0101101" => + dest <= 48; + src <= 68; + when "0101110" => + dest <= 18; + src <= 5; + when "0101111" => + dest <= 5; + src <= 18; + when "0110000" => + dest <= 5; + src <= 56; + when "0110001" => + dest <= 72; + src <= 5; + when "0110010" => + dest <= 73; + src <= 35; + when "0110011" => + dest <= 5; + src <= 5; + when "0110100" => + dest <= 71; + src <= 48; + when "0110101" => + dest <= 5; + src <= 43; + when "0110110" => + dest <= 10; + src <= 64; + when "0110111" => + dest <= 61; + src <= 5; + when "0111000" => + dest <= 5; + src <= 10; + when "0111001" => + dest <= 20; + src <= 9; + when "0111010" => + dest <= 51; + src <= 5; + when "0111011" => + dest <= 30; + src <= 20; + when "0111100" => + dest <= 5; + src <= 5; + when "0111101" => + dest <= 42; + src <= 72; + when "0111110" => + dest <= 49; + src <= 30; + when "0111111" => + dest <= 5; + src <= 5; + when "1000000" => + dest <= 34; + src <= 71; + when "1000001" => + dest <= 5; + src <= 40; + when "1000010" => + dest <= 65; + src <= 61; + when "1000011" => + dest <= 26; + src <= 5; + when "1000100" => + dest <= 57; + src <= 49; + when "1000101" => + dest <= 5; + src <= 42; + when "1000110" => + dest <= 9; + src <= 5; + when "1000111" => + dest <= 5; + src <= 57; + when "1001000" => + dest <= 74; + src <= 5; + when "1001001" => + dest <= 80; + src <= 26; + when "1001010" => + dest <= 60; + src <= 65; + when "1001011" => + dest <= 40; + src <= 34; + when "1001100" => + dest <= 5; + src <= 5; + when "1001101" => + dest <= 70; + src <= 73; + when "1001110" => + dest <= 5; + src <= 17; + when "1001111" => + dest <= 11; + src <= 11; + when "1010000" => + dest <= 78; + src <= 31; + when "1010001" => + dest <= 21; + src <= 5; + when "1010010" => + dest <= 58; + src <= 51; + when "1010011" => + dest <= 33; + src <= 21; + when "1010100" => + dest <= 5; + src <= 13; + when "1010101" => + dest <= 66; + src <= 80; + when "1010110" => + dest <= 25; + src <= 5; + when "1010111" => + dest <= 5; + src <= 70; + when "1011000" => + dest <= 17; + src <= 33; + when "1011001" => + dest <= 76; + src <= 58; + when "1011010" => + dest <= 79; + src <= 5; + when "1011011" => + dest <= 75; + src <= 25; + when "1011100" => + dest <= 31; + src <= 66; + when "1011101" => + dest <= 68; + src <= 15; + when "1011110" => + dest <= 16; + src <= 74; + when "1011111" => + dest <= 12; + src <= 12; + when "1100000" => + dest <= 67; + src <= 16; + when "1100001" => + dest <= 24; + src <= 23; + when "1100010" => + dest <= 59; + src <= 60; + when "1100011" => + dest <= 8; + src <= 75; + when "1100100" => + dest <= 22; + src <= 79; + when "1100101" => + dest <= 5; + src <= 24; + when "1100110" => + dest <= 32; + src <= 67; + when "1100111" => + dest <= 5; + src <= 32; + when "1101000" => + dest <= 15; + src <= 2; + when "1101001" => + dest <= 7; + src <= 69; + when "1101010" => + dest <= 13; + src <= 5; + when "1101011" => + dest <= 5; + src <= 59; + when "1101100" => + dest <= 3; + src <= 76; + when "1101101" => + dest <= 5; + src <= 3; + when "1101110" => + dest <= 5; + src <= 78; + when "1101111" => + dest <= 45; + src <= 5; + when "1110000" => + dest <= 37; + src <= 7; + when others => + dest <= 5; + src <= 5; + + end case; + end process; + + end generate NI_NUM5; + + NI_NUM6 : if NI_NUM = 6 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 3; + src <= 6; + when "0000001" => + dest <= 7; + src <= 6; + when "0000010" => + dest <= 6; + src <= 6; + when "0000011" => + dest <= 47; + src <= 6; + when "0000100" => + dest <= 42; + src <= 5; + when "0000101" => + dest <= 6; + src <= 0; + when "0000110" => + dest <= 37; + src <= 6; + when "0000111" => + dest <= 6; + src <= 6; + when "0001000" => + dest <= 55; + src <= 38; + when "0001001" => + dest <= 15; + src <= 46; + when "0001010" => + dest <= 6; + src <= 51; + when "0001011" => + dest <= 45; + src <= 6; + when "0001100" => + dest <= 2; + src <= 78; + when "0001101" => + dest <= 6; + src <= 37; + when "0001110" => + dest <= 29; + src <= 6; + when "0001111" => + dest <= 51; + src <= 6; + when "0010000" => + dest <= 5; + src <= 47; + when "0010001" => + dest <= 39; + src <= 29; + when "0010010" => + dest <= 36; + src <= 1; + when "0010011" => + dest <= 70; + src <= 7; + when "0010100" => + dest <= 6; + src <= 39; + when "0010101" => + dest <= 56; + src <= 42; + when "0010110" => + dest <= 28; + src <= 6; + when "0010111" => + dest <= 6; + src <= 55; + when "0011000" => + dest <= 48; + src <= 23; + when "0011001" => + dest <= 6; + src <= 6; + when "0011010" => + dest <= 1; + src <= 45; + when "0011011" => + dest <= 6; + src <= 48; + when "0011100" => + dest <= 64; + src <= 6; + when "0011101" => + dest <= 20; + src <= 6; + when "0011110" => + dest <= 6; + src <= 28; + when "0011111" => + dest <= 54; + src <= 56; + when "0100000" => + dest <= 30; + src <= 2; + when "0100001" => + dest <= 6; + src <= 36; + when "0100010" => + dest <= 53; + src <= 6; + when "0100011" => + dest <= 40; + src <= 6; + when "0100100" => + dest <= 6; + src <= 20; + when "0100101" => + dest <= 6; + src <= 64; + when "0100110" => + dest <= 78; + src <= 6; + when "0100111" => + dest <= 65; + src <= 30; + when "0101000" => + dest <= 27; + src <= 54; + when "0101001" => + dest <= 24; + src <= 15; + when "0101010" => + dest <= 57; + src <= 40; + when "0101011" => + dest <= 6; + src <= 53; + when "0101100" => + dest <= 44; + src <= 6; + when "0101101" => + dest <= 49; + src <= 69; + when "0101110" => + dest <= 19; + src <= 6; + when "0101111" => + dest <= 6; + src <= 19; + when "0110000" => + dest <= 6; + src <= 57; + when "0110001" => + dest <= 73; + src <= 6; + when "0110010" => + dest <= 74; + src <= 27; + when "0110011" => + dest <= 6; + src <= 6; + when "0110100" => + dest <= 63; + src <= 49; + when "0110101" => + dest <= 6; + src <= 44; + when "0110110" => + dest <= 11; + src <= 65; + when "0110111" => + dest <= 62; + src <= 6; + when "0111000" => + dest <= 6; + src <= 11; + when "0111001" => + dest <= 21; + src <= 10; + when "0111010" => + dest <= 52; + src <= 6; + when "0111011" => + dest <= 31; + src <= 21; + when "0111100" => + dest <= 6; + src <= 6; + when "0111101" => + dest <= 43; + src <= 73; + when "0111110" => + dest <= 50; + src <= 31; + when "0111111" => + dest <= 6; + src <= 6; + when "1000000" => + dest <= 35; + src <= 63; + when "1000001" => + dest <= 6; + src <= 41; + when "1000010" => + dest <= 66; + src <= 62; + when "1000011" => + dest <= 18; + src <= 6; + when "1000100" => + dest <= 58; + src <= 50; + when "1000101" => + dest <= 6; + src <= 43; + when "1000110" => + dest <= 10; + src <= 6; + when "1000111" => + dest <= 6; + src <= 58; + when "1001000" => + dest <= 75; + src <= 6; + when "1001001" => + dest <= 72; + src <= 18; + when "1001010" => + dest <= 61; + src <= 66; + when "1001011" => + dest <= 41; + src <= 35; + when "1001100" => + dest <= 6; + src <= 6; + when "1001101" => + dest <= 71; + src <= 74; + when "1001110" => + dest <= 6; + src <= 9; + when "1001111" => + dest <= 12; + src <= 12; + when "1010000" => + dest <= 79; + src <= 32; + when "1010001" => + dest <= 22; + src <= 6; + when "1010010" => + dest <= 59; + src <= 52; + when "1010011" => + dest <= 34; + src <= 22; + when "1010100" => + dest <= 6; + src <= 14; + when "1010101" => + dest <= 67; + src <= 72; + when "1010110" => + dest <= 26; + src <= 6; + when "1010111" => + dest <= 6; + src <= 71; + when "1011000" => + dest <= 9; + src <= 34; + when "1011001" => + dest <= 77; + src <= 59; + when "1011010" => + dest <= 80; + src <= 6; + when "1011011" => + dest <= 76; + src <= 26; + when "1011100" => + dest <= 32; + src <= 67; + when "1011101" => + dest <= 69; + src <= 16; + when "1011110" => + dest <= 17; + src <= 75; + when "1011111" => + dest <= 13; + src <= 13; + when "1100000" => + dest <= 68; + src <= 17; + when "1100001" => + dest <= 25; + src <= 24; + when "1100010" => + dest <= 60; + src <= 61; + when "1100011" => + dest <= 0; + src <= 76; + when "1100100" => + dest <= 23; + src <= 80; + when "1100101" => + dest <= 6; + src <= 25; + when "1100110" => + dest <= 33; + src <= 68; + when "1100111" => + dest <= 6; + src <= 33; + when "1101000" => + dest <= 16; + src <= 3; + when "1101001" => + dest <= 8; + src <= 70; + when "1101010" => + dest <= 14; + src <= 6; + when "1101011" => + dest <= 6; + src <= 60; + when "1101100" => + dest <= 4; + src <= 77; + when "1101101" => + dest <= 6; + src <= 4; + when "1101110" => + dest <= 6; + src <= 79; + when "1101111" => + dest <= 46; + src <= 6; + when "1110000" => + dest <= 38; + src <= 8; + when others => + dest <= 6; + src <= 6; + + end case; + end process; + + end generate NI_NUM6; + + NI_NUM7 : if NI_NUM = 7 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 4; + src <= 7; + when "0000001" => + dest <= 8; + src <= 7; + when "0000010" => + dest <= 7; + src <= 7; + when "0000011" => + dest <= 48; + src <= 7; + when "0000100" => + dest <= 43; + src <= 6; + when "0000101" => + dest <= 7; + src <= 1; + when "0000110" => + dest <= 38; + src <= 7; + when "0000111" => + dest <= 7; + src <= 7; + when "0001000" => + dest <= 56; + src <= 39; + when "0001001" => + dest <= 16; + src <= 47; + when "0001010" => + dest <= 7; + src <= 52; + when "0001011" => + dest <= 46; + src <= 7; + when "0001100" => + dest <= 3; + src <= 79; + when "0001101" => + dest <= 7; + src <= 38; + when "0001110" => + dest <= 30; + src <= 7; + when "0001111" => + dest <= 52; + src <= 7; + when "0010000" => + dest <= 6; + src <= 48; + when "0010001" => + dest <= 40; + src <= 30; + when "0010010" => + dest <= 37; + src <= 2; + when "0010011" => + dest <= 71; + src <= 8; + when "0010100" => + dest <= 7; + src <= 40; + when "0010101" => + dest <= 57; + src <= 43; + when "0010110" => + dest <= 29; + src <= 7; + when "0010111" => + dest <= 7; + src <= 56; + when "0011000" => + dest <= 49; + src <= 24; + when "0011001" => + dest <= 7; + src <= 7; + when "0011010" => + dest <= 2; + src <= 46; + when "0011011" => + dest <= 7; + src <= 49; + when "0011100" => + dest <= 65; + src <= 7; + when "0011101" => + dest <= 21; + src <= 7; + when "0011110" => + dest <= 7; + src <= 29; + when "0011111" => + dest <= 55; + src <= 57; + when "0100000" => + dest <= 31; + src <= 3; + when "0100001" => + dest <= 7; + src <= 37; + when "0100010" => + dest <= 45; + src <= 7; + when "0100011" => + dest <= 41; + src <= 7; + when "0100100" => + dest <= 7; + src <= 21; + when "0100101" => + dest <= 7; + src <= 65; + when "0100110" => + dest <= 79; + src <= 7; + when "0100111" => + dest <= 66; + src <= 31; + when "0101000" => + dest <= 28; + src <= 55; + when "0101001" => + dest <= 25; + src <= 16; + when "0101010" => + dest <= 58; + src <= 41; + when "0101011" => + dest <= 7; + src <= 45; + when "0101100" => + dest <= 36; + src <= 7; + when "0101101" => + dest <= 50; + src <= 70; + when "0101110" => + dest <= 20; + src <= 7; + when "0101111" => + dest <= 7; + src <= 20; + when "0110000" => + dest <= 7; + src <= 58; + when "0110001" => + dest <= 74; + src <= 7; + when "0110010" => + dest <= 75; + src <= 28; + when "0110011" => + dest <= 7; + src <= 7; + when "0110100" => + dest <= 64; + src <= 50; + when "0110101" => + dest <= 7; + src <= 36; + when "0110110" => + dest <= 12; + src <= 66; + when "0110111" => + dest <= 54; + src <= 7; + when "0111000" => + dest <= 7; + src <= 12; + when "0111001" => + dest <= 22; + src <= 11; + when "0111010" => + dest <= 53; + src <= 7; + when "0111011" => + dest <= 32; + src <= 22; + when "0111100" => + dest <= 7; + src <= 7; + when "0111101" => + dest <= 44; + src <= 74; + when "0111110" => + dest <= 51; + src <= 32; + when "0111111" => + dest <= 7; + src <= 7; + when "1000000" => + dest <= 27; + src <= 64; + when "1000001" => + dest <= 7; + src <= 42; + when "1000010" => + dest <= 67; + src <= 54; + when "1000011" => + dest <= 19; + src <= 7; + when "1000100" => + dest <= 59; + src <= 51; + when "1000101" => + dest <= 7; + src <= 44; + when "1000110" => + dest <= 11; + src <= 7; + when "1000111" => + dest <= 7; + src <= 59; + when "1001000" => + dest <= 76; + src <= 7; + when "1001001" => + dest <= 73; + src <= 19; + when "1001010" => + dest <= 62; + src <= 67; + when "1001011" => + dest <= 42; + src <= 27; + when "1001100" => + dest <= 7; + src <= 7; + when "1001101" => + dest <= 63; + src <= 75; + when "1001110" => + dest <= 7; + src <= 10; + when "1001111" => + dest <= 13; + src <= 13; + when "1010000" => + dest <= 80; + src <= 33; + when "1010001" => + dest <= 23; + src <= 7; + when "1010010" => + dest <= 60; + src <= 53; + when "1010011" => + dest <= 35; + src <= 23; + when "1010100" => + dest <= 7; + src <= 15; + when "1010101" => + dest <= 68; + src <= 73; + when "1010110" => + dest <= 18; + src <= 7; + when "1010111" => + dest <= 7; + src <= 63; + when "1011000" => + dest <= 10; + src <= 35; + when "1011001" => + dest <= 78; + src <= 60; + when "1011010" => + dest <= 72; + src <= 7; + when "1011011" => + dest <= 77; + src <= 18; + when "1011100" => + dest <= 33; + src <= 68; + when "1011101" => + dest <= 70; + src <= 17; + when "1011110" => + dest <= 9; + src <= 76; + when "1011111" => + dest <= 14; + src <= 14; + when "1100000" => + dest <= 69; + src <= 9; + when "1100001" => + dest <= 26; + src <= 25; + when "1100010" => + dest <= 61; + src <= 62; + when "1100011" => + dest <= 1; + src <= 77; + when "1100100" => + dest <= 24; + src <= 72; + when "1100101" => + dest <= 7; + src <= 26; + when "1100110" => + dest <= 34; + src <= 69; + when "1100111" => + dest <= 7; + src <= 34; + when "1101000" => + dest <= 17; + src <= 4; + when "1101001" => + dest <= 0; + src <= 71; + when "1101010" => + dest <= 15; + src <= 7; + when "1101011" => + dest <= 7; + src <= 61; + when "1101100" => + dest <= 5; + src <= 78; + when "1101101" => + dest <= 7; + src <= 5; + when "1101110" => + dest <= 7; + src <= 80; + when "1101111" => + dest <= 47; + src <= 7; + when "1110000" => + dest <= 39; + src <= 0; + when others => + dest <= 7; + src <= 7; + + end case; + end process; + + end generate NI_NUM7; + + NI_NUM8 : if NI_NUM = 8 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 5; + src <= 8; + when "0000001" => + dest <= 0; + src <= 8; + when "0000010" => + dest <= 8; + src <= 8; + when "0000011" => + dest <= 49; + src <= 8; + when "0000100" => + dest <= 44; + src <= 7; + when "0000101" => + dest <= 8; + src <= 2; + when "0000110" => + dest <= 39; + src <= 8; + when "0000111" => + dest <= 8; + src <= 8; + when "0001000" => + dest <= 57; + src <= 40; + when "0001001" => + dest <= 17; + src <= 48; + when "0001010" => + dest <= 8; + src <= 53; + when "0001011" => + dest <= 47; + src <= 8; + when "0001100" => + dest <= 4; + src <= 80; + when "0001101" => + dest <= 8; + src <= 39; + when "0001110" => + dest <= 31; + src <= 8; + when "0001111" => + dest <= 53; + src <= 8; + when "0010000" => + dest <= 7; + src <= 49; + when "0010001" => + dest <= 41; + src <= 31; + when "0010010" => + dest <= 38; + src <= 3; + when "0010011" => + dest <= 63; + src <= 0; + when "0010100" => + dest <= 8; + src <= 41; + when "0010101" => + dest <= 58; + src <= 44; + when "0010110" => + dest <= 30; + src <= 8; + when "0010111" => + dest <= 8; + src <= 57; + when "0011000" => + dest <= 50; + src <= 25; + when "0011001" => + dest <= 8; + src <= 8; + when "0011010" => + dest <= 3; + src <= 47; + when "0011011" => + dest <= 8; + src <= 50; + when "0011100" => + dest <= 66; + src <= 8; + when "0011101" => + dest <= 22; + src <= 8; + when "0011110" => + dest <= 8; + src <= 30; + when "0011111" => + dest <= 56; + src <= 58; + when "0100000" => + dest <= 32; + src <= 4; + when "0100001" => + dest <= 8; + src <= 38; + when "0100010" => + dest <= 46; + src <= 8; + when "0100011" => + dest <= 42; + src <= 8; + when "0100100" => + dest <= 8; + src <= 22; + when "0100101" => + dest <= 8; + src <= 66; + when "0100110" => + dest <= 80; + src <= 8; + when "0100111" => + dest <= 67; + src <= 32; + when "0101000" => + dest <= 29; + src <= 56; + when "0101001" => + dest <= 26; + src <= 17; + when "0101010" => + dest <= 59; + src <= 42; + when "0101011" => + dest <= 8; + src <= 46; + when "0101100" => + dest <= 37; + src <= 8; + when "0101101" => + dest <= 51; + src <= 71; + when "0101110" => + dest <= 21; + src <= 8; + when "0101111" => + dest <= 8; + src <= 21; + when "0110000" => + dest <= 8; + src <= 59; + when "0110001" => + dest <= 75; + src <= 8; + when "0110010" => + dest <= 76; + src <= 29; + when "0110011" => + dest <= 8; + src <= 8; + when "0110100" => + dest <= 65; + src <= 51; + when "0110101" => + dest <= 8; + src <= 37; + when "0110110" => + dest <= 13; + src <= 67; + when "0110111" => + dest <= 55; + src <= 8; + when "0111000" => + dest <= 8; + src <= 13; + when "0111001" => + dest <= 23; + src <= 12; + when "0111010" => + dest <= 45; + src <= 8; + when "0111011" => + dest <= 33; + src <= 23; + when "0111100" => + dest <= 8; + src <= 8; + when "0111101" => + dest <= 36; + src <= 75; + when "0111110" => + dest <= 52; + src <= 33; + when "0111111" => + dest <= 8; + src <= 8; + when "1000000" => + dest <= 28; + src <= 65; + when "1000001" => + dest <= 8; + src <= 43; + when "1000010" => + dest <= 68; + src <= 55; + when "1000011" => + dest <= 20; + src <= 8; + when "1000100" => + dest <= 60; + src <= 52; + when "1000101" => + dest <= 8; + src <= 36; + when "1000110" => + dest <= 12; + src <= 8; + when "1000111" => + dest <= 8; + src <= 60; + when "1001000" => + dest <= 77; + src <= 8; + when "1001001" => + dest <= 74; + src <= 20; + when "1001010" => + dest <= 54; + src <= 68; + when "1001011" => + dest <= 43; + src <= 28; + when "1001100" => + dest <= 8; + src <= 8; + when "1001101" => + dest <= 64; + src <= 76; + when "1001110" => + dest <= 8; + src <= 11; + when "1001111" => + dest <= 14; + src <= 14; + when "1010000" => + dest <= 72; + src <= 34; + when "1010001" => + dest <= 24; + src <= 8; + when "1010010" => + dest <= 61; + src <= 45; + when "1010011" => + dest <= 27; + src <= 24; + when "1010100" => + dest <= 8; + src <= 16; + when "1010101" => + dest <= 69; + src <= 74; + when "1010110" => + dest <= 19; + src <= 8; + when "1010111" => + dest <= 8; + src <= 64; + when "1011000" => + dest <= 11; + src <= 27; + when "1011001" => + dest <= 79; + src <= 61; + when "1011010" => + dest <= 73; + src <= 8; + when "1011011" => + dest <= 78; + src <= 19; + when "1011100" => + dest <= 34; + src <= 69; + when "1011101" => + dest <= 71; + src <= 9; + when "1011110" => + dest <= 10; + src <= 77; + when "1011111" => + dest <= 15; + src <= 15; + when "1100000" => + dest <= 70; + src <= 10; + when "1100001" => + dest <= 18; + src <= 26; + when "1100010" => + dest <= 62; + src <= 54; + when "1100011" => + dest <= 2; + src <= 78; + when "1100100" => + dest <= 25; + src <= 73; + when "1100101" => + dest <= 8; + src <= 18; + when "1100110" => + dest <= 35; + src <= 70; + when "1100111" => + dest <= 8; + src <= 35; + when "1101000" => + dest <= 9; + src <= 5; + when "1101001" => + dest <= 1; + src <= 63; + when "1101010" => + dest <= 16; + src <= 8; + when "1101011" => + dest <= 8; + src <= 62; + when "1101100" => + dest <= 6; + src <= 79; + when "1101101" => + dest <= 8; + src <= 6; + when "1101110" => + dest <= 8; + src <= 72; + when "1101111" => + dest <= 48; + src <= 8; + when "1110000" => + dest <= 40; + src <= 1; + when others => + dest <= 8; + src <= 8; + + end case; + end process; + + end generate NI_NUM8; + + NI_NUM9 : if NI_NUM = 9 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 15; + src <= 9; + when "0000001" => + dest <= 10; + src <= 9; + when "0000010" => + dest <= 9; + src <= 9; + when "0000011" => + dest <= 59; + src <= 9; + when "0000100" => + dest <= 45; + src <= 17; + when "0000101" => + dest <= 9; + src <= 12; + when "0000110" => + dest <= 49; + src <= 9; + when "0000111" => + dest <= 9; + src <= 9; + when "0001000" => + dest <= 67; + src <= 50; + when "0001001" => + dest <= 18; + src <= 58; + when "0001010" => + dest <= 9; + src <= 54; + when "0001011" => + dest <= 57; + src <= 9; + when "0001100" => + dest <= 14; + src <= 0; + when "0001101" => + dest <= 9; + src <= 49; + when "0001110" => + dest <= 41; + src <= 9; + when "0001111" => + dest <= 54; + src <= 9; + when "0010000" => + dest <= 17; + src <= 59; + when "0010001" => + dest <= 51; + src <= 41; + when "0010010" => + dest <= 48; + src <= 13; + when "0010011" => + dest <= 73; + src <= 10; + when "0010100" => + dest <= 9; + src <= 51; + when "0010101" => + dest <= 68; + src <= 45; + when "0010110" => + dest <= 40; + src <= 9; + when "0010111" => + dest <= 9; + src <= 67; + when "0011000" => + dest <= 60; + src <= 35; + when "0011001" => + dest <= 9; + src <= 9; + when "0011010" => + dest <= 13; + src <= 57; + when "0011011" => + dest <= 9; + src <= 60; + when "0011100" => + dest <= 76; + src <= 9; + when "0011101" => + dest <= 32; + src <= 9; + when "0011110" => + dest <= 9; + src <= 40; + when "0011111" => + dest <= 66; + src <= 68; + when "0100000" => + dest <= 42; + src <= 14; + when "0100001" => + dest <= 9; + src <= 48; + when "0100010" => + dest <= 56; + src <= 9; + when "0100011" => + dest <= 52; + src <= 9; + when "0100100" => + dest <= 9; + src <= 32; + when "0100101" => + dest <= 9; + src <= 76; + when "0100110" => + dest <= 0; + src <= 9; + when "0100111" => + dest <= 77; + src <= 42; + when "0101000" => + dest <= 39; + src <= 66; + when "0101001" => + dest <= 27; + src <= 18; + when "0101010" => + dest <= 69; + src <= 52; + when "0101011" => + dest <= 9; + src <= 56; + when "0101100" => + dest <= 47; + src <= 9; + when "0101101" => + dest <= 61; + src <= 72; + when "0101110" => + dest <= 31; + src <= 9; + when "0101111" => + dest <= 9; + src <= 31; + when "0110000" => + dest <= 9; + src <= 69; + when "0110001" => + dest <= 4; + src <= 9; + when "0110010" => + dest <= 5; + src <= 39; + when "0110011" => + dest <= 9; + src <= 9; + when "0110100" => + dest <= 75; + src <= 61; + when "0110101" => + dest <= 9; + src <= 47; + when "0110110" => + dest <= 23; + src <= 77; + when "0110111" => + dest <= 65; + src <= 9; + when "0111000" => + dest <= 9; + src <= 23; + when "0111001" => + dest <= 33; + src <= 22; + when "0111010" => + dest <= 55; + src <= 9; + when "0111011" => + dest <= 43; + src <= 33; + when "0111100" => + dest <= 9; + src <= 9; + when "0111101" => + dest <= 46; + src <= 4; + when "0111110" => + dest <= 62; + src <= 43; + when "0111111" => + dest <= 9; + src <= 9; + when "1000000" => + dest <= 38; + src <= 75; + when "1000001" => + dest <= 9; + src <= 53; + when "1000010" => + dest <= 78; + src <= 65; + when "1000011" => + dest <= 30; + src <= 9; + when "1000100" => + dest <= 70; + src <= 62; + when "1000101" => + dest <= 9; + src <= 46; + when "1000110" => + dest <= 22; + src <= 9; + when "1000111" => + dest <= 9; + src <= 70; + when "1001000" => + dest <= 6; + src <= 9; + when "1001001" => + dest <= 3; + src <= 30; + when "1001010" => + dest <= 64; + src <= 78; + when "1001011" => + dest <= 53; + src <= 38; + when "1001100" => + dest <= 9; + src <= 9; + when "1001101" => + dest <= 74; + src <= 5; + when "1001110" => + dest <= 9; + src <= 21; + when "1001111" => + dest <= 24; + src <= 24; + when "1010000" => + dest <= 1; + src <= 44; + when "1010001" => + dest <= 34; + src <= 9; + when "1010010" => + dest <= 71; + src <= 55; + when "1010011" => + dest <= 37; + src <= 34; + when "1010100" => + dest <= 9; + src <= 26; + when "1010101" => + dest <= 79; + src <= 3; + when "1010110" => + dest <= 29; + src <= 9; + when "1010111" => + dest <= 9; + src <= 74; + when "1011000" => + dest <= 21; + src <= 37; + when "1011001" => + dest <= 8; + src <= 71; + when "1011010" => + dest <= 2; + src <= 9; + when "1011011" => + dest <= 7; + src <= 29; + when "1011100" => + dest <= 44; + src <= 79; + when "1011101" => + dest <= 72; + src <= 19; + when "1011110" => + dest <= 20; + src <= 6; + when "1011111" => + dest <= 25; + src <= 25; + when "1100000" => + dest <= 80; + src <= 20; + when "1100001" => + dest <= 28; + src <= 27; + when "1100010" => + dest <= 63; + src <= 64; + when "1100011" => + dest <= 12; + src <= 7; + when "1100100" => + dest <= 35; + src <= 2; + when "1100101" => + dest <= 9; + src <= 28; + when "1100110" => + dest <= 36; + src <= 80; + when "1100111" => + dest <= 9; + src <= 36; + when "1101000" => + dest <= 19; + src <= 15; + when "1101001" => + dest <= 11; + src <= 73; + when "1101010" => + dest <= 26; + src <= 9; + when "1101011" => + dest <= 9; + src <= 63; + when "1101100" => + dest <= 16; + src <= 8; + when "1101101" => + dest <= 9; + src <= 16; + when "1101110" => + dest <= 9; + src <= 1; + when "1101111" => + dest <= 58; + src <= 9; + when "1110000" => + dest <= 50; + src <= 11; + when others => + dest <= 9; + src <= 9; + + end case; + end process; + + end generate NI_NUM9; + + NI_NUM10 : if NI_NUM = 10 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 16; + src <= 10; + when "0000001" => + dest <= 11; + src <= 10; + when "0000010" => + dest <= 10; + src <= 10; + when "0000011" => + dest <= 60; + src <= 10; + when "0000100" => + dest <= 46; + src <= 9; + when "0000101" => + dest <= 10; + src <= 13; + when "0000110" => + dest <= 50; + src <= 10; + when "0000111" => + dest <= 10; + src <= 10; + when "0001000" => + dest <= 68; + src <= 51; + when "0001001" => + dest <= 19; + src <= 59; + when "0001010" => + dest <= 10; + src <= 55; + when "0001011" => + dest <= 58; + src <= 10; + when "0001100" => + dest <= 15; + src <= 1; + when "0001101" => + dest <= 10; + src <= 50; + when "0001110" => + dest <= 42; + src <= 10; + when "0001111" => + dest <= 55; + src <= 10; + when "0010000" => + dest <= 9; + src <= 60; + when "0010001" => + dest <= 52; + src <= 42; + when "0010010" => + dest <= 49; + src <= 14; + when "0010011" => + dest <= 74; + src <= 11; + when "0010100" => + dest <= 10; + src <= 52; + when "0010101" => + dest <= 69; + src <= 46; + when "0010110" => + dest <= 41; + src <= 10; + when "0010111" => + dest <= 10; + src <= 68; + when "0011000" => + dest <= 61; + src <= 27; + when "0011001" => + dest <= 10; + src <= 10; + when "0011010" => + dest <= 14; + src <= 58; + when "0011011" => + dest <= 10; + src <= 61; + when "0011100" => + dest <= 77; + src <= 10; + when "0011101" => + dest <= 33; + src <= 10; + when "0011110" => + dest <= 10; + src <= 41; + when "0011111" => + dest <= 67; + src <= 69; + when "0100000" => + dest <= 43; + src <= 15; + when "0100001" => + dest <= 10; + src <= 49; + when "0100010" => + dest <= 57; + src <= 10; + when "0100011" => + dest <= 53; + src <= 10; + when "0100100" => + dest <= 10; + src <= 33; + when "0100101" => + dest <= 10; + src <= 77; + when "0100110" => + dest <= 1; + src <= 10; + when "0100111" => + dest <= 78; + src <= 43; + when "0101000" => + dest <= 40; + src <= 67; + when "0101001" => + dest <= 28; + src <= 19; + when "0101010" => + dest <= 70; + src <= 53; + when "0101011" => + dest <= 10; + src <= 57; + when "0101100" => + dest <= 48; + src <= 10; + when "0101101" => + dest <= 62; + src <= 73; + when "0101110" => + dest <= 32; + src <= 10; + when "0101111" => + dest <= 10; + src <= 32; + when "0110000" => + dest <= 10; + src <= 70; + when "0110001" => + dest <= 5; + src <= 10; + when "0110010" => + dest <= 6; + src <= 40; + when "0110011" => + dest <= 10; + src <= 10; + when "0110100" => + dest <= 76; + src <= 62; + when "0110101" => + dest <= 10; + src <= 48; + when "0110110" => + dest <= 24; + src <= 78; + when "0110111" => + dest <= 66; + src <= 10; + when "0111000" => + dest <= 10; + src <= 24; + when "0111001" => + dest <= 34; + src <= 23; + when "0111010" => + dest <= 56; + src <= 10; + when "0111011" => + dest <= 44; + src <= 34; + when "0111100" => + dest <= 10; + src <= 10; + when "0111101" => + dest <= 47; + src <= 5; + when "0111110" => + dest <= 54; + src <= 44; + when "0111111" => + dest <= 10; + src <= 10; + when "1000000" => + dest <= 39; + src <= 76; + when "1000001" => + dest <= 10; + src <= 45; + when "1000010" => + dest <= 79; + src <= 66; + when "1000011" => + dest <= 31; + src <= 10; + when "1000100" => + dest <= 71; + src <= 54; + when "1000101" => + dest <= 10; + src <= 47; + when "1000110" => + dest <= 23; + src <= 10; + when "1000111" => + dest <= 10; + src <= 71; + when "1001000" => + dest <= 7; + src <= 10; + when "1001001" => + dest <= 4; + src <= 31; + when "1001010" => + dest <= 65; + src <= 79; + when "1001011" => + dest <= 45; + src <= 39; + when "1001100" => + dest <= 10; + src <= 10; + when "1001101" => + dest <= 75; + src <= 6; + when "1001110" => + dest <= 10; + src <= 22; + when "1001111" => + dest <= 25; + src <= 25; + when "1010000" => + dest <= 2; + src <= 36; + when "1010001" => + dest <= 35; + src <= 10; + when "1010010" => + dest <= 63; + src <= 56; + when "1010011" => + dest <= 38; + src <= 35; + when "1010100" => + dest <= 10; + src <= 18; + when "1010101" => + dest <= 80; + src <= 4; + when "1010110" => + dest <= 30; + src <= 10; + when "1010111" => + dest <= 10; + src <= 75; + when "1011000" => + dest <= 22; + src <= 38; + when "1011001" => + dest <= 0; + src <= 63; + when "1011010" => + dest <= 3; + src <= 10; + when "1011011" => + dest <= 8; + src <= 30; + when "1011100" => + dest <= 36; + src <= 80; + when "1011101" => + dest <= 73; + src <= 20; + when "1011110" => + dest <= 21; + src <= 7; + when "1011111" => + dest <= 26; + src <= 26; + when "1100000" => + dest <= 72; + src <= 21; + when "1100001" => + dest <= 29; + src <= 28; + when "1100010" => + dest <= 64; + src <= 65; + when "1100011" => + dest <= 13; + src <= 8; + when "1100100" => + dest <= 27; + src <= 3; + when "1100101" => + dest <= 10; + src <= 29; + when "1100110" => + dest <= 37; + src <= 72; + when "1100111" => + dest <= 10; + src <= 37; + when "1101000" => + dest <= 20; + src <= 16; + when "1101001" => + dest <= 12; + src <= 74; + when "1101010" => + dest <= 18; + src <= 10; + when "1101011" => + dest <= 10; + src <= 64; + when "1101100" => + dest <= 17; + src <= 0; + when "1101101" => + dest <= 10; + src <= 17; + when "1101110" => + dest <= 10; + src <= 2; + when "1101111" => + dest <= 59; + src <= 10; + when "1110000" => + dest <= 51; + src <= 12; + when others => + dest <= 10; + src <= 10; + + end case; + end process; + + end generate NI_NUM10; + + NI_NUM11 : if NI_NUM = 11 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 17; + src <= 11; + when "0000001" => + dest <= 12; + src <= 11; + when "0000010" => + dest <= 11; + src <= 11; + when "0000011" => + dest <= 61; + src <= 11; + when "0000100" => + dest <= 47; + src <= 10; + when "0000101" => + dest <= 11; + src <= 14; + when "0000110" => + dest <= 51; + src <= 11; + when "0000111" => + dest <= 11; + src <= 11; + when "0001000" => + dest <= 69; + src <= 52; + when "0001001" => + dest <= 20; + src <= 60; + when "0001010" => + dest <= 11; + src <= 56; + when "0001011" => + dest <= 59; + src <= 11; + when "0001100" => + dest <= 16; + src <= 2; + when "0001101" => + dest <= 11; + src <= 51; + when "0001110" => + dest <= 43; + src <= 11; + when "0001111" => + dest <= 56; + src <= 11; + when "0010000" => + dest <= 10; + src <= 61; + when "0010001" => + dest <= 53; + src <= 43; + when "0010010" => + dest <= 50; + src <= 15; + when "0010011" => + dest <= 75; + src <= 12; + when "0010100" => + dest <= 11; + src <= 53; + when "0010101" => + dest <= 70; + src <= 47; + when "0010110" => + dest <= 42; + src <= 11; + when "0010111" => + dest <= 11; + src <= 69; + when "0011000" => + dest <= 62; + src <= 28; + when "0011001" => + dest <= 11; + src <= 11; + when "0011010" => + dest <= 15; + src <= 59; + when "0011011" => + dest <= 11; + src <= 62; + when "0011100" => + dest <= 78; + src <= 11; + when "0011101" => + dest <= 34; + src <= 11; + when "0011110" => + dest <= 11; + src <= 42; + when "0011111" => + dest <= 68; + src <= 70; + when "0100000" => + dest <= 44; + src <= 16; + when "0100001" => + dest <= 11; + src <= 50; + when "0100010" => + dest <= 58; + src <= 11; + when "0100011" => + dest <= 45; + src <= 11; + when "0100100" => + dest <= 11; + src <= 34; + when "0100101" => + dest <= 11; + src <= 78; + when "0100110" => + dest <= 2; + src <= 11; + when "0100111" => + dest <= 79; + src <= 44; + when "0101000" => + dest <= 41; + src <= 68; + when "0101001" => + dest <= 29; + src <= 20; + when "0101010" => + dest <= 71; + src <= 45; + when "0101011" => + dest <= 11; + src <= 58; + when "0101100" => + dest <= 49; + src <= 11; + when "0101101" => + dest <= 54; + src <= 74; + when "0101110" => + dest <= 33; + src <= 11; + when "0101111" => + dest <= 11; + src <= 33; + when "0110000" => + dest <= 11; + src <= 71; + when "0110001" => + dest <= 6; + src <= 11; + when "0110010" => + dest <= 7; + src <= 41; + when "0110011" => + dest <= 11; + src <= 11; + when "0110100" => + dest <= 77; + src <= 54; + when "0110101" => + dest <= 11; + src <= 49; + when "0110110" => + dest <= 25; + src <= 79; + when "0110111" => + dest <= 67; + src <= 11; + when "0111000" => + dest <= 11; + src <= 25; + when "0111001" => + dest <= 35; + src <= 24; + when "0111010" => + dest <= 57; + src <= 11; + when "0111011" => + dest <= 36; + src <= 35; + when "0111100" => + dest <= 11; + src <= 11; + when "0111101" => + dest <= 48; + src <= 6; + when "0111110" => + dest <= 55; + src <= 36; + when "0111111" => + dest <= 11; + src <= 11; + when "1000000" => + dest <= 40; + src <= 77; + when "1000001" => + dest <= 11; + src <= 46; + when "1000010" => + dest <= 80; + src <= 67; + when "1000011" => + dest <= 32; + src <= 11; + when "1000100" => + dest <= 63; + src <= 55; + when "1000101" => + dest <= 11; + src <= 48; + when "1000110" => + dest <= 24; + src <= 11; + when "1000111" => + dest <= 11; + src <= 63; + when "1001000" => + dest <= 8; + src <= 11; + when "1001001" => + dest <= 5; + src <= 32; + when "1001010" => + dest <= 66; + src <= 80; + when "1001011" => + dest <= 46; + src <= 40; + when "1001100" => + dest <= 11; + src <= 11; + when "1001101" => + dest <= 76; + src <= 7; + when "1001110" => + dest <= 11; + src <= 23; + when "1001111" => + dest <= 26; + src <= 26; + when "1010000" => + dest <= 3; + src <= 37; + when "1010001" => + dest <= 27; + src <= 11; + when "1010010" => + dest <= 64; + src <= 57; + when "1010011" => + dest <= 39; + src <= 27; + when "1010100" => + dest <= 11; + src <= 19; + when "1010101" => + dest <= 72; + src <= 5; + when "1010110" => + dest <= 31; + src <= 11; + when "1010111" => + dest <= 11; + src <= 76; + when "1011000" => + dest <= 23; + src <= 39; + when "1011001" => + dest <= 1; + src <= 64; + when "1011010" => + dest <= 4; + src <= 11; + when "1011011" => + dest <= 0; + src <= 31; + when "1011100" => + dest <= 37; + src <= 72; + when "1011101" => + dest <= 74; + src <= 21; + when "1011110" => + dest <= 22; + src <= 8; + when "1011111" => + dest <= 18; + src <= 18; + when "1100000" => + dest <= 73; + src <= 22; + when "1100001" => + dest <= 30; + src <= 29; + when "1100010" => + dest <= 65; + src <= 66; + when "1100011" => + dest <= 14; + src <= 0; + when "1100100" => + dest <= 28; + src <= 4; + when "1100101" => + dest <= 11; + src <= 30; + when "1100110" => + dest <= 38; + src <= 73; + when "1100111" => + dest <= 11; + src <= 38; + when "1101000" => + dest <= 21; + src <= 17; + when "1101001" => + dest <= 13; + src <= 75; + when "1101010" => + dest <= 19; + src <= 11; + when "1101011" => + dest <= 11; + src <= 65; + when "1101100" => + dest <= 9; + src <= 1; + when "1101101" => + dest <= 11; + src <= 9; + when "1101110" => + dest <= 11; + src <= 3; + when "1101111" => + dest <= 60; + src <= 11; + when "1110000" => + dest <= 52; + src <= 13; + when others => + dest <= 11; + src <= 11; + + end case; + end process; + + end generate NI_NUM11; + + NI_NUM12 : if NI_NUM = 12 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 9; + src <= 12; + when "0000001" => + dest <= 13; + src <= 12; + when "0000010" => + dest <= 12; + src <= 12; + when "0000011" => + dest <= 62; + src <= 12; + when "0000100" => + dest <= 48; + src <= 11; + when "0000101" => + dest <= 12; + src <= 15; + when "0000110" => + dest <= 52; + src <= 12; + when "0000111" => + dest <= 12; + src <= 12; + when "0001000" => + dest <= 70; + src <= 53; + when "0001001" => + dest <= 21; + src <= 61; + when "0001010" => + dest <= 12; + src <= 57; + when "0001011" => + dest <= 60; + src <= 12; + when "0001100" => + dest <= 17; + src <= 3; + when "0001101" => + dest <= 12; + src <= 52; + when "0001110" => + dest <= 44; + src <= 12; + when "0001111" => + dest <= 57; + src <= 12; + when "0010000" => + dest <= 11; + src <= 62; + when "0010001" => + dest <= 45; + src <= 44; + when "0010010" => + dest <= 51; + src <= 16; + when "0010011" => + dest <= 76; + src <= 13; + when "0010100" => + dest <= 12; + src <= 45; + when "0010101" => + dest <= 71; + src <= 48; + when "0010110" => + dest <= 43; + src <= 12; + when "0010111" => + dest <= 12; + src <= 70; + when "0011000" => + dest <= 54; + src <= 29; + when "0011001" => + dest <= 12; + src <= 12; + when "0011010" => + dest <= 16; + src <= 60; + when "0011011" => + dest <= 12; + src <= 54; + when "0011100" => + dest <= 79; + src <= 12; + when "0011101" => + dest <= 35; + src <= 12; + when "0011110" => + dest <= 12; + src <= 43; + when "0011111" => + dest <= 69; + src <= 71; + when "0100000" => + dest <= 36; + src <= 17; + when "0100001" => + dest <= 12; + src <= 51; + when "0100010" => + dest <= 59; + src <= 12; + when "0100011" => + dest <= 46; + src <= 12; + when "0100100" => + dest <= 12; + src <= 35; + when "0100101" => + dest <= 12; + src <= 79; + when "0100110" => + dest <= 3; + src <= 12; + when "0100111" => + dest <= 80; + src <= 36; + when "0101000" => + dest <= 42; + src <= 69; + when "0101001" => + dest <= 30; + src <= 21; + when "0101010" => + dest <= 63; + src <= 46; + when "0101011" => + dest <= 12; + src <= 59; + when "0101100" => + dest <= 50; + src <= 12; + when "0101101" => + dest <= 55; + src <= 75; + when "0101110" => + dest <= 34; + src <= 12; + when "0101111" => + dest <= 12; + src <= 34; + when "0110000" => + dest <= 12; + src <= 63; + when "0110001" => + dest <= 7; + src <= 12; + when "0110010" => + dest <= 8; + src <= 42; + when "0110011" => + dest <= 12; + src <= 12; + when "0110100" => + dest <= 78; + src <= 55; + when "0110101" => + dest <= 12; + src <= 50; + when "0110110" => + dest <= 26; + src <= 80; + when "0110111" => + dest <= 68; + src <= 12; + when "0111000" => + dest <= 12; + src <= 26; + when "0111001" => + dest <= 27; + src <= 25; + when "0111010" => + dest <= 58; + src <= 12; + when "0111011" => + dest <= 37; + src <= 27; + when "0111100" => + dest <= 12; + src <= 12; + when "0111101" => + dest <= 49; + src <= 7; + when "0111110" => + dest <= 56; + src <= 37; + when "0111111" => + dest <= 12; + src <= 12; + when "1000000" => + dest <= 41; + src <= 78; + when "1000001" => + dest <= 12; + src <= 47; + when "1000010" => + dest <= 72; + src <= 68; + when "1000011" => + dest <= 33; + src <= 12; + when "1000100" => + dest <= 64; + src <= 56; + when "1000101" => + dest <= 12; + src <= 49; + when "1000110" => + dest <= 25; + src <= 12; + when "1000111" => + dest <= 12; + src <= 64; + when "1001000" => + dest <= 0; + src <= 12; + when "1001001" => + dest <= 6; + src <= 33; + when "1001010" => + dest <= 67; + src <= 72; + when "1001011" => + dest <= 47; + src <= 41; + when "1001100" => + dest <= 12; + src <= 12; + when "1001101" => + dest <= 77; + src <= 8; + when "1001110" => + dest <= 12; + src <= 24; + when "1001111" => + dest <= 18; + src <= 18; + when "1010000" => + dest <= 4; + src <= 38; + when "1010001" => + dest <= 28; + src <= 12; + when "1010010" => + dest <= 65; + src <= 58; + when "1010011" => + dest <= 40; + src <= 28; + when "1010100" => + dest <= 12; + src <= 20; + when "1010101" => + dest <= 73; + src <= 6; + when "1010110" => + dest <= 32; + src <= 12; + when "1010111" => + dest <= 12; + src <= 77; + when "1011000" => + dest <= 24; + src <= 40; + when "1011001" => + dest <= 2; + src <= 65; + when "1011010" => + dest <= 5; + src <= 12; + when "1011011" => + dest <= 1; + src <= 32; + when "1011100" => + dest <= 38; + src <= 73; + when "1011101" => + dest <= 75; + src <= 22; + when "1011110" => + dest <= 23; + src <= 0; + when "1011111" => + dest <= 19; + src <= 19; + when "1100000" => + dest <= 74; + src <= 23; + when "1100001" => + dest <= 31; + src <= 30; + when "1100010" => + dest <= 66; + src <= 67; + when "1100011" => + dest <= 15; + src <= 1; + when "1100100" => + dest <= 29; + src <= 5; + when "1100101" => + dest <= 12; + src <= 31; + when "1100110" => + dest <= 39; + src <= 74; + when "1100111" => + dest <= 12; + src <= 39; + when "1101000" => + dest <= 22; + src <= 9; + when "1101001" => + dest <= 14; + src <= 76; + when "1101010" => + dest <= 20; + src <= 12; + when "1101011" => + dest <= 12; + src <= 66; + when "1101100" => + dest <= 10; + src <= 2; + when "1101101" => + dest <= 12; + src <= 10; + when "1101110" => + dest <= 12; + src <= 4; + when "1101111" => + dest <= 61; + src <= 12; + when "1110000" => + dest <= 53; + src <= 14; + when others => + dest <= 12; + src <= 12; + + end case; + end process; + + end generate NI_NUM12; + + NI_NUM13 : if NI_NUM = 13 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 10; + src <= 13; + when "0000001" => + dest <= 14; + src <= 13; + when "0000010" => + dest <= 13; + src <= 13; + when "0000011" => + dest <= 54; + src <= 13; + when "0000100" => + dest <= 49; + src <= 12; + when "0000101" => + dest <= 13; + src <= 16; + when "0000110" => + dest <= 53; + src <= 13; + when "0000111" => + dest <= 13; + src <= 13; + when "0001000" => + dest <= 71; + src <= 45; + when "0001001" => + dest <= 22; + src <= 62; + when "0001010" => + dest <= 13; + src <= 58; + when "0001011" => + dest <= 61; + src <= 13; + when "0001100" => + dest <= 9; + src <= 4; + when "0001101" => + dest <= 13; + src <= 53; + when "0001110" => + dest <= 36; + src <= 13; + when "0001111" => + dest <= 58; + src <= 13; + when "0010000" => + dest <= 12; + src <= 54; + when "0010001" => + dest <= 46; + src <= 36; + when "0010010" => + dest <= 52; + src <= 17; + when "0010011" => + dest <= 77; + src <= 14; + when "0010100" => + dest <= 13; + src <= 46; + when "0010101" => + dest <= 63; + src <= 49; + when "0010110" => + dest <= 44; + src <= 13; + when "0010111" => + dest <= 13; + src <= 71; + when "0011000" => + dest <= 55; + src <= 30; + when "0011001" => + dest <= 13; + src <= 13; + when "0011010" => + dest <= 17; + src <= 61; + when "0011011" => + dest <= 13; + src <= 55; + when "0011100" => + dest <= 80; + src <= 13; + when "0011101" => + dest <= 27; + src <= 13; + when "0011110" => + dest <= 13; + src <= 44; + when "0011111" => + dest <= 70; + src <= 63; + when "0100000" => + dest <= 37; + src <= 9; + when "0100001" => + dest <= 13; + src <= 52; + when "0100010" => + dest <= 60; + src <= 13; + when "0100011" => + dest <= 47; + src <= 13; + when "0100100" => + dest <= 13; + src <= 27; + when "0100101" => + dest <= 13; + src <= 80; + when "0100110" => + dest <= 4; + src <= 13; + when "0100111" => + dest <= 72; + src <= 37; + when "0101000" => + dest <= 43; + src <= 70; + when "0101001" => + dest <= 31; + src <= 22; + when "0101010" => + dest <= 64; + src <= 47; + when "0101011" => + dest <= 13; + src <= 60; + when "0101100" => + dest <= 51; + src <= 13; + when "0101101" => + dest <= 56; + src <= 76; + when "0101110" => + dest <= 35; + src <= 13; + when "0101111" => + dest <= 13; + src <= 35; + when "0110000" => + dest <= 13; + src <= 64; + when "0110001" => + dest <= 8; + src <= 13; + when "0110010" => + dest <= 0; + src <= 43; + when "0110011" => + dest <= 13; + src <= 13; + when "0110100" => + dest <= 79; + src <= 56; + when "0110101" => + dest <= 13; + src <= 51; + when "0110110" => + dest <= 18; + src <= 72; + when "0110111" => + dest <= 69; + src <= 13; + when "0111000" => + dest <= 13; + src <= 18; + when "0111001" => + dest <= 28; + src <= 26; + when "0111010" => + dest <= 59; + src <= 13; + when "0111011" => + dest <= 38; + src <= 28; + when "0111100" => + dest <= 13; + src <= 13; + when "0111101" => + dest <= 50; + src <= 8; + when "0111110" => + dest <= 57; + src <= 38; + when "0111111" => + dest <= 13; + src <= 13; + when "1000000" => + dest <= 42; + src <= 79; + when "1000001" => + dest <= 13; + src <= 48; + when "1000010" => + dest <= 73; + src <= 69; + when "1000011" => + dest <= 34; + src <= 13; + when "1000100" => + dest <= 65; + src <= 57; + when "1000101" => + dest <= 13; + src <= 50; + when "1000110" => + dest <= 26; + src <= 13; + when "1000111" => + dest <= 13; + src <= 65; + when "1001000" => + dest <= 1; + src <= 13; + when "1001001" => + dest <= 7; + src <= 34; + when "1001010" => + dest <= 68; + src <= 73; + when "1001011" => + dest <= 48; + src <= 42; + when "1001100" => + dest <= 13; + src <= 13; + when "1001101" => + dest <= 78; + src <= 0; + when "1001110" => + dest <= 13; + src <= 25; + when "1001111" => + dest <= 19; + src <= 19; + when "1010000" => + dest <= 5; + src <= 39; + when "1010001" => + dest <= 29; + src <= 13; + when "1010010" => + dest <= 66; + src <= 59; + when "1010011" => + dest <= 41; + src <= 29; + when "1010100" => + dest <= 13; + src <= 21; + when "1010101" => + dest <= 74; + src <= 7; + when "1010110" => + dest <= 33; + src <= 13; + when "1010111" => + dest <= 13; + src <= 78; + when "1011000" => + dest <= 25; + src <= 41; + when "1011001" => + dest <= 3; + src <= 66; + when "1011010" => + dest <= 6; + src <= 13; + when "1011011" => + dest <= 2; + src <= 33; + when "1011100" => + dest <= 39; + src <= 74; + when "1011101" => + dest <= 76; + src <= 23; + when "1011110" => + dest <= 24; + src <= 1; + when "1011111" => + dest <= 20; + src <= 20; + when "1100000" => + dest <= 75; + src <= 24; + when "1100001" => + dest <= 32; + src <= 31; + when "1100010" => + dest <= 67; + src <= 68; + when "1100011" => + dest <= 16; + src <= 2; + when "1100100" => + dest <= 30; + src <= 6; + when "1100101" => + dest <= 13; + src <= 32; + when "1100110" => + dest <= 40; + src <= 75; + when "1100111" => + dest <= 13; + src <= 40; + when "1101000" => + dest <= 23; + src <= 10; + when "1101001" => + dest <= 15; + src <= 77; + when "1101010" => + dest <= 21; + src <= 13; + when "1101011" => + dest <= 13; + src <= 67; + when "1101100" => + dest <= 11; + src <= 3; + when "1101101" => + dest <= 13; + src <= 11; + when "1101110" => + dest <= 13; + src <= 5; + when "1101111" => + dest <= 62; + src <= 13; + when "1110000" => + dest <= 45; + src <= 15; + when others => + dest <= 13; + src <= 13; + + end case; + end process; + + end generate NI_NUM13; + + NI_NUM14 : if NI_NUM = 14 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 11; + src <= 14; + when "0000001" => + dest <= 15; + src <= 14; + when "0000010" => + dest <= 14; + src <= 14; + when "0000011" => + dest <= 55; + src <= 14; + when "0000100" => + dest <= 50; + src <= 13; + when "0000101" => + dest <= 14; + src <= 17; + when "0000110" => + dest <= 45; + src <= 14; + when "0000111" => + dest <= 14; + src <= 14; + when "0001000" => + dest <= 63; + src <= 46; + when "0001001" => + dest <= 23; + src <= 54; + when "0001010" => + dest <= 14; + src <= 59; + when "0001011" => + dest <= 62; + src <= 14; + when "0001100" => + dest <= 10; + src <= 5; + when "0001101" => + dest <= 14; + src <= 45; + when "0001110" => + dest <= 37; + src <= 14; + when "0001111" => + dest <= 59; + src <= 14; + when "0010000" => + dest <= 13; + src <= 55; + when "0010001" => + dest <= 47; + src <= 37; + when "0010010" => + dest <= 53; + src <= 9; + when "0010011" => + dest <= 78; + src <= 15; + when "0010100" => + dest <= 14; + src <= 47; + when "0010101" => + dest <= 64; + src <= 50; + when "0010110" => + dest <= 36; + src <= 14; + when "0010111" => + dest <= 14; + src <= 63; + when "0011000" => + dest <= 56; + src <= 31; + when "0011001" => + dest <= 14; + src <= 14; + when "0011010" => + dest <= 9; + src <= 62; + when "0011011" => + dest <= 14; + src <= 56; + when "0011100" => + dest <= 72; + src <= 14; + when "0011101" => + dest <= 28; + src <= 14; + when "0011110" => + dest <= 14; + src <= 36; + when "0011111" => + dest <= 71; + src <= 64; + when "0100000" => + dest <= 38; + src <= 10; + when "0100001" => + dest <= 14; + src <= 53; + when "0100010" => + dest <= 61; + src <= 14; + when "0100011" => + dest <= 48; + src <= 14; + when "0100100" => + dest <= 14; + src <= 28; + when "0100101" => + dest <= 14; + src <= 72; + when "0100110" => + dest <= 5; + src <= 14; + when "0100111" => + dest <= 73; + src <= 38; + when "0101000" => + dest <= 44; + src <= 71; + when "0101001" => + dest <= 32; + src <= 23; + when "0101010" => + dest <= 65; + src <= 48; + when "0101011" => + dest <= 14; + src <= 61; + when "0101100" => + dest <= 52; + src <= 14; + when "0101101" => + dest <= 57; + src <= 77; + when "0101110" => + dest <= 27; + src <= 14; + when "0101111" => + dest <= 14; + src <= 27; + when "0110000" => + dest <= 14; + src <= 65; + when "0110001" => + dest <= 0; + src <= 14; + when "0110010" => + dest <= 1; + src <= 44; + when "0110011" => + dest <= 14; + src <= 14; + when "0110100" => + dest <= 80; + src <= 57; + when "0110101" => + dest <= 14; + src <= 52; + when "0110110" => + dest <= 19; + src <= 73; + when "0110111" => + dest <= 70; + src <= 14; + when "0111000" => + dest <= 14; + src <= 19; + when "0111001" => + dest <= 29; + src <= 18; + when "0111010" => + dest <= 60; + src <= 14; + when "0111011" => + dest <= 39; + src <= 29; + when "0111100" => + dest <= 14; + src <= 14; + when "0111101" => + dest <= 51; + src <= 0; + when "0111110" => + dest <= 58; + src <= 39; + when "0111111" => + dest <= 14; + src <= 14; + when "1000000" => + dest <= 43; + src <= 80; + when "1000001" => + dest <= 14; + src <= 49; + when "1000010" => + dest <= 74; + src <= 70; + when "1000011" => + dest <= 35; + src <= 14; + when "1000100" => + dest <= 66; + src <= 58; + when "1000101" => + dest <= 14; + src <= 51; + when "1000110" => + dest <= 18; + src <= 14; + when "1000111" => + dest <= 14; + src <= 66; + when "1001000" => + dest <= 2; + src <= 14; + when "1001001" => + dest <= 8; + src <= 35; + when "1001010" => + dest <= 69; + src <= 74; + when "1001011" => + dest <= 49; + src <= 43; + when "1001100" => + dest <= 14; + src <= 14; + when "1001101" => + dest <= 79; + src <= 1; + when "1001110" => + dest <= 14; + src <= 26; + when "1001111" => + dest <= 20; + src <= 20; + when "1010000" => + dest <= 6; + src <= 40; + when "1010001" => + dest <= 30; + src <= 14; + when "1010010" => + dest <= 67; + src <= 60; + when "1010011" => + dest <= 42; + src <= 30; + when "1010100" => + dest <= 14; + src <= 22; + when "1010101" => + dest <= 75; + src <= 8; + when "1010110" => + dest <= 34; + src <= 14; + when "1010111" => + dest <= 14; + src <= 79; + when "1011000" => + dest <= 26; + src <= 42; + when "1011001" => + dest <= 4; + src <= 67; + when "1011010" => + dest <= 7; + src <= 14; + when "1011011" => + dest <= 3; + src <= 34; + when "1011100" => + dest <= 40; + src <= 75; + when "1011101" => + dest <= 77; + src <= 24; + when "1011110" => + dest <= 25; + src <= 2; + when "1011111" => + dest <= 21; + src <= 21; + when "1100000" => + dest <= 76; + src <= 25; + when "1100001" => + dest <= 33; + src <= 32; + when "1100010" => + dest <= 68; + src <= 69; + when "1100011" => + dest <= 17; + src <= 3; + when "1100100" => + dest <= 31; + src <= 7; + when "1100101" => + dest <= 14; + src <= 33; + when "1100110" => + dest <= 41; + src <= 76; + when "1100111" => + dest <= 14; + src <= 41; + when "1101000" => + dest <= 24; + src <= 11; + when "1101001" => + dest <= 16; + src <= 78; + when "1101010" => + dest <= 22; + src <= 14; + when "1101011" => + dest <= 14; + src <= 68; + when "1101100" => + dest <= 12; + src <= 4; + when "1101101" => + dest <= 14; + src <= 12; + when "1101110" => + dest <= 14; + src <= 6; + when "1101111" => + dest <= 54; + src <= 14; + when "1110000" => + dest <= 46; + src <= 16; + when others => + dest <= 14; + src <= 14; + + end case; + end process; + + end generate NI_NUM14; + + NI_NUM15 : if NI_NUM = 15 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 12; + src <= 15; + when "0000001" => + dest <= 16; + src <= 15; + when "0000010" => + dest <= 15; + src <= 15; + when "0000011" => + dest <= 56; + src <= 15; + when "0000100" => + dest <= 51; + src <= 14; + when "0000101" => + dest <= 15; + src <= 9; + when "0000110" => + dest <= 46; + src <= 15; + when "0000111" => + dest <= 15; + src <= 15; + when "0001000" => + dest <= 64; + src <= 47; + when "0001001" => + dest <= 24; + src <= 55; + when "0001010" => + dest <= 15; + src <= 60; + when "0001011" => + dest <= 54; + src <= 15; + when "0001100" => + dest <= 11; + src <= 6; + when "0001101" => + dest <= 15; + src <= 46; + when "0001110" => + dest <= 38; + src <= 15; + when "0001111" => + dest <= 60; + src <= 15; + when "0010000" => + dest <= 14; + src <= 56; + when "0010001" => + dest <= 48; + src <= 38; + when "0010010" => + dest <= 45; + src <= 10; + when "0010011" => + dest <= 79; + src <= 16; + when "0010100" => + dest <= 15; + src <= 48; + when "0010101" => + dest <= 65; + src <= 51; + when "0010110" => + dest <= 37; + src <= 15; + when "0010111" => + dest <= 15; + src <= 64; + when "0011000" => + dest <= 57; + src <= 32; + when "0011001" => + dest <= 15; + src <= 15; + when "0011010" => + dest <= 10; + src <= 54; + when "0011011" => + dest <= 15; + src <= 57; + when "0011100" => + dest <= 73; + src <= 15; + when "0011101" => + dest <= 29; + src <= 15; + when "0011110" => + dest <= 15; + src <= 37; + when "0011111" => + dest <= 63; + src <= 65; + when "0100000" => + dest <= 39; + src <= 11; + when "0100001" => + dest <= 15; + src <= 45; + when "0100010" => + dest <= 62; + src <= 15; + when "0100011" => + dest <= 49; + src <= 15; + when "0100100" => + dest <= 15; + src <= 29; + when "0100101" => + dest <= 15; + src <= 73; + when "0100110" => + dest <= 6; + src <= 15; + when "0100111" => + dest <= 74; + src <= 39; + when "0101000" => + dest <= 36; + src <= 63; + when "0101001" => + dest <= 33; + src <= 24; + when "0101010" => + dest <= 66; + src <= 49; + when "0101011" => + dest <= 15; + src <= 62; + when "0101100" => + dest <= 53; + src <= 15; + when "0101101" => + dest <= 58; + src <= 78; + when "0101110" => + dest <= 28; + src <= 15; + when "0101111" => + dest <= 15; + src <= 28; + when "0110000" => + dest <= 15; + src <= 66; + when "0110001" => + dest <= 1; + src <= 15; + when "0110010" => + dest <= 2; + src <= 36; + when "0110011" => + dest <= 15; + src <= 15; + when "0110100" => + dest <= 72; + src <= 58; + when "0110101" => + dest <= 15; + src <= 53; + when "0110110" => + dest <= 20; + src <= 74; + when "0110111" => + dest <= 71; + src <= 15; + when "0111000" => + dest <= 15; + src <= 20; + when "0111001" => + dest <= 30; + src <= 19; + when "0111010" => + dest <= 61; + src <= 15; + when "0111011" => + dest <= 40; + src <= 30; + when "0111100" => + dest <= 15; + src <= 15; + when "0111101" => + dest <= 52; + src <= 1; + when "0111110" => + dest <= 59; + src <= 40; + when "0111111" => + dest <= 15; + src <= 15; + when "1000000" => + dest <= 44; + src <= 72; + when "1000001" => + dest <= 15; + src <= 50; + when "1000010" => + dest <= 75; + src <= 71; + when "1000011" => + dest <= 27; + src <= 15; + when "1000100" => + dest <= 67; + src <= 59; + when "1000101" => + dest <= 15; + src <= 52; + when "1000110" => + dest <= 19; + src <= 15; + when "1000111" => + dest <= 15; + src <= 67; + when "1001000" => + dest <= 3; + src <= 15; + when "1001001" => + dest <= 0; + src <= 27; + when "1001010" => + dest <= 70; + src <= 75; + when "1001011" => + dest <= 50; + src <= 44; + when "1001100" => + dest <= 15; + src <= 15; + when "1001101" => + dest <= 80; + src <= 2; + when "1001110" => + dest <= 15; + src <= 18; + when "1001111" => + dest <= 21; + src <= 21; + when "1010000" => + dest <= 7; + src <= 41; + when "1010001" => + dest <= 31; + src <= 15; + when "1010010" => + dest <= 68; + src <= 61; + when "1010011" => + dest <= 43; + src <= 31; + when "1010100" => + dest <= 15; + src <= 23; + when "1010101" => + dest <= 76; + src <= 0; + when "1010110" => + dest <= 35; + src <= 15; + when "1010111" => + dest <= 15; + src <= 80; + when "1011000" => + dest <= 18; + src <= 43; + when "1011001" => + dest <= 5; + src <= 68; + when "1011010" => + dest <= 8; + src <= 15; + when "1011011" => + dest <= 4; + src <= 35; + when "1011100" => + dest <= 41; + src <= 76; + when "1011101" => + dest <= 78; + src <= 25; + when "1011110" => + dest <= 26; + src <= 3; + when "1011111" => + dest <= 22; + src <= 22; + when "1100000" => + dest <= 77; + src <= 26; + when "1100001" => + dest <= 34; + src <= 33; + when "1100010" => + dest <= 69; + src <= 70; + when "1100011" => + dest <= 9; + src <= 4; + when "1100100" => + dest <= 32; + src <= 8; + when "1100101" => + dest <= 15; + src <= 34; + when "1100110" => + dest <= 42; + src <= 77; + when "1100111" => + dest <= 15; + src <= 42; + when "1101000" => + dest <= 25; + src <= 12; + when "1101001" => + dest <= 17; + src <= 79; + when "1101010" => + dest <= 23; + src <= 15; + when "1101011" => + dest <= 15; + src <= 69; + when "1101100" => + dest <= 13; + src <= 5; + when "1101101" => + dest <= 15; + src <= 13; + when "1101110" => + dest <= 15; + src <= 7; + when "1101111" => + dest <= 55; + src <= 15; + when "1110000" => + dest <= 47; + src <= 17; + when others => + dest <= 15; + src <= 15; + + end case; + end process; + + end generate NI_NUM15; + + NI_NUM16 : if NI_NUM = 16 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 13; + src <= 16; + when "0000001" => + dest <= 17; + src <= 16; + when "0000010" => + dest <= 16; + src <= 16; + when "0000011" => + dest <= 57; + src <= 16; + when "0000100" => + dest <= 52; + src <= 15; + when "0000101" => + dest <= 16; + src <= 10; + when "0000110" => + dest <= 47; + src <= 16; + when "0000111" => + dest <= 16; + src <= 16; + when "0001000" => + dest <= 65; + src <= 48; + when "0001001" => + dest <= 25; + src <= 56; + when "0001010" => + dest <= 16; + src <= 61; + when "0001011" => + dest <= 55; + src <= 16; + when "0001100" => + dest <= 12; + src <= 7; + when "0001101" => + dest <= 16; + src <= 47; + when "0001110" => + dest <= 39; + src <= 16; + when "0001111" => + dest <= 61; + src <= 16; + when "0010000" => + dest <= 15; + src <= 57; + when "0010001" => + dest <= 49; + src <= 39; + when "0010010" => + dest <= 46; + src <= 11; + when "0010011" => + dest <= 80; + src <= 17; + when "0010100" => + dest <= 16; + src <= 49; + when "0010101" => + dest <= 66; + src <= 52; + when "0010110" => + dest <= 38; + src <= 16; + when "0010111" => + dest <= 16; + src <= 65; + when "0011000" => + dest <= 58; + src <= 33; + when "0011001" => + dest <= 16; + src <= 16; + when "0011010" => + dest <= 11; + src <= 55; + when "0011011" => + dest <= 16; + src <= 58; + when "0011100" => + dest <= 74; + src <= 16; + when "0011101" => + dest <= 30; + src <= 16; + when "0011110" => + dest <= 16; + src <= 38; + when "0011111" => + dest <= 64; + src <= 66; + when "0100000" => + dest <= 40; + src <= 12; + when "0100001" => + dest <= 16; + src <= 46; + when "0100010" => + dest <= 54; + src <= 16; + when "0100011" => + dest <= 50; + src <= 16; + when "0100100" => + dest <= 16; + src <= 30; + when "0100101" => + dest <= 16; + src <= 74; + when "0100110" => + dest <= 7; + src <= 16; + when "0100111" => + dest <= 75; + src <= 40; + when "0101000" => + dest <= 37; + src <= 64; + when "0101001" => + dest <= 34; + src <= 25; + when "0101010" => + dest <= 67; + src <= 50; + when "0101011" => + dest <= 16; + src <= 54; + when "0101100" => + dest <= 45; + src <= 16; + when "0101101" => + dest <= 59; + src <= 79; + when "0101110" => + dest <= 29; + src <= 16; + when "0101111" => + dest <= 16; + src <= 29; + when "0110000" => + dest <= 16; + src <= 67; + when "0110001" => + dest <= 2; + src <= 16; + when "0110010" => + dest <= 3; + src <= 37; + when "0110011" => + dest <= 16; + src <= 16; + when "0110100" => + dest <= 73; + src <= 59; + when "0110101" => + dest <= 16; + src <= 45; + when "0110110" => + dest <= 21; + src <= 75; + when "0110111" => + dest <= 63; + src <= 16; + when "0111000" => + dest <= 16; + src <= 21; + when "0111001" => + dest <= 31; + src <= 20; + when "0111010" => + dest <= 62; + src <= 16; + when "0111011" => + dest <= 41; + src <= 31; + when "0111100" => + dest <= 16; + src <= 16; + when "0111101" => + dest <= 53; + src <= 2; + when "0111110" => + dest <= 60; + src <= 41; + when "0111111" => + dest <= 16; + src <= 16; + when "1000000" => + dest <= 36; + src <= 73; + when "1000001" => + dest <= 16; + src <= 51; + when "1000010" => + dest <= 76; + src <= 63; + when "1000011" => + dest <= 28; + src <= 16; + when "1000100" => + dest <= 68; + src <= 60; + when "1000101" => + dest <= 16; + src <= 53; + when "1000110" => + dest <= 20; + src <= 16; + when "1000111" => + dest <= 16; + src <= 68; + when "1001000" => + dest <= 4; + src <= 16; + when "1001001" => + dest <= 1; + src <= 28; + when "1001010" => + dest <= 71; + src <= 76; + when "1001011" => + dest <= 51; + src <= 36; + when "1001100" => + dest <= 16; + src <= 16; + when "1001101" => + dest <= 72; + src <= 3; + when "1001110" => + dest <= 16; + src <= 19; + when "1001111" => + dest <= 22; + src <= 22; + when "1010000" => + dest <= 8; + src <= 42; + when "1010001" => + dest <= 32; + src <= 16; + when "1010010" => + dest <= 69; + src <= 62; + when "1010011" => + dest <= 44; + src <= 32; + when "1010100" => + dest <= 16; + src <= 24; + when "1010101" => + dest <= 77; + src <= 1; + when "1010110" => + dest <= 27; + src <= 16; + when "1010111" => + dest <= 16; + src <= 72; + when "1011000" => + dest <= 19; + src <= 44; + when "1011001" => + dest <= 6; + src <= 69; + when "1011010" => + dest <= 0; + src <= 16; + when "1011011" => + dest <= 5; + src <= 27; + when "1011100" => + dest <= 42; + src <= 77; + when "1011101" => + dest <= 79; + src <= 26; + when "1011110" => + dest <= 18; + src <= 4; + when "1011111" => + dest <= 23; + src <= 23; + when "1100000" => + dest <= 78; + src <= 18; + when "1100001" => + dest <= 35; + src <= 34; + when "1100010" => + dest <= 70; + src <= 71; + when "1100011" => + dest <= 10; + src <= 5; + when "1100100" => + dest <= 33; + src <= 0; + when "1100101" => + dest <= 16; + src <= 35; + when "1100110" => + dest <= 43; + src <= 78; + when "1100111" => + dest <= 16; + src <= 43; + when "1101000" => + dest <= 26; + src <= 13; + when "1101001" => + dest <= 9; + src <= 80; + when "1101010" => + dest <= 24; + src <= 16; + when "1101011" => + dest <= 16; + src <= 70; + when "1101100" => + dest <= 14; + src <= 6; + when "1101101" => + dest <= 16; + src <= 14; + when "1101110" => + dest <= 16; + src <= 8; + when "1101111" => + dest <= 56; + src <= 16; + when "1110000" => + dest <= 48; + src <= 9; + when others => + dest <= 16; + src <= 16; + + end case; + end process; + + end generate NI_NUM16; + + NI_NUM17 : if NI_NUM = 17 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 14; + src <= 17; + when "0000001" => + dest <= 9; + src <= 17; + when "0000010" => + dest <= 17; + src <= 17; + when "0000011" => + dest <= 58; + src <= 17; + when "0000100" => + dest <= 53; + src <= 16; + when "0000101" => + dest <= 17; + src <= 11; + when "0000110" => + dest <= 48; + src <= 17; + when "0000111" => + dest <= 17; + src <= 17; + when "0001000" => + dest <= 66; + src <= 49; + when "0001001" => + dest <= 26; + src <= 57; + when "0001010" => + dest <= 17; + src <= 62; + when "0001011" => + dest <= 56; + src <= 17; + when "0001100" => + dest <= 13; + src <= 8; + when "0001101" => + dest <= 17; + src <= 48; + when "0001110" => + dest <= 40; + src <= 17; + when "0001111" => + dest <= 62; + src <= 17; + when "0010000" => + dest <= 16; + src <= 58; + when "0010001" => + dest <= 50; + src <= 40; + when "0010010" => + dest <= 47; + src <= 12; + when "0010011" => + dest <= 72; + src <= 9; + when "0010100" => + dest <= 17; + src <= 50; + when "0010101" => + dest <= 67; + src <= 53; + when "0010110" => + dest <= 39; + src <= 17; + when "0010111" => + dest <= 17; + src <= 66; + when "0011000" => + dest <= 59; + src <= 34; + when "0011001" => + dest <= 17; + src <= 17; + when "0011010" => + dest <= 12; + src <= 56; + when "0011011" => + dest <= 17; + src <= 59; + when "0011100" => + dest <= 75; + src <= 17; + when "0011101" => + dest <= 31; + src <= 17; + when "0011110" => + dest <= 17; + src <= 39; + when "0011111" => + dest <= 65; + src <= 67; + when "0100000" => + dest <= 41; + src <= 13; + when "0100001" => + dest <= 17; + src <= 47; + when "0100010" => + dest <= 55; + src <= 17; + when "0100011" => + dest <= 51; + src <= 17; + when "0100100" => + dest <= 17; + src <= 31; + when "0100101" => + dest <= 17; + src <= 75; + when "0100110" => + dest <= 8; + src <= 17; + when "0100111" => + dest <= 76; + src <= 41; + when "0101000" => + dest <= 38; + src <= 65; + when "0101001" => + dest <= 35; + src <= 26; + when "0101010" => + dest <= 68; + src <= 51; + when "0101011" => + dest <= 17; + src <= 55; + when "0101100" => + dest <= 46; + src <= 17; + when "0101101" => + dest <= 60; + src <= 80; + when "0101110" => + dest <= 30; + src <= 17; + when "0101111" => + dest <= 17; + src <= 30; + when "0110000" => + dest <= 17; + src <= 68; + when "0110001" => + dest <= 3; + src <= 17; + when "0110010" => + dest <= 4; + src <= 38; + when "0110011" => + dest <= 17; + src <= 17; + when "0110100" => + dest <= 74; + src <= 60; + when "0110101" => + dest <= 17; + src <= 46; + when "0110110" => + dest <= 22; + src <= 76; + when "0110111" => + dest <= 64; + src <= 17; + when "0111000" => + dest <= 17; + src <= 22; + when "0111001" => + dest <= 32; + src <= 21; + when "0111010" => + dest <= 54; + src <= 17; + when "0111011" => + dest <= 42; + src <= 32; + when "0111100" => + dest <= 17; + src <= 17; + when "0111101" => + dest <= 45; + src <= 3; + when "0111110" => + dest <= 61; + src <= 42; + when "0111111" => + dest <= 17; + src <= 17; + when "1000000" => + dest <= 37; + src <= 74; + when "1000001" => + dest <= 17; + src <= 52; + when "1000010" => + dest <= 77; + src <= 64; + when "1000011" => + dest <= 29; + src <= 17; + when "1000100" => + dest <= 69; + src <= 61; + when "1000101" => + dest <= 17; + src <= 45; + when "1000110" => + dest <= 21; + src <= 17; + when "1000111" => + dest <= 17; + src <= 69; + when "1001000" => + dest <= 5; + src <= 17; + when "1001001" => + dest <= 2; + src <= 29; + when "1001010" => + dest <= 63; + src <= 77; + when "1001011" => + dest <= 52; + src <= 37; + when "1001100" => + dest <= 17; + src <= 17; + when "1001101" => + dest <= 73; + src <= 4; + when "1001110" => + dest <= 17; + src <= 20; + when "1001111" => + dest <= 23; + src <= 23; + when "1010000" => + dest <= 0; + src <= 43; + when "1010001" => + dest <= 33; + src <= 17; + when "1010010" => + dest <= 70; + src <= 54; + when "1010011" => + dest <= 36; + src <= 33; + when "1010100" => + dest <= 17; + src <= 25; + when "1010101" => + dest <= 78; + src <= 2; + when "1010110" => + dest <= 28; + src <= 17; + when "1010111" => + dest <= 17; + src <= 73; + when "1011000" => + dest <= 20; + src <= 36; + when "1011001" => + dest <= 7; + src <= 70; + when "1011010" => + dest <= 1; + src <= 17; + when "1011011" => + dest <= 6; + src <= 28; + when "1011100" => + dest <= 43; + src <= 78; + when "1011101" => + dest <= 80; + src <= 18; + when "1011110" => + dest <= 19; + src <= 5; + when "1011111" => + dest <= 24; + src <= 24; + when "1100000" => + dest <= 79; + src <= 19; + when "1100001" => + dest <= 27; + src <= 35; + when "1100010" => + dest <= 71; + src <= 63; + when "1100011" => + dest <= 11; + src <= 6; + when "1100100" => + dest <= 34; + src <= 1; + when "1100101" => + dest <= 17; + src <= 27; + when "1100110" => + dest <= 44; + src <= 79; + when "1100111" => + dest <= 17; + src <= 44; + when "1101000" => + dest <= 18; + src <= 14; + when "1101001" => + dest <= 10; + src <= 72; + when "1101010" => + dest <= 25; + src <= 17; + when "1101011" => + dest <= 17; + src <= 71; + when "1101100" => + dest <= 15; + src <= 7; + when "1101101" => + dest <= 17; + src <= 15; + when "1101110" => + dest <= 17; + src <= 0; + when "1101111" => + dest <= 57; + src <= 17; + when "1110000" => + dest <= 49; + src <= 10; + when others => + dest <= 17; + src <= 17; + + end case; + end process; + + end generate NI_NUM17; + + NI_NUM18 : if NI_NUM = 18 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 24; + src <= 18; + when "0000001" => + dest <= 19; + src <= 18; + when "0000010" => + dest <= 18; + src <= 18; + when "0000011" => + dest <= 68; + src <= 18; + when "0000100" => + dest <= 54; + src <= 26; + when "0000101" => + dest <= 18; + src <= 21; + when "0000110" => + dest <= 58; + src <= 18; + when "0000111" => + dest <= 18; + src <= 18; + when "0001000" => + dest <= 76; + src <= 59; + when "0001001" => + dest <= 27; + src <= 67; + when "0001010" => + dest <= 18; + src <= 63; + when "0001011" => + dest <= 66; + src <= 18; + when "0001100" => + dest <= 23; + src <= 9; + when "0001101" => + dest <= 18; + src <= 58; + when "0001110" => + dest <= 50; + src <= 18; + when "0001111" => + dest <= 63; + src <= 18; + when "0010000" => + dest <= 26; + src <= 68; + when "0010001" => + dest <= 60; + src <= 50; + when "0010010" => + dest <= 57; + src <= 22; + when "0010011" => + dest <= 1; + src <= 19; + when "0010100" => + dest <= 18; + src <= 60; + when "0010101" => + dest <= 77; + src <= 54; + when "0010110" => + dest <= 49; + src <= 18; + when "0010111" => + dest <= 18; + src <= 76; + when "0011000" => + dest <= 69; + src <= 44; + when "0011001" => + dest <= 18; + src <= 18; + when "0011010" => + dest <= 22; + src <= 66; + when "0011011" => + dest <= 18; + src <= 69; + when "0011100" => + dest <= 4; + src <= 18; + when "0011101" => + dest <= 41; + src <= 18; + when "0011110" => + dest <= 18; + src <= 49; + when "0011111" => + dest <= 75; + src <= 77; + when "0100000" => + dest <= 51; + src <= 23; + when "0100001" => + dest <= 18; + src <= 57; + when "0100010" => + dest <= 65; + src <= 18; + when "0100011" => + dest <= 61; + src <= 18; + when "0100100" => + dest <= 18; + src <= 41; + when "0100101" => + dest <= 18; + src <= 4; + when "0100110" => + dest <= 9; + src <= 18; + when "0100111" => + dest <= 5; + src <= 51; + when "0101000" => + dest <= 48; + src <= 75; + when "0101001" => + dest <= 36; + src <= 27; + when "0101010" => + dest <= 78; + src <= 61; + when "0101011" => + dest <= 18; + src <= 65; + when "0101100" => + dest <= 56; + src <= 18; + when "0101101" => + dest <= 70; + src <= 0; + when "0101110" => + dest <= 40; + src <= 18; + when "0101111" => + dest <= 18; + src <= 40; + when "0110000" => + dest <= 18; + src <= 78; + when "0110001" => + dest <= 13; + src <= 18; + when "0110010" => + dest <= 14; + src <= 48; + when "0110011" => + dest <= 18; + src <= 18; + when "0110100" => + dest <= 3; + src <= 70; + when "0110101" => + dest <= 18; + src <= 56; + when "0110110" => + dest <= 32; + src <= 5; + when "0110111" => + dest <= 74; + src <= 18; + when "0111000" => + dest <= 18; + src <= 32; + when "0111001" => + dest <= 42; + src <= 31; + when "0111010" => + dest <= 64; + src <= 18; + when "0111011" => + dest <= 52; + src <= 42; + when "0111100" => + dest <= 18; + src <= 18; + when "0111101" => + dest <= 55; + src <= 13; + when "0111110" => + dest <= 71; + src <= 52; + when "0111111" => + dest <= 18; + src <= 18; + when "1000000" => + dest <= 47; + src <= 3; + when "1000001" => + dest <= 18; + src <= 62; + when "1000010" => + dest <= 6; + src <= 74; + when "1000011" => + dest <= 39; + src <= 18; + when "1000100" => + dest <= 79; + src <= 71; + when "1000101" => + dest <= 18; + src <= 55; + when "1000110" => + dest <= 31; + src <= 18; + when "1000111" => + dest <= 18; + src <= 79; + when "1001000" => + dest <= 15; + src <= 18; + when "1001001" => + dest <= 12; + src <= 39; + when "1001010" => + dest <= 73; + src <= 6; + when "1001011" => + dest <= 62; + src <= 47; + when "1001100" => + dest <= 18; + src <= 18; + when "1001101" => + dest <= 2; + src <= 14; + when "1001110" => + dest <= 18; + src <= 30; + when "1001111" => + dest <= 33; + src <= 33; + when "1010000" => + dest <= 10; + src <= 53; + when "1010001" => + dest <= 43; + src <= 18; + when "1010010" => + dest <= 80; + src <= 64; + when "1010011" => + dest <= 46; + src <= 43; + when "1010100" => + dest <= 18; + src <= 35; + when "1010101" => + dest <= 7; + src <= 12; + when "1010110" => + dest <= 38; + src <= 18; + when "1010111" => + dest <= 18; + src <= 2; + when "1011000" => + dest <= 30; + src <= 46; + when "1011001" => + dest <= 17; + src <= 80; + when "1011010" => + dest <= 11; + src <= 18; + when "1011011" => + dest <= 16; + src <= 38; + when "1011100" => + dest <= 53; + src <= 7; + when "1011101" => + dest <= 0; + src <= 28; + when "1011110" => + dest <= 29; + src <= 15; + when "1011111" => + dest <= 34; + src <= 34; + when "1100000" => + dest <= 8; + src <= 29; + when "1100001" => + dest <= 37; + src <= 36; + when "1100010" => + dest <= 72; + src <= 73; + when "1100011" => + dest <= 21; + src <= 16; + when "1100100" => + dest <= 44; + src <= 11; + when "1100101" => + dest <= 18; + src <= 37; + when "1100110" => + dest <= 45; + src <= 8; + when "1100111" => + dest <= 18; + src <= 45; + when "1101000" => + dest <= 28; + src <= 24; + when "1101001" => + dest <= 20; + src <= 1; + when "1101010" => + dest <= 35; + src <= 18; + when "1101011" => + dest <= 18; + src <= 72; + when "1101100" => + dest <= 25; + src <= 17; + when "1101101" => + dest <= 18; + src <= 25; + when "1101110" => + dest <= 18; + src <= 10; + when "1101111" => + dest <= 67; + src <= 18; + when "1110000" => + dest <= 59; + src <= 20; + when others => + dest <= 18; + src <= 18; + + end case; + end process; + + end generate NI_NUM18; + + NI_NUM19 : if NI_NUM = 19 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 25; + src <= 19; + when "0000001" => + dest <= 20; + src <= 19; + when "0000010" => + dest <= 19; + src <= 19; + when "0000011" => + dest <= 69; + src <= 19; + when "0000100" => + dest <= 55; + src <= 18; + when "0000101" => + dest <= 19; + src <= 22; + when "0000110" => + dest <= 59; + src <= 19; + when "0000111" => + dest <= 19; + src <= 19; + when "0001000" => + dest <= 77; + src <= 60; + when "0001001" => + dest <= 28; + src <= 68; + when "0001010" => + dest <= 19; + src <= 64; + when "0001011" => + dest <= 67; + src <= 19; + when "0001100" => + dest <= 24; + src <= 10; + when "0001101" => + dest <= 19; + src <= 59; + when "0001110" => + dest <= 51; + src <= 19; + when "0001111" => + dest <= 64; + src <= 19; + when "0010000" => + dest <= 18; + src <= 69; + when "0010001" => + dest <= 61; + src <= 51; + when "0010010" => + dest <= 58; + src <= 23; + when "0010011" => + dest <= 2; + src <= 20; + when "0010100" => + dest <= 19; + src <= 61; + when "0010101" => + dest <= 78; + src <= 55; + when "0010110" => + dest <= 50; + src <= 19; + when "0010111" => + dest <= 19; + src <= 77; + when "0011000" => + dest <= 70; + src <= 36; + when "0011001" => + dest <= 19; + src <= 19; + when "0011010" => + dest <= 23; + src <= 67; + when "0011011" => + dest <= 19; + src <= 70; + when "0011100" => + dest <= 5; + src <= 19; + when "0011101" => + dest <= 42; + src <= 19; + when "0011110" => + dest <= 19; + src <= 50; + when "0011111" => + dest <= 76; + src <= 78; + when "0100000" => + dest <= 52; + src <= 24; + when "0100001" => + dest <= 19; + src <= 58; + when "0100010" => + dest <= 66; + src <= 19; + when "0100011" => + dest <= 62; + src <= 19; + when "0100100" => + dest <= 19; + src <= 42; + when "0100101" => + dest <= 19; + src <= 5; + when "0100110" => + dest <= 10; + src <= 19; + when "0100111" => + dest <= 6; + src <= 52; + when "0101000" => + dest <= 49; + src <= 76; + when "0101001" => + dest <= 37; + src <= 28; + when "0101010" => + dest <= 79; + src <= 62; + when "0101011" => + dest <= 19; + src <= 66; + when "0101100" => + dest <= 57; + src <= 19; + when "0101101" => + dest <= 71; + src <= 1; + when "0101110" => + dest <= 41; + src <= 19; + when "0101111" => + dest <= 19; + src <= 41; + when "0110000" => + dest <= 19; + src <= 79; + when "0110001" => + dest <= 14; + src <= 19; + when "0110010" => + dest <= 15; + src <= 49; + when "0110011" => + dest <= 19; + src <= 19; + when "0110100" => + dest <= 4; + src <= 71; + when "0110101" => + dest <= 19; + src <= 57; + when "0110110" => + dest <= 33; + src <= 6; + when "0110111" => + dest <= 75; + src <= 19; + when "0111000" => + dest <= 19; + src <= 33; + when "0111001" => + dest <= 43; + src <= 32; + when "0111010" => + dest <= 65; + src <= 19; + when "0111011" => + dest <= 53; + src <= 43; + when "0111100" => + dest <= 19; + src <= 19; + when "0111101" => + dest <= 56; + src <= 14; + when "0111110" => + dest <= 63; + src <= 53; + when "0111111" => + dest <= 19; + src <= 19; + when "1000000" => + dest <= 48; + src <= 4; + when "1000001" => + dest <= 19; + src <= 54; + when "1000010" => + dest <= 7; + src <= 75; + when "1000011" => + dest <= 40; + src <= 19; + when "1000100" => + dest <= 80; + src <= 63; + when "1000101" => + dest <= 19; + src <= 56; + when "1000110" => + dest <= 32; + src <= 19; + when "1000111" => + dest <= 19; + src <= 80; + when "1001000" => + dest <= 16; + src <= 19; + when "1001001" => + dest <= 13; + src <= 40; + when "1001010" => + dest <= 74; + src <= 7; + when "1001011" => + dest <= 54; + src <= 48; + when "1001100" => + dest <= 19; + src <= 19; + when "1001101" => + dest <= 3; + src <= 15; + when "1001110" => + dest <= 19; + src <= 31; + when "1001111" => + dest <= 34; + src <= 34; + when "1010000" => + dest <= 11; + src <= 45; + when "1010001" => + dest <= 44; + src <= 19; + when "1010010" => + dest <= 72; + src <= 65; + when "1010011" => + dest <= 47; + src <= 44; + when "1010100" => + dest <= 19; + src <= 27; + when "1010101" => + dest <= 8; + src <= 13; + when "1010110" => + dest <= 39; + src <= 19; + when "1010111" => + dest <= 19; + src <= 3; + when "1011000" => + dest <= 31; + src <= 47; + when "1011001" => + dest <= 9; + src <= 72; + when "1011010" => + dest <= 12; + src <= 19; + when "1011011" => + dest <= 17; + src <= 39; + when "1011100" => + dest <= 45; + src <= 8; + when "1011101" => + dest <= 1; + src <= 29; + when "1011110" => + dest <= 30; + src <= 16; + when "1011111" => + dest <= 35; + src <= 35; + when "1100000" => + dest <= 0; + src <= 30; + when "1100001" => + dest <= 38; + src <= 37; + when "1100010" => + dest <= 73; + src <= 74; + when "1100011" => + dest <= 22; + src <= 17; + when "1100100" => + dest <= 36; + src <= 12; + when "1100101" => + dest <= 19; + src <= 38; + when "1100110" => + dest <= 46; + src <= 0; + when "1100111" => + dest <= 19; + src <= 46; + when "1101000" => + dest <= 29; + src <= 25; + when "1101001" => + dest <= 21; + src <= 2; + when "1101010" => + dest <= 27; + src <= 19; + when "1101011" => + dest <= 19; + src <= 73; + when "1101100" => + dest <= 26; + src <= 9; + when "1101101" => + dest <= 19; + src <= 26; + when "1101110" => + dest <= 19; + src <= 11; + when "1101111" => + dest <= 68; + src <= 19; + when "1110000" => + dest <= 60; + src <= 21; + when others => + dest <= 19; + src <= 19; + + end case; + end process; + + end generate NI_NUM19; + + NI_NUM20 : if NI_NUM = 20 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 26; + src <= 20; + when "0000001" => + dest <= 21; + src <= 20; + when "0000010" => + dest <= 20; + src <= 20; + when "0000011" => + dest <= 70; + src <= 20; + when "0000100" => + dest <= 56; + src <= 19; + when "0000101" => + dest <= 20; + src <= 23; + when "0000110" => + dest <= 60; + src <= 20; + when "0000111" => + dest <= 20; + src <= 20; + when "0001000" => + dest <= 78; + src <= 61; + when "0001001" => + dest <= 29; + src <= 69; + when "0001010" => + dest <= 20; + src <= 65; + when "0001011" => + dest <= 68; + src <= 20; + when "0001100" => + dest <= 25; + src <= 11; + when "0001101" => + dest <= 20; + src <= 60; + when "0001110" => + dest <= 52; + src <= 20; + when "0001111" => + dest <= 65; + src <= 20; + when "0010000" => + dest <= 19; + src <= 70; + when "0010001" => + dest <= 62; + src <= 52; + when "0010010" => + dest <= 59; + src <= 24; + when "0010011" => + dest <= 3; + src <= 21; + when "0010100" => + dest <= 20; + src <= 62; + when "0010101" => + dest <= 79; + src <= 56; + when "0010110" => + dest <= 51; + src <= 20; + when "0010111" => + dest <= 20; + src <= 78; + when "0011000" => + dest <= 71; + src <= 37; + when "0011001" => + dest <= 20; + src <= 20; + when "0011010" => + dest <= 24; + src <= 68; + when "0011011" => + dest <= 20; + src <= 71; + when "0011100" => + dest <= 6; + src <= 20; + when "0011101" => + dest <= 43; + src <= 20; + when "0011110" => + dest <= 20; + src <= 51; + when "0011111" => + dest <= 77; + src <= 79; + when "0100000" => + dest <= 53; + src <= 25; + when "0100001" => + dest <= 20; + src <= 59; + when "0100010" => + dest <= 67; + src <= 20; + when "0100011" => + dest <= 54; + src <= 20; + when "0100100" => + dest <= 20; + src <= 43; + when "0100101" => + dest <= 20; + src <= 6; + when "0100110" => + dest <= 11; + src <= 20; + when "0100111" => + dest <= 7; + src <= 53; + when "0101000" => + dest <= 50; + src <= 77; + when "0101001" => + dest <= 38; + src <= 29; + when "0101010" => + dest <= 80; + src <= 54; + when "0101011" => + dest <= 20; + src <= 67; + when "0101100" => + dest <= 58; + src <= 20; + when "0101101" => + dest <= 63; + src <= 2; + when "0101110" => + dest <= 42; + src <= 20; + when "0101111" => + dest <= 20; + src <= 42; + when "0110000" => + dest <= 20; + src <= 80; + when "0110001" => + dest <= 15; + src <= 20; + when "0110010" => + dest <= 16; + src <= 50; + when "0110011" => + dest <= 20; + src <= 20; + when "0110100" => + dest <= 5; + src <= 63; + when "0110101" => + dest <= 20; + src <= 58; + when "0110110" => + dest <= 34; + src <= 7; + when "0110111" => + dest <= 76; + src <= 20; + when "0111000" => + dest <= 20; + src <= 34; + when "0111001" => + dest <= 44; + src <= 33; + when "0111010" => + dest <= 66; + src <= 20; + when "0111011" => + dest <= 45; + src <= 44; + when "0111100" => + dest <= 20; + src <= 20; + when "0111101" => + dest <= 57; + src <= 15; + when "0111110" => + dest <= 64; + src <= 45; + when "0111111" => + dest <= 20; + src <= 20; + when "1000000" => + dest <= 49; + src <= 5; + when "1000001" => + dest <= 20; + src <= 55; + when "1000010" => + dest <= 8; + src <= 76; + when "1000011" => + dest <= 41; + src <= 20; + when "1000100" => + dest <= 72; + src <= 64; + when "1000101" => + dest <= 20; + src <= 57; + when "1000110" => + dest <= 33; + src <= 20; + when "1000111" => + dest <= 20; + src <= 72; + when "1001000" => + dest <= 17; + src <= 20; + when "1001001" => + dest <= 14; + src <= 41; + when "1001010" => + dest <= 75; + src <= 8; + when "1001011" => + dest <= 55; + src <= 49; + when "1001100" => + dest <= 20; + src <= 20; + when "1001101" => + dest <= 4; + src <= 16; + when "1001110" => + dest <= 20; + src <= 32; + when "1001111" => + dest <= 35; + src <= 35; + when "1010000" => + dest <= 12; + src <= 46; + when "1010001" => + dest <= 36; + src <= 20; + when "1010010" => + dest <= 73; + src <= 66; + when "1010011" => + dest <= 48; + src <= 36; + when "1010100" => + dest <= 20; + src <= 28; + when "1010101" => + dest <= 0; + src <= 14; + when "1010110" => + dest <= 40; + src <= 20; + when "1010111" => + dest <= 20; + src <= 4; + when "1011000" => + dest <= 32; + src <= 48; + when "1011001" => + dest <= 10; + src <= 73; + when "1011010" => + dest <= 13; + src <= 20; + when "1011011" => + dest <= 9; + src <= 40; + when "1011100" => + dest <= 46; + src <= 0; + when "1011101" => + dest <= 2; + src <= 30; + when "1011110" => + dest <= 31; + src <= 17; + when "1011111" => + dest <= 27; + src <= 27; + when "1100000" => + dest <= 1; + src <= 31; + when "1100001" => + dest <= 39; + src <= 38; + when "1100010" => + dest <= 74; + src <= 75; + when "1100011" => + dest <= 23; + src <= 9; + when "1100100" => + dest <= 37; + src <= 13; + when "1100101" => + dest <= 20; + src <= 39; + when "1100110" => + dest <= 47; + src <= 1; + when "1100111" => + dest <= 20; + src <= 47; + when "1101000" => + dest <= 30; + src <= 26; + when "1101001" => + dest <= 22; + src <= 3; + when "1101010" => + dest <= 28; + src <= 20; + when "1101011" => + dest <= 20; + src <= 74; + when "1101100" => + dest <= 18; + src <= 10; + when "1101101" => + dest <= 20; + src <= 18; + when "1101110" => + dest <= 20; + src <= 12; + when "1101111" => + dest <= 69; + src <= 20; + when "1110000" => + dest <= 61; + src <= 22; + when others => + dest <= 20; + src <= 20; + + end case; + end process; + + end generate NI_NUM20; + + NI_NUM21 : if NI_NUM = 21 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 18; + src <= 21; + when "0000001" => + dest <= 22; + src <= 21; + when "0000010" => + dest <= 21; + src <= 21; + when "0000011" => + dest <= 71; + src <= 21; + when "0000100" => + dest <= 57; + src <= 20; + when "0000101" => + dest <= 21; + src <= 24; + when "0000110" => + dest <= 61; + src <= 21; + when "0000111" => + dest <= 21; + src <= 21; + when "0001000" => + dest <= 79; + src <= 62; + when "0001001" => + dest <= 30; + src <= 70; + when "0001010" => + dest <= 21; + src <= 66; + when "0001011" => + dest <= 69; + src <= 21; + when "0001100" => + dest <= 26; + src <= 12; + when "0001101" => + dest <= 21; + src <= 61; + when "0001110" => + dest <= 53; + src <= 21; + when "0001111" => + dest <= 66; + src <= 21; + when "0010000" => + dest <= 20; + src <= 71; + when "0010001" => + dest <= 54; + src <= 53; + when "0010010" => + dest <= 60; + src <= 25; + when "0010011" => + dest <= 4; + src <= 22; + when "0010100" => + dest <= 21; + src <= 54; + when "0010101" => + dest <= 80; + src <= 57; + when "0010110" => + dest <= 52; + src <= 21; + when "0010111" => + dest <= 21; + src <= 79; + when "0011000" => + dest <= 63; + src <= 38; + when "0011001" => + dest <= 21; + src <= 21; + when "0011010" => + dest <= 25; + src <= 69; + when "0011011" => + dest <= 21; + src <= 63; + when "0011100" => + dest <= 7; + src <= 21; + when "0011101" => + dest <= 44; + src <= 21; + when "0011110" => + dest <= 21; + src <= 52; + when "0011111" => + dest <= 78; + src <= 80; + when "0100000" => + dest <= 45; + src <= 26; + when "0100001" => + dest <= 21; + src <= 60; + when "0100010" => + dest <= 68; + src <= 21; + when "0100011" => + dest <= 55; + src <= 21; + when "0100100" => + dest <= 21; + src <= 44; + when "0100101" => + dest <= 21; + src <= 7; + when "0100110" => + dest <= 12; + src <= 21; + when "0100111" => + dest <= 8; + src <= 45; + when "0101000" => + dest <= 51; + src <= 78; + when "0101001" => + dest <= 39; + src <= 30; + when "0101010" => + dest <= 72; + src <= 55; + when "0101011" => + dest <= 21; + src <= 68; + when "0101100" => + dest <= 59; + src <= 21; + when "0101101" => + dest <= 64; + src <= 3; + when "0101110" => + dest <= 43; + src <= 21; + when "0101111" => + dest <= 21; + src <= 43; + when "0110000" => + dest <= 21; + src <= 72; + when "0110001" => + dest <= 16; + src <= 21; + when "0110010" => + dest <= 17; + src <= 51; + when "0110011" => + dest <= 21; + src <= 21; + when "0110100" => + dest <= 6; + src <= 64; + when "0110101" => + dest <= 21; + src <= 59; + when "0110110" => + dest <= 35; + src <= 8; + when "0110111" => + dest <= 77; + src <= 21; + when "0111000" => + dest <= 21; + src <= 35; + when "0111001" => + dest <= 36; + src <= 34; + when "0111010" => + dest <= 67; + src <= 21; + when "0111011" => + dest <= 46; + src <= 36; + when "0111100" => + dest <= 21; + src <= 21; + when "0111101" => + dest <= 58; + src <= 16; + when "0111110" => + dest <= 65; + src <= 46; + when "0111111" => + dest <= 21; + src <= 21; + when "1000000" => + dest <= 50; + src <= 6; + when "1000001" => + dest <= 21; + src <= 56; + when "1000010" => + dest <= 0; + src <= 77; + when "1000011" => + dest <= 42; + src <= 21; + when "1000100" => + dest <= 73; + src <= 65; + when "1000101" => + dest <= 21; + src <= 58; + when "1000110" => + dest <= 34; + src <= 21; + when "1000111" => + dest <= 21; + src <= 73; + when "1001000" => + dest <= 9; + src <= 21; + when "1001001" => + dest <= 15; + src <= 42; + when "1001010" => + dest <= 76; + src <= 0; + when "1001011" => + dest <= 56; + src <= 50; + when "1001100" => + dest <= 21; + src <= 21; + when "1001101" => + dest <= 5; + src <= 17; + when "1001110" => + dest <= 21; + src <= 33; + when "1001111" => + dest <= 27; + src <= 27; + when "1010000" => + dest <= 13; + src <= 47; + when "1010001" => + dest <= 37; + src <= 21; + when "1010010" => + dest <= 74; + src <= 67; + when "1010011" => + dest <= 49; + src <= 37; + when "1010100" => + dest <= 21; + src <= 29; + when "1010101" => + dest <= 1; + src <= 15; + when "1010110" => + dest <= 41; + src <= 21; + when "1010111" => + dest <= 21; + src <= 5; + when "1011000" => + dest <= 33; + src <= 49; + when "1011001" => + dest <= 11; + src <= 74; + when "1011010" => + dest <= 14; + src <= 21; + when "1011011" => + dest <= 10; + src <= 41; + when "1011100" => + dest <= 47; + src <= 1; + when "1011101" => + dest <= 3; + src <= 31; + when "1011110" => + dest <= 32; + src <= 9; + when "1011111" => + dest <= 28; + src <= 28; + when "1100000" => + dest <= 2; + src <= 32; + when "1100001" => + dest <= 40; + src <= 39; + when "1100010" => + dest <= 75; + src <= 76; + when "1100011" => + dest <= 24; + src <= 10; + when "1100100" => + dest <= 38; + src <= 14; + when "1100101" => + dest <= 21; + src <= 40; + when "1100110" => + dest <= 48; + src <= 2; + when "1100111" => + dest <= 21; + src <= 48; + when "1101000" => + dest <= 31; + src <= 18; + when "1101001" => + dest <= 23; + src <= 4; + when "1101010" => + dest <= 29; + src <= 21; + when "1101011" => + dest <= 21; + src <= 75; + when "1101100" => + dest <= 19; + src <= 11; + when "1101101" => + dest <= 21; + src <= 19; + when "1101110" => + dest <= 21; + src <= 13; + when "1101111" => + dest <= 70; + src <= 21; + when "1110000" => + dest <= 62; + src <= 23; + when others => + dest <= 21; + src <= 21; + + end case; + end process; + + end generate NI_NUM21; + + NI_NUM22 : if NI_NUM = 22 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 19; + src <= 22; + when "0000001" => + dest <= 23; + src <= 22; + when "0000010" => + dest <= 22; + src <= 22; + when "0000011" => + dest <= 63; + src <= 22; + when "0000100" => + dest <= 58; + src <= 21; + when "0000101" => + dest <= 22; + src <= 25; + when "0000110" => + dest <= 62; + src <= 22; + when "0000111" => + dest <= 22; + src <= 22; + when "0001000" => + dest <= 80; + src <= 54; + when "0001001" => + dest <= 31; + src <= 71; + when "0001010" => + dest <= 22; + src <= 67; + when "0001011" => + dest <= 70; + src <= 22; + when "0001100" => + dest <= 18; + src <= 13; + when "0001101" => + dest <= 22; + src <= 62; + when "0001110" => + dest <= 45; + src <= 22; + when "0001111" => + dest <= 67; + src <= 22; + when "0010000" => + dest <= 21; + src <= 63; + when "0010001" => + dest <= 55; + src <= 45; + when "0010010" => + dest <= 61; + src <= 26; + when "0010011" => + dest <= 5; + src <= 23; + when "0010100" => + dest <= 22; + src <= 55; + when "0010101" => + dest <= 72; + src <= 58; + when "0010110" => + dest <= 53; + src <= 22; + when "0010111" => + dest <= 22; + src <= 80; + when "0011000" => + dest <= 64; + src <= 39; + when "0011001" => + dest <= 22; + src <= 22; + when "0011010" => + dest <= 26; + src <= 70; + when "0011011" => + dest <= 22; + src <= 64; + when "0011100" => + dest <= 8; + src <= 22; + when "0011101" => + dest <= 36; + src <= 22; + when "0011110" => + dest <= 22; + src <= 53; + when "0011111" => + dest <= 79; + src <= 72; + when "0100000" => + dest <= 46; + src <= 18; + when "0100001" => + dest <= 22; + src <= 61; + when "0100010" => + dest <= 69; + src <= 22; + when "0100011" => + dest <= 56; + src <= 22; + when "0100100" => + dest <= 22; + src <= 36; + when "0100101" => + dest <= 22; + src <= 8; + when "0100110" => + dest <= 13; + src <= 22; + when "0100111" => + dest <= 0; + src <= 46; + when "0101000" => + dest <= 52; + src <= 79; + when "0101001" => + dest <= 40; + src <= 31; + when "0101010" => + dest <= 73; + src <= 56; + when "0101011" => + dest <= 22; + src <= 69; + when "0101100" => + dest <= 60; + src <= 22; + when "0101101" => + dest <= 65; + src <= 4; + when "0101110" => + dest <= 44; + src <= 22; + when "0101111" => + dest <= 22; + src <= 44; + when "0110000" => + dest <= 22; + src <= 73; + when "0110001" => + dest <= 17; + src <= 22; + when "0110010" => + dest <= 9; + src <= 52; + when "0110011" => + dest <= 22; + src <= 22; + when "0110100" => + dest <= 7; + src <= 65; + when "0110101" => + dest <= 22; + src <= 60; + when "0110110" => + dest <= 27; + src <= 0; + when "0110111" => + dest <= 78; + src <= 22; + when "0111000" => + dest <= 22; + src <= 27; + when "0111001" => + dest <= 37; + src <= 35; + when "0111010" => + dest <= 68; + src <= 22; + when "0111011" => + dest <= 47; + src <= 37; + when "0111100" => + dest <= 22; + src <= 22; + when "0111101" => + dest <= 59; + src <= 17; + when "0111110" => + dest <= 66; + src <= 47; + when "0111111" => + dest <= 22; + src <= 22; + when "1000000" => + dest <= 51; + src <= 7; + when "1000001" => + dest <= 22; + src <= 57; + when "1000010" => + dest <= 1; + src <= 78; + when "1000011" => + dest <= 43; + src <= 22; + when "1000100" => + dest <= 74; + src <= 66; + when "1000101" => + dest <= 22; + src <= 59; + when "1000110" => + dest <= 35; + src <= 22; + when "1000111" => + dest <= 22; + src <= 74; + when "1001000" => + dest <= 10; + src <= 22; + when "1001001" => + dest <= 16; + src <= 43; + when "1001010" => + dest <= 77; + src <= 1; + when "1001011" => + dest <= 57; + src <= 51; + when "1001100" => + dest <= 22; + src <= 22; + when "1001101" => + dest <= 6; + src <= 9; + when "1001110" => + dest <= 22; + src <= 34; + when "1001111" => + dest <= 28; + src <= 28; + when "1010000" => + dest <= 14; + src <= 48; + when "1010001" => + dest <= 38; + src <= 22; + when "1010010" => + dest <= 75; + src <= 68; + when "1010011" => + dest <= 50; + src <= 38; + when "1010100" => + dest <= 22; + src <= 30; + when "1010101" => + dest <= 2; + src <= 16; + when "1010110" => + dest <= 42; + src <= 22; + when "1010111" => + dest <= 22; + src <= 6; + when "1011000" => + dest <= 34; + src <= 50; + when "1011001" => + dest <= 12; + src <= 75; + when "1011010" => + dest <= 15; + src <= 22; + when "1011011" => + dest <= 11; + src <= 42; + when "1011100" => + dest <= 48; + src <= 2; + when "1011101" => + dest <= 4; + src <= 32; + when "1011110" => + dest <= 33; + src <= 10; + when "1011111" => + dest <= 29; + src <= 29; + when "1100000" => + dest <= 3; + src <= 33; + when "1100001" => + dest <= 41; + src <= 40; + when "1100010" => + dest <= 76; + src <= 77; + when "1100011" => + dest <= 25; + src <= 11; + when "1100100" => + dest <= 39; + src <= 15; + when "1100101" => + dest <= 22; + src <= 41; + when "1100110" => + dest <= 49; + src <= 3; + when "1100111" => + dest <= 22; + src <= 49; + when "1101000" => + dest <= 32; + src <= 19; + when "1101001" => + dest <= 24; + src <= 5; + when "1101010" => + dest <= 30; + src <= 22; + when "1101011" => + dest <= 22; + src <= 76; + when "1101100" => + dest <= 20; + src <= 12; + when "1101101" => + dest <= 22; + src <= 20; + when "1101110" => + dest <= 22; + src <= 14; + when "1101111" => + dest <= 71; + src <= 22; + when "1110000" => + dest <= 54; + src <= 24; + when others => + dest <= 22; + src <= 22; + + end case; + end process; + + end generate NI_NUM22; + + NI_NUM23 : if NI_NUM = 23 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 20; + src <= 23; + when "0000001" => + dest <= 24; + src <= 23; + when "0000010" => + dest <= 23; + src <= 23; + when "0000011" => + dest <= 64; + src <= 23; + when "0000100" => + dest <= 59; + src <= 22; + when "0000101" => + dest <= 23; + src <= 26; + when "0000110" => + dest <= 54; + src <= 23; + when "0000111" => + dest <= 23; + src <= 23; + when "0001000" => + dest <= 72; + src <= 55; + when "0001001" => + dest <= 32; + src <= 63; + when "0001010" => + dest <= 23; + src <= 68; + when "0001011" => + dest <= 71; + src <= 23; + when "0001100" => + dest <= 19; + src <= 14; + when "0001101" => + dest <= 23; + src <= 54; + when "0001110" => + dest <= 46; + src <= 23; + when "0001111" => + dest <= 68; + src <= 23; + when "0010000" => + dest <= 22; + src <= 64; + when "0010001" => + dest <= 56; + src <= 46; + when "0010010" => + dest <= 62; + src <= 18; + when "0010011" => + dest <= 6; + src <= 24; + when "0010100" => + dest <= 23; + src <= 56; + when "0010101" => + dest <= 73; + src <= 59; + when "0010110" => + dest <= 45; + src <= 23; + when "0010111" => + dest <= 23; + src <= 72; + when "0011000" => + dest <= 65; + src <= 40; + when "0011001" => + dest <= 23; + src <= 23; + when "0011010" => + dest <= 18; + src <= 71; + when "0011011" => + dest <= 23; + src <= 65; + when "0011100" => + dest <= 0; + src <= 23; + when "0011101" => + dest <= 37; + src <= 23; + when "0011110" => + dest <= 23; + src <= 45; + when "0011111" => + dest <= 80; + src <= 73; + when "0100000" => + dest <= 47; + src <= 19; + when "0100001" => + dest <= 23; + src <= 62; + when "0100010" => + dest <= 70; + src <= 23; + when "0100011" => + dest <= 57; + src <= 23; + when "0100100" => + dest <= 23; + src <= 37; + when "0100101" => + dest <= 23; + src <= 0; + when "0100110" => + dest <= 14; + src <= 23; + when "0100111" => + dest <= 1; + src <= 47; + when "0101000" => + dest <= 53; + src <= 80; + when "0101001" => + dest <= 41; + src <= 32; + when "0101010" => + dest <= 74; + src <= 57; + when "0101011" => + dest <= 23; + src <= 70; + when "0101100" => + dest <= 61; + src <= 23; + when "0101101" => + dest <= 66; + src <= 5; + when "0101110" => + dest <= 36; + src <= 23; + when "0101111" => + dest <= 23; + src <= 36; + when "0110000" => + dest <= 23; + src <= 74; + when "0110001" => + dest <= 9; + src <= 23; + when "0110010" => + dest <= 10; + src <= 53; + when "0110011" => + dest <= 23; + src <= 23; + when "0110100" => + dest <= 8; + src <= 66; + when "0110101" => + dest <= 23; + src <= 61; + when "0110110" => + dest <= 28; + src <= 1; + when "0110111" => + dest <= 79; + src <= 23; + when "0111000" => + dest <= 23; + src <= 28; + when "0111001" => + dest <= 38; + src <= 27; + when "0111010" => + dest <= 69; + src <= 23; + when "0111011" => + dest <= 48; + src <= 38; + when "0111100" => + dest <= 23; + src <= 23; + when "0111101" => + dest <= 60; + src <= 9; + when "0111110" => + dest <= 67; + src <= 48; + when "0111111" => + dest <= 23; + src <= 23; + when "1000000" => + dest <= 52; + src <= 8; + when "1000001" => + dest <= 23; + src <= 58; + when "1000010" => + dest <= 2; + src <= 79; + when "1000011" => + dest <= 44; + src <= 23; + when "1000100" => + dest <= 75; + src <= 67; + when "1000101" => + dest <= 23; + src <= 60; + when "1000110" => + dest <= 27; + src <= 23; + when "1000111" => + dest <= 23; + src <= 75; + when "1001000" => + dest <= 11; + src <= 23; + when "1001001" => + dest <= 17; + src <= 44; + when "1001010" => + dest <= 78; + src <= 2; + when "1001011" => + dest <= 58; + src <= 52; + when "1001100" => + dest <= 23; + src <= 23; + when "1001101" => + dest <= 7; + src <= 10; + when "1001110" => + dest <= 23; + src <= 35; + when "1001111" => + dest <= 29; + src <= 29; + when "1010000" => + dest <= 15; + src <= 49; + when "1010001" => + dest <= 39; + src <= 23; + when "1010010" => + dest <= 76; + src <= 69; + when "1010011" => + dest <= 51; + src <= 39; + when "1010100" => + dest <= 23; + src <= 31; + when "1010101" => + dest <= 3; + src <= 17; + when "1010110" => + dest <= 43; + src <= 23; + when "1010111" => + dest <= 23; + src <= 7; + when "1011000" => + dest <= 35; + src <= 51; + when "1011001" => + dest <= 13; + src <= 76; + when "1011010" => + dest <= 16; + src <= 23; + when "1011011" => + dest <= 12; + src <= 43; + when "1011100" => + dest <= 49; + src <= 3; + when "1011101" => + dest <= 5; + src <= 33; + when "1011110" => + dest <= 34; + src <= 11; + when "1011111" => + dest <= 30; + src <= 30; + when "1100000" => + dest <= 4; + src <= 34; + when "1100001" => + dest <= 42; + src <= 41; + when "1100010" => + dest <= 77; + src <= 78; + when "1100011" => + dest <= 26; + src <= 12; + when "1100100" => + dest <= 40; + src <= 16; + when "1100101" => + dest <= 23; + src <= 42; + when "1100110" => + dest <= 50; + src <= 4; + when "1100111" => + dest <= 23; + src <= 50; + when "1101000" => + dest <= 33; + src <= 20; + when "1101001" => + dest <= 25; + src <= 6; + when "1101010" => + dest <= 31; + src <= 23; + when "1101011" => + dest <= 23; + src <= 77; + when "1101100" => + dest <= 21; + src <= 13; + when "1101101" => + dest <= 23; + src <= 21; + when "1101110" => + dest <= 23; + src <= 15; + when "1101111" => + dest <= 63; + src <= 23; + when "1110000" => + dest <= 55; + src <= 25; + when others => + dest <= 23; + src <= 23; + + end case; + end process; + + end generate NI_NUM23; + + NI_NUM24 : if NI_NUM = 24 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 21; + src <= 24; + when "0000001" => + dest <= 25; + src <= 24; + when "0000010" => + dest <= 24; + src <= 24; + when "0000011" => + dest <= 65; + src <= 24; + when "0000100" => + dest <= 60; + src <= 23; + when "0000101" => + dest <= 24; + src <= 18; + when "0000110" => + dest <= 55; + src <= 24; + when "0000111" => + dest <= 24; + src <= 24; + when "0001000" => + dest <= 73; + src <= 56; + when "0001001" => + dest <= 33; + src <= 64; + when "0001010" => + dest <= 24; + src <= 69; + when "0001011" => + dest <= 63; + src <= 24; + when "0001100" => + dest <= 20; + src <= 15; + when "0001101" => + dest <= 24; + src <= 55; + when "0001110" => + dest <= 47; + src <= 24; + when "0001111" => + dest <= 69; + src <= 24; + when "0010000" => + dest <= 23; + src <= 65; + when "0010001" => + dest <= 57; + src <= 47; + when "0010010" => + dest <= 54; + src <= 19; + when "0010011" => + dest <= 7; + src <= 25; + when "0010100" => + dest <= 24; + src <= 57; + when "0010101" => + dest <= 74; + src <= 60; + when "0010110" => + dest <= 46; + src <= 24; + when "0010111" => + dest <= 24; + src <= 73; + when "0011000" => + dest <= 66; + src <= 41; + when "0011001" => + dest <= 24; + src <= 24; + when "0011010" => + dest <= 19; + src <= 63; + when "0011011" => + dest <= 24; + src <= 66; + when "0011100" => + dest <= 1; + src <= 24; + when "0011101" => + dest <= 38; + src <= 24; + when "0011110" => + dest <= 24; + src <= 46; + when "0011111" => + dest <= 72; + src <= 74; + when "0100000" => + dest <= 48; + src <= 20; + when "0100001" => + dest <= 24; + src <= 54; + when "0100010" => + dest <= 71; + src <= 24; + when "0100011" => + dest <= 58; + src <= 24; + when "0100100" => + dest <= 24; + src <= 38; + when "0100101" => + dest <= 24; + src <= 1; + when "0100110" => + dest <= 15; + src <= 24; + when "0100111" => + dest <= 2; + src <= 48; + when "0101000" => + dest <= 45; + src <= 72; + when "0101001" => + dest <= 42; + src <= 33; + when "0101010" => + dest <= 75; + src <= 58; + when "0101011" => + dest <= 24; + src <= 71; + when "0101100" => + dest <= 62; + src <= 24; + when "0101101" => + dest <= 67; + src <= 6; + when "0101110" => + dest <= 37; + src <= 24; + when "0101111" => + dest <= 24; + src <= 37; + when "0110000" => + dest <= 24; + src <= 75; + when "0110001" => + dest <= 10; + src <= 24; + when "0110010" => + dest <= 11; + src <= 45; + when "0110011" => + dest <= 24; + src <= 24; + when "0110100" => + dest <= 0; + src <= 67; + when "0110101" => + dest <= 24; + src <= 62; + when "0110110" => + dest <= 29; + src <= 2; + when "0110111" => + dest <= 80; + src <= 24; + when "0111000" => + dest <= 24; + src <= 29; + when "0111001" => + dest <= 39; + src <= 28; + when "0111010" => + dest <= 70; + src <= 24; + when "0111011" => + dest <= 49; + src <= 39; + when "0111100" => + dest <= 24; + src <= 24; + when "0111101" => + dest <= 61; + src <= 10; + when "0111110" => + dest <= 68; + src <= 49; + when "0111111" => + dest <= 24; + src <= 24; + when "1000000" => + dest <= 53; + src <= 0; + when "1000001" => + dest <= 24; + src <= 59; + when "1000010" => + dest <= 3; + src <= 80; + when "1000011" => + dest <= 36; + src <= 24; + when "1000100" => + dest <= 76; + src <= 68; + when "1000101" => + dest <= 24; + src <= 61; + when "1000110" => + dest <= 28; + src <= 24; + when "1000111" => + dest <= 24; + src <= 76; + when "1001000" => + dest <= 12; + src <= 24; + when "1001001" => + dest <= 9; + src <= 36; + when "1001010" => + dest <= 79; + src <= 3; + when "1001011" => + dest <= 59; + src <= 53; + when "1001100" => + dest <= 24; + src <= 24; + when "1001101" => + dest <= 8; + src <= 11; + when "1001110" => + dest <= 24; + src <= 27; + when "1001111" => + dest <= 30; + src <= 30; + when "1010000" => + dest <= 16; + src <= 50; + when "1010001" => + dest <= 40; + src <= 24; + when "1010010" => + dest <= 77; + src <= 70; + when "1010011" => + dest <= 52; + src <= 40; + when "1010100" => + dest <= 24; + src <= 32; + when "1010101" => + dest <= 4; + src <= 9; + when "1010110" => + dest <= 44; + src <= 24; + when "1010111" => + dest <= 24; + src <= 8; + when "1011000" => + dest <= 27; + src <= 52; + when "1011001" => + dest <= 14; + src <= 77; + when "1011010" => + dest <= 17; + src <= 24; + when "1011011" => + dest <= 13; + src <= 44; + when "1011100" => + dest <= 50; + src <= 4; + when "1011101" => + dest <= 6; + src <= 34; + when "1011110" => + dest <= 35; + src <= 12; + when "1011111" => + dest <= 31; + src <= 31; + when "1100000" => + dest <= 5; + src <= 35; + when "1100001" => + dest <= 43; + src <= 42; + when "1100010" => + dest <= 78; + src <= 79; + when "1100011" => + dest <= 18; + src <= 13; + when "1100100" => + dest <= 41; + src <= 17; + when "1100101" => + dest <= 24; + src <= 43; + when "1100110" => + dest <= 51; + src <= 5; + when "1100111" => + dest <= 24; + src <= 51; + when "1101000" => + dest <= 34; + src <= 21; + when "1101001" => + dest <= 26; + src <= 7; + when "1101010" => + dest <= 32; + src <= 24; + when "1101011" => + dest <= 24; + src <= 78; + when "1101100" => + dest <= 22; + src <= 14; + when "1101101" => + dest <= 24; + src <= 22; + when "1101110" => + dest <= 24; + src <= 16; + when "1101111" => + dest <= 64; + src <= 24; + when "1110000" => + dest <= 56; + src <= 26; + when others => + dest <= 24; + src <= 24; + + end case; + end process; + + end generate NI_NUM24; + + NI_NUM25 : if NI_NUM = 25 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 22; + src <= 25; + when "0000001" => + dest <= 26; + src <= 25; + when "0000010" => + dest <= 25; + src <= 25; + when "0000011" => + dest <= 66; + src <= 25; + when "0000100" => + dest <= 61; + src <= 24; + when "0000101" => + dest <= 25; + src <= 19; + when "0000110" => + dest <= 56; + src <= 25; + when "0000111" => + dest <= 25; + src <= 25; + when "0001000" => + dest <= 74; + src <= 57; + when "0001001" => + dest <= 34; + src <= 65; + when "0001010" => + dest <= 25; + src <= 70; + when "0001011" => + dest <= 64; + src <= 25; + when "0001100" => + dest <= 21; + src <= 16; + when "0001101" => + dest <= 25; + src <= 56; + when "0001110" => + dest <= 48; + src <= 25; + when "0001111" => + dest <= 70; + src <= 25; + when "0010000" => + dest <= 24; + src <= 66; + when "0010001" => + dest <= 58; + src <= 48; + when "0010010" => + dest <= 55; + src <= 20; + when "0010011" => + dest <= 8; + src <= 26; + when "0010100" => + dest <= 25; + src <= 58; + when "0010101" => + dest <= 75; + src <= 61; + when "0010110" => + dest <= 47; + src <= 25; + when "0010111" => + dest <= 25; + src <= 74; + when "0011000" => + dest <= 67; + src <= 42; + when "0011001" => + dest <= 25; + src <= 25; + when "0011010" => + dest <= 20; + src <= 64; + when "0011011" => + dest <= 25; + src <= 67; + when "0011100" => + dest <= 2; + src <= 25; + when "0011101" => + dest <= 39; + src <= 25; + when "0011110" => + dest <= 25; + src <= 47; + when "0011111" => + dest <= 73; + src <= 75; + when "0100000" => + dest <= 49; + src <= 21; + when "0100001" => + dest <= 25; + src <= 55; + when "0100010" => + dest <= 63; + src <= 25; + when "0100011" => + dest <= 59; + src <= 25; + when "0100100" => + dest <= 25; + src <= 39; + when "0100101" => + dest <= 25; + src <= 2; + when "0100110" => + dest <= 16; + src <= 25; + when "0100111" => + dest <= 3; + src <= 49; + when "0101000" => + dest <= 46; + src <= 73; + when "0101001" => + dest <= 43; + src <= 34; + when "0101010" => + dest <= 76; + src <= 59; + when "0101011" => + dest <= 25; + src <= 63; + when "0101100" => + dest <= 54; + src <= 25; + when "0101101" => + dest <= 68; + src <= 7; + when "0101110" => + dest <= 38; + src <= 25; + when "0101111" => + dest <= 25; + src <= 38; + when "0110000" => + dest <= 25; + src <= 76; + when "0110001" => + dest <= 11; + src <= 25; + when "0110010" => + dest <= 12; + src <= 46; + when "0110011" => + dest <= 25; + src <= 25; + when "0110100" => + dest <= 1; + src <= 68; + when "0110101" => + dest <= 25; + src <= 54; + when "0110110" => + dest <= 30; + src <= 3; + when "0110111" => + dest <= 72; + src <= 25; + when "0111000" => + dest <= 25; + src <= 30; + when "0111001" => + dest <= 40; + src <= 29; + when "0111010" => + dest <= 71; + src <= 25; + when "0111011" => + dest <= 50; + src <= 40; + when "0111100" => + dest <= 25; + src <= 25; + when "0111101" => + dest <= 62; + src <= 11; + when "0111110" => + dest <= 69; + src <= 50; + when "0111111" => + dest <= 25; + src <= 25; + when "1000000" => + dest <= 45; + src <= 1; + when "1000001" => + dest <= 25; + src <= 60; + when "1000010" => + dest <= 4; + src <= 72; + when "1000011" => + dest <= 37; + src <= 25; + when "1000100" => + dest <= 77; + src <= 69; + when "1000101" => + dest <= 25; + src <= 62; + when "1000110" => + dest <= 29; + src <= 25; + when "1000111" => + dest <= 25; + src <= 77; + when "1001000" => + dest <= 13; + src <= 25; + when "1001001" => + dest <= 10; + src <= 37; + when "1001010" => + dest <= 80; + src <= 4; + when "1001011" => + dest <= 60; + src <= 45; + when "1001100" => + dest <= 25; + src <= 25; + when "1001101" => + dest <= 0; + src <= 12; + when "1001110" => + dest <= 25; + src <= 28; + when "1001111" => + dest <= 31; + src <= 31; + when "1010000" => + dest <= 17; + src <= 51; + when "1010001" => + dest <= 41; + src <= 25; + when "1010010" => + dest <= 78; + src <= 71; + when "1010011" => + dest <= 53; + src <= 41; + when "1010100" => + dest <= 25; + src <= 33; + when "1010101" => + dest <= 5; + src <= 10; + when "1010110" => + dest <= 36; + src <= 25; + when "1010111" => + dest <= 25; + src <= 0; + when "1011000" => + dest <= 28; + src <= 53; + when "1011001" => + dest <= 15; + src <= 78; + when "1011010" => + dest <= 9; + src <= 25; + when "1011011" => + dest <= 14; + src <= 36; + when "1011100" => + dest <= 51; + src <= 5; + when "1011101" => + dest <= 7; + src <= 35; + when "1011110" => + dest <= 27; + src <= 13; + when "1011111" => + dest <= 32; + src <= 32; + when "1100000" => + dest <= 6; + src <= 27; + when "1100001" => + dest <= 44; + src <= 43; + when "1100010" => + dest <= 79; + src <= 80; + when "1100011" => + dest <= 19; + src <= 14; + when "1100100" => + dest <= 42; + src <= 9; + when "1100101" => + dest <= 25; + src <= 44; + when "1100110" => + dest <= 52; + src <= 6; + when "1100111" => + dest <= 25; + src <= 52; + when "1101000" => + dest <= 35; + src <= 22; + when "1101001" => + dest <= 18; + src <= 8; + when "1101010" => + dest <= 33; + src <= 25; + when "1101011" => + dest <= 25; + src <= 79; + when "1101100" => + dest <= 23; + src <= 15; + when "1101101" => + dest <= 25; + src <= 23; + when "1101110" => + dest <= 25; + src <= 17; + when "1101111" => + dest <= 65; + src <= 25; + when "1110000" => + dest <= 57; + src <= 18; + when others => + dest <= 25; + src <= 25; + + end case; + end process; + + end generate NI_NUM25; + + NI_NUM26 : if NI_NUM = 26 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 23; + src <= 26; + when "0000001" => + dest <= 18; + src <= 26; + when "0000010" => + dest <= 26; + src <= 26; + when "0000011" => + dest <= 67; + src <= 26; + when "0000100" => + dest <= 62; + src <= 25; + when "0000101" => + dest <= 26; + src <= 20; + when "0000110" => + dest <= 57; + src <= 26; + when "0000111" => + dest <= 26; + src <= 26; + when "0001000" => + dest <= 75; + src <= 58; + when "0001001" => + dest <= 35; + src <= 66; + when "0001010" => + dest <= 26; + src <= 71; + when "0001011" => + dest <= 65; + src <= 26; + when "0001100" => + dest <= 22; + src <= 17; + when "0001101" => + dest <= 26; + src <= 57; + when "0001110" => + dest <= 49; + src <= 26; + when "0001111" => + dest <= 71; + src <= 26; + when "0010000" => + dest <= 25; + src <= 67; + when "0010001" => + dest <= 59; + src <= 49; + when "0010010" => + dest <= 56; + src <= 21; + when "0010011" => + dest <= 0; + src <= 18; + when "0010100" => + dest <= 26; + src <= 59; + when "0010101" => + dest <= 76; + src <= 62; + when "0010110" => + dest <= 48; + src <= 26; + when "0010111" => + dest <= 26; + src <= 75; + when "0011000" => + dest <= 68; + src <= 43; + when "0011001" => + dest <= 26; + src <= 26; + when "0011010" => + dest <= 21; + src <= 65; + when "0011011" => + dest <= 26; + src <= 68; + when "0011100" => + dest <= 3; + src <= 26; + when "0011101" => + dest <= 40; + src <= 26; + when "0011110" => + dest <= 26; + src <= 48; + when "0011111" => + dest <= 74; + src <= 76; + when "0100000" => + dest <= 50; + src <= 22; + when "0100001" => + dest <= 26; + src <= 56; + when "0100010" => + dest <= 64; + src <= 26; + when "0100011" => + dest <= 60; + src <= 26; + when "0100100" => + dest <= 26; + src <= 40; + when "0100101" => + dest <= 26; + src <= 3; + when "0100110" => + dest <= 17; + src <= 26; + when "0100111" => + dest <= 4; + src <= 50; + when "0101000" => + dest <= 47; + src <= 74; + when "0101001" => + dest <= 44; + src <= 35; + when "0101010" => + dest <= 77; + src <= 60; + when "0101011" => + dest <= 26; + src <= 64; + when "0101100" => + dest <= 55; + src <= 26; + when "0101101" => + dest <= 69; + src <= 8; + when "0101110" => + dest <= 39; + src <= 26; + when "0101111" => + dest <= 26; + src <= 39; + when "0110000" => + dest <= 26; + src <= 77; + when "0110001" => + dest <= 12; + src <= 26; + when "0110010" => + dest <= 13; + src <= 47; + when "0110011" => + dest <= 26; + src <= 26; + when "0110100" => + dest <= 2; + src <= 69; + when "0110101" => + dest <= 26; + src <= 55; + when "0110110" => + dest <= 31; + src <= 4; + when "0110111" => + dest <= 73; + src <= 26; + when "0111000" => + dest <= 26; + src <= 31; + when "0111001" => + dest <= 41; + src <= 30; + when "0111010" => + dest <= 63; + src <= 26; + when "0111011" => + dest <= 51; + src <= 41; + when "0111100" => + dest <= 26; + src <= 26; + when "0111101" => + dest <= 54; + src <= 12; + when "0111110" => + dest <= 70; + src <= 51; + when "0111111" => + dest <= 26; + src <= 26; + when "1000000" => + dest <= 46; + src <= 2; + when "1000001" => + dest <= 26; + src <= 61; + when "1000010" => + dest <= 5; + src <= 73; + when "1000011" => + dest <= 38; + src <= 26; + when "1000100" => + dest <= 78; + src <= 70; + when "1000101" => + dest <= 26; + src <= 54; + when "1000110" => + dest <= 30; + src <= 26; + when "1000111" => + dest <= 26; + src <= 78; + when "1001000" => + dest <= 14; + src <= 26; + when "1001001" => + dest <= 11; + src <= 38; + when "1001010" => + dest <= 72; + src <= 5; + when "1001011" => + dest <= 61; + src <= 46; + when "1001100" => + dest <= 26; + src <= 26; + when "1001101" => + dest <= 1; + src <= 13; + when "1001110" => + dest <= 26; + src <= 29; + when "1001111" => + dest <= 32; + src <= 32; + when "1010000" => + dest <= 9; + src <= 52; + when "1010001" => + dest <= 42; + src <= 26; + when "1010010" => + dest <= 79; + src <= 63; + when "1010011" => + dest <= 45; + src <= 42; + when "1010100" => + dest <= 26; + src <= 34; + when "1010101" => + dest <= 6; + src <= 11; + when "1010110" => + dest <= 37; + src <= 26; + when "1010111" => + dest <= 26; + src <= 1; + when "1011000" => + dest <= 29; + src <= 45; + when "1011001" => + dest <= 16; + src <= 79; + when "1011010" => + dest <= 10; + src <= 26; + when "1011011" => + dest <= 15; + src <= 37; + when "1011100" => + dest <= 52; + src <= 6; + when "1011101" => + dest <= 8; + src <= 27; + when "1011110" => + dest <= 28; + src <= 14; + when "1011111" => + dest <= 33; + src <= 33; + when "1100000" => + dest <= 7; + src <= 28; + when "1100001" => + dest <= 36; + src <= 44; + when "1100010" => + dest <= 80; + src <= 72; + when "1100011" => + dest <= 20; + src <= 15; + when "1100100" => + dest <= 43; + src <= 10; + when "1100101" => + dest <= 26; + src <= 36; + when "1100110" => + dest <= 53; + src <= 7; + when "1100111" => + dest <= 26; + src <= 53; + when "1101000" => + dest <= 27; + src <= 23; + when "1101001" => + dest <= 19; + src <= 0; + when "1101010" => + dest <= 34; + src <= 26; + when "1101011" => + dest <= 26; + src <= 80; + when "1101100" => + dest <= 24; + src <= 16; + when "1101101" => + dest <= 26; + src <= 24; + when "1101110" => + dest <= 26; + src <= 9; + when "1101111" => + dest <= 66; + src <= 26; + when "1110000" => + dest <= 58; + src <= 19; + when others => + dest <= 26; + src <= 26; + + end case; + end process; + + end generate NI_NUM26; + + NI_NUM27 : if NI_NUM = 27 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 33; + src <= 27; + when "0000001" => + dest <= 28; + src <= 27; + when "0000010" => + dest <= 27; + src <= 27; + when "0000011" => + dest <= 77; + src <= 27; + when "0000100" => + dest <= 63; + src <= 35; + when "0000101" => + dest <= 27; + src <= 30; + when "0000110" => + dest <= 67; + src <= 27; + when "0000111" => + dest <= 27; + src <= 27; + when "0001000" => + dest <= 4; + src <= 68; + when "0001001" => + dest <= 36; + src <= 76; + when "0001010" => + dest <= 27; + src <= 72; + when "0001011" => + dest <= 75; + src <= 27; + when "0001100" => + dest <= 32; + src <= 18; + when "0001101" => + dest <= 27; + src <= 67; + when "0001110" => + dest <= 59; + src <= 27; + when "0001111" => + dest <= 72; + src <= 27; + when "0010000" => + dest <= 35; + src <= 77; + when "0010001" => + dest <= 69; + src <= 59; + when "0010010" => + dest <= 66; + src <= 31; + when "0010011" => + dest <= 10; + src <= 28; + when "0010100" => + dest <= 27; + src <= 69; + when "0010101" => + dest <= 5; + src <= 63; + when "0010110" => + dest <= 58; + src <= 27; + when "0010111" => + dest <= 27; + src <= 4; + when "0011000" => + dest <= 78; + src <= 53; + when "0011001" => + dest <= 27; + src <= 27; + when "0011010" => + dest <= 31; + src <= 75; + when "0011011" => + dest <= 27; + src <= 78; + when "0011100" => + dest <= 13; + src <= 27; + when "0011101" => + dest <= 50; + src <= 27; + when "0011110" => + dest <= 27; + src <= 58; + when "0011111" => + dest <= 3; + src <= 5; + when "0100000" => + dest <= 60; + src <= 32; + when "0100001" => + dest <= 27; + src <= 66; + when "0100010" => + dest <= 74; + src <= 27; + when "0100011" => + dest <= 70; + src <= 27; + when "0100100" => + dest <= 27; + src <= 50; + when "0100101" => + dest <= 27; + src <= 13; + when "0100110" => + dest <= 18; + src <= 27; + when "0100111" => + dest <= 14; + src <= 60; + when "0101000" => + dest <= 57; + src <= 3; + when "0101001" => + dest <= 45; + src <= 36; + when "0101010" => + dest <= 6; + src <= 70; + when "0101011" => + dest <= 27; + src <= 74; + when "0101100" => + dest <= 65; + src <= 27; + when "0101101" => + dest <= 79; + src <= 9; + when "0101110" => + dest <= 49; + src <= 27; + when "0101111" => + dest <= 27; + src <= 49; + when "0110000" => + dest <= 27; + src <= 6; + when "0110001" => + dest <= 22; + src <= 27; + when "0110010" => + dest <= 23; + src <= 57; + when "0110011" => + dest <= 27; + src <= 27; + when "0110100" => + dest <= 12; + src <= 79; + when "0110101" => + dest <= 27; + src <= 65; + when "0110110" => + dest <= 41; + src <= 14; + when "0110111" => + dest <= 2; + src <= 27; + when "0111000" => + dest <= 27; + src <= 41; + when "0111001" => + dest <= 51; + src <= 40; + when "0111010" => + dest <= 73; + src <= 27; + when "0111011" => + dest <= 61; + src <= 51; + when "0111100" => + dest <= 27; + src <= 27; + when "0111101" => + dest <= 64; + src <= 22; + when "0111110" => + dest <= 80; + src <= 61; + when "0111111" => + dest <= 27; + src <= 27; + when "1000000" => + dest <= 56; + src <= 12; + when "1000001" => + dest <= 27; + src <= 71; + when "1000010" => + dest <= 15; + src <= 2; + when "1000011" => + dest <= 48; + src <= 27; + when "1000100" => + dest <= 7; + src <= 80; + when "1000101" => + dest <= 27; + src <= 64; + when "1000110" => + dest <= 40; + src <= 27; + when "1000111" => + dest <= 27; + src <= 7; + when "1001000" => + dest <= 24; + src <= 27; + when "1001001" => + dest <= 21; + src <= 48; + when "1001010" => + dest <= 1; + src <= 15; + when "1001011" => + dest <= 71; + src <= 56; + when "1001100" => + dest <= 27; + src <= 27; + when "1001101" => + dest <= 11; + src <= 23; + when "1001110" => + dest <= 27; + src <= 39; + when "1001111" => + dest <= 42; + src <= 42; + when "1010000" => + dest <= 19; + src <= 62; + when "1010001" => + dest <= 52; + src <= 27; + when "1010010" => + dest <= 8; + src <= 73; + when "1010011" => + dest <= 55; + src <= 52; + when "1010100" => + dest <= 27; + src <= 44; + when "1010101" => + dest <= 16; + src <= 21; + when "1010110" => + dest <= 47; + src <= 27; + when "1010111" => + dest <= 27; + src <= 11; + when "1011000" => + dest <= 39; + src <= 55; + when "1011001" => + dest <= 26; + src <= 8; + when "1011010" => + dest <= 20; + src <= 27; + when "1011011" => + dest <= 25; + src <= 47; + when "1011100" => + dest <= 62; + src <= 16; + when "1011101" => + dest <= 9; + src <= 37; + when "1011110" => + dest <= 38; + src <= 24; + when "1011111" => + dest <= 43; + src <= 43; + when "1100000" => + dest <= 17; + src <= 38; + when "1100001" => + dest <= 46; + src <= 45; + when "1100010" => + dest <= 0; + src <= 1; + when "1100011" => + dest <= 30; + src <= 25; + when "1100100" => + dest <= 53; + src <= 20; + when "1100101" => + dest <= 27; + src <= 46; + when "1100110" => + dest <= 54; + src <= 17; + when "1100111" => + dest <= 27; + src <= 54; + when "1101000" => + dest <= 37; + src <= 33; + when "1101001" => + dest <= 29; + src <= 10; + when "1101010" => + dest <= 44; + src <= 27; + when "1101011" => + dest <= 27; + src <= 0; + when "1101100" => + dest <= 34; + src <= 26; + when "1101101" => + dest <= 27; + src <= 34; + when "1101110" => + dest <= 27; + src <= 19; + when "1101111" => + dest <= 76; + src <= 27; + when "1110000" => + dest <= 68; + src <= 29; + when others => + dest <= 27; + src <= 27; + + end case; + end process; + + end generate NI_NUM27; + + NI_NUM28 : if NI_NUM = 28 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 34; + src <= 28; + when "0000001" => + dest <= 29; + src <= 28; + when "0000010" => + dest <= 28; + src <= 28; + when "0000011" => + dest <= 78; + src <= 28; + when "0000100" => + dest <= 64; + src <= 27; + when "0000101" => + dest <= 28; + src <= 31; + when "0000110" => + dest <= 68; + src <= 28; + when "0000111" => + dest <= 28; + src <= 28; + when "0001000" => + dest <= 5; + src <= 69; + when "0001001" => + dest <= 37; + src <= 77; + when "0001010" => + dest <= 28; + src <= 73; + when "0001011" => + dest <= 76; + src <= 28; + when "0001100" => + dest <= 33; + src <= 19; + when "0001101" => + dest <= 28; + src <= 68; + when "0001110" => + dest <= 60; + src <= 28; + when "0001111" => + dest <= 73; + src <= 28; + when "0010000" => + dest <= 27; + src <= 78; + when "0010001" => + dest <= 70; + src <= 60; + when "0010010" => + dest <= 67; + src <= 32; + when "0010011" => + dest <= 11; + src <= 29; + when "0010100" => + dest <= 28; + src <= 70; + when "0010101" => + dest <= 6; + src <= 64; + when "0010110" => + dest <= 59; + src <= 28; + when "0010111" => + dest <= 28; + src <= 5; + when "0011000" => + dest <= 79; + src <= 45; + when "0011001" => + dest <= 28; + src <= 28; + when "0011010" => + dest <= 32; + src <= 76; + when "0011011" => + dest <= 28; + src <= 79; + when "0011100" => + dest <= 14; + src <= 28; + when "0011101" => + dest <= 51; + src <= 28; + when "0011110" => + dest <= 28; + src <= 59; + when "0011111" => + dest <= 4; + src <= 6; + when "0100000" => + dest <= 61; + src <= 33; + when "0100001" => + dest <= 28; + src <= 67; + when "0100010" => + dest <= 75; + src <= 28; + when "0100011" => + dest <= 71; + src <= 28; + when "0100100" => + dest <= 28; + src <= 51; + when "0100101" => + dest <= 28; + src <= 14; + when "0100110" => + dest <= 19; + src <= 28; + when "0100111" => + dest <= 15; + src <= 61; + when "0101000" => + dest <= 58; + src <= 4; + when "0101001" => + dest <= 46; + src <= 37; + when "0101010" => + dest <= 7; + src <= 71; + when "0101011" => + dest <= 28; + src <= 75; + when "0101100" => + dest <= 66; + src <= 28; + when "0101101" => + dest <= 80; + src <= 10; + when "0101110" => + dest <= 50; + src <= 28; + when "0101111" => + dest <= 28; + src <= 50; + when "0110000" => + dest <= 28; + src <= 7; + when "0110001" => + dest <= 23; + src <= 28; + when "0110010" => + dest <= 24; + src <= 58; + when "0110011" => + dest <= 28; + src <= 28; + when "0110100" => + dest <= 13; + src <= 80; + when "0110101" => + dest <= 28; + src <= 66; + when "0110110" => + dest <= 42; + src <= 15; + when "0110111" => + dest <= 3; + src <= 28; + when "0111000" => + dest <= 28; + src <= 42; + when "0111001" => + dest <= 52; + src <= 41; + when "0111010" => + dest <= 74; + src <= 28; + when "0111011" => + dest <= 62; + src <= 52; + when "0111100" => + dest <= 28; + src <= 28; + when "0111101" => + dest <= 65; + src <= 23; + when "0111110" => + dest <= 72; + src <= 62; + when "0111111" => + dest <= 28; + src <= 28; + when "1000000" => + dest <= 57; + src <= 13; + when "1000001" => + dest <= 28; + src <= 63; + when "1000010" => + dest <= 16; + src <= 3; + when "1000011" => + dest <= 49; + src <= 28; + when "1000100" => + dest <= 8; + src <= 72; + when "1000101" => + dest <= 28; + src <= 65; + when "1000110" => + dest <= 41; + src <= 28; + when "1000111" => + dest <= 28; + src <= 8; + when "1001000" => + dest <= 25; + src <= 28; + when "1001001" => + dest <= 22; + src <= 49; + when "1001010" => + dest <= 2; + src <= 16; + when "1001011" => + dest <= 63; + src <= 57; + when "1001100" => + dest <= 28; + src <= 28; + when "1001101" => + dest <= 12; + src <= 24; + when "1001110" => + dest <= 28; + src <= 40; + when "1001111" => + dest <= 43; + src <= 43; + when "1010000" => + dest <= 20; + src <= 54; + when "1010001" => + dest <= 53; + src <= 28; + when "1010010" => + dest <= 0; + src <= 74; + when "1010011" => + dest <= 56; + src <= 53; + when "1010100" => + dest <= 28; + src <= 36; + when "1010101" => + dest <= 17; + src <= 22; + when "1010110" => + dest <= 48; + src <= 28; + when "1010111" => + dest <= 28; + src <= 12; + when "1011000" => + dest <= 40; + src <= 56; + when "1011001" => + dest <= 18; + src <= 0; + when "1011010" => + dest <= 21; + src <= 28; + when "1011011" => + dest <= 26; + src <= 48; + when "1011100" => + dest <= 54; + src <= 17; + when "1011101" => + dest <= 10; + src <= 38; + when "1011110" => + dest <= 39; + src <= 25; + when "1011111" => + dest <= 44; + src <= 44; + when "1100000" => + dest <= 9; + src <= 39; + when "1100001" => + dest <= 47; + src <= 46; + when "1100010" => + dest <= 1; + src <= 2; + when "1100011" => + dest <= 31; + src <= 26; + when "1100100" => + dest <= 45; + src <= 21; + when "1100101" => + dest <= 28; + src <= 47; + when "1100110" => + dest <= 55; + src <= 9; + when "1100111" => + dest <= 28; + src <= 55; + when "1101000" => + dest <= 38; + src <= 34; + when "1101001" => + dest <= 30; + src <= 11; + when "1101010" => + dest <= 36; + src <= 28; + when "1101011" => + dest <= 28; + src <= 1; + when "1101100" => + dest <= 35; + src <= 18; + when "1101101" => + dest <= 28; + src <= 35; + when "1101110" => + dest <= 28; + src <= 20; + when "1101111" => + dest <= 77; + src <= 28; + when "1110000" => + dest <= 69; + src <= 30; + when others => + dest <= 28; + src <= 28; + + end case; + end process; + + end generate NI_NUM28; + + NI_NUM29 : if NI_NUM = 29 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 35; + src <= 29; + when "0000001" => + dest <= 30; + src <= 29; + when "0000010" => + dest <= 29; + src <= 29; + when "0000011" => + dest <= 79; + src <= 29; + when "0000100" => + dest <= 65; + src <= 28; + when "0000101" => + dest <= 29; + src <= 32; + when "0000110" => + dest <= 69; + src <= 29; + when "0000111" => + dest <= 29; + src <= 29; + when "0001000" => + dest <= 6; + src <= 70; + when "0001001" => + dest <= 38; + src <= 78; + when "0001010" => + dest <= 29; + src <= 74; + when "0001011" => + dest <= 77; + src <= 29; + when "0001100" => + dest <= 34; + src <= 20; + when "0001101" => + dest <= 29; + src <= 69; + when "0001110" => + dest <= 61; + src <= 29; + when "0001111" => + dest <= 74; + src <= 29; + when "0010000" => + dest <= 28; + src <= 79; + when "0010001" => + dest <= 71; + src <= 61; + when "0010010" => + dest <= 68; + src <= 33; + when "0010011" => + dest <= 12; + src <= 30; + when "0010100" => + dest <= 29; + src <= 71; + when "0010101" => + dest <= 7; + src <= 65; + when "0010110" => + dest <= 60; + src <= 29; + when "0010111" => + dest <= 29; + src <= 6; + when "0011000" => + dest <= 80; + src <= 46; + when "0011001" => + dest <= 29; + src <= 29; + when "0011010" => + dest <= 33; + src <= 77; + when "0011011" => + dest <= 29; + src <= 80; + when "0011100" => + dest <= 15; + src <= 29; + when "0011101" => + dest <= 52; + src <= 29; + when "0011110" => + dest <= 29; + src <= 60; + when "0011111" => + dest <= 5; + src <= 7; + when "0100000" => + dest <= 62; + src <= 34; + when "0100001" => + dest <= 29; + src <= 68; + when "0100010" => + dest <= 76; + src <= 29; + when "0100011" => + dest <= 63; + src <= 29; + when "0100100" => + dest <= 29; + src <= 52; + when "0100101" => + dest <= 29; + src <= 15; + when "0100110" => + dest <= 20; + src <= 29; + when "0100111" => + dest <= 16; + src <= 62; + when "0101000" => + dest <= 59; + src <= 5; + when "0101001" => + dest <= 47; + src <= 38; + when "0101010" => + dest <= 8; + src <= 63; + when "0101011" => + dest <= 29; + src <= 76; + when "0101100" => + dest <= 67; + src <= 29; + when "0101101" => + dest <= 72; + src <= 11; + when "0101110" => + dest <= 51; + src <= 29; + when "0101111" => + dest <= 29; + src <= 51; + when "0110000" => + dest <= 29; + src <= 8; + when "0110001" => + dest <= 24; + src <= 29; + when "0110010" => + dest <= 25; + src <= 59; + when "0110011" => + dest <= 29; + src <= 29; + when "0110100" => + dest <= 14; + src <= 72; + when "0110101" => + dest <= 29; + src <= 67; + when "0110110" => + dest <= 43; + src <= 16; + when "0110111" => + dest <= 4; + src <= 29; + when "0111000" => + dest <= 29; + src <= 43; + when "0111001" => + dest <= 53; + src <= 42; + when "0111010" => + dest <= 75; + src <= 29; + when "0111011" => + dest <= 54; + src <= 53; + when "0111100" => + dest <= 29; + src <= 29; + when "0111101" => + dest <= 66; + src <= 24; + when "0111110" => + dest <= 73; + src <= 54; + when "0111111" => + dest <= 29; + src <= 29; + when "1000000" => + dest <= 58; + src <= 14; + when "1000001" => + dest <= 29; + src <= 64; + when "1000010" => + dest <= 17; + src <= 4; + when "1000011" => + dest <= 50; + src <= 29; + when "1000100" => + dest <= 0; + src <= 73; + when "1000101" => + dest <= 29; + src <= 66; + when "1000110" => + dest <= 42; + src <= 29; + when "1000111" => + dest <= 29; + src <= 0; + when "1001000" => + dest <= 26; + src <= 29; + when "1001001" => + dest <= 23; + src <= 50; + when "1001010" => + dest <= 3; + src <= 17; + when "1001011" => + dest <= 64; + src <= 58; + when "1001100" => + dest <= 29; + src <= 29; + when "1001101" => + dest <= 13; + src <= 25; + when "1001110" => + dest <= 29; + src <= 41; + when "1001111" => + dest <= 44; + src <= 44; + when "1010000" => + dest <= 21; + src <= 55; + when "1010001" => + dest <= 45; + src <= 29; + when "1010010" => + dest <= 1; + src <= 75; + when "1010011" => + dest <= 57; + src <= 45; + when "1010100" => + dest <= 29; + src <= 37; + when "1010101" => + dest <= 9; + src <= 23; + when "1010110" => + dest <= 49; + src <= 29; + when "1010111" => + dest <= 29; + src <= 13; + when "1011000" => + dest <= 41; + src <= 57; + when "1011001" => + dest <= 19; + src <= 1; + when "1011010" => + dest <= 22; + src <= 29; + when "1011011" => + dest <= 18; + src <= 49; + when "1011100" => + dest <= 55; + src <= 9; + when "1011101" => + dest <= 11; + src <= 39; + when "1011110" => + dest <= 40; + src <= 26; + when "1011111" => + dest <= 36; + src <= 36; + when "1100000" => + dest <= 10; + src <= 40; + when "1100001" => + dest <= 48; + src <= 47; + when "1100010" => + dest <= 2; + src <= 3; + when "1100011" => + dest <= 32; + src <= 18; + when "1100100" => + dest <= 46; + src <= 22; + when "1100101" => + dest <= 29; + src <= 48; + when "1100110" => + dest <= 56; + src <= 10; + when "1100111" => + dest <= 29; + src <= 56; + when "1101000" => + dest <= 39; + src <= 35; + when "1101001" => + dest <= 31; + src <= 12; + when "1101010" => + dest <= 37; + src <= 29; + when "1101011" => + dest <= 29; + src <= 2; + when "1101100" => + dest <= 27; + src <= 19; + when "1101101" => + dest <= 29; + src <= 27; + when "1101110" => + dest <= 29; + src <= 21; + when "1101111" => + dest <= 78; + src <= 29; + when "1110000" => + dest <= 70; + src <= 31; + when others => + dest <= 29; + src <= 29; + + end case; + end process; + + end generate NI_NUM29; + + NI_NUM30 : if NI_NUM = 30 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 27; + src <= 30; + when "0000001" => + dest <= 31; + src <= 30; + when "0000010" => + dest <= 30; + src <= 30; + when "0000011" => + dest <= 80; + src <= 30; + when "0000100" => + dest <= 66; + src <= 29; + when "0000101" => + dest <= 30; + src <= 33; + when "0000110" => + dest <= 70; + src <= 30; + when "0000111" => + dest <= 30; + src <= 30; + when "0001000" => + dest <= 7; + src <= 71; + when "0001001" => + dest <= 39; + src <= 79; + when "0001010" => + dest <= 30; + src <= 75; + when "0001011" => + dest <= 78; + src <= 30; + when "0001100" => + dest <= 35; + src <= 21; + when "0001101" => + dest <= 30; + src <= 70; + when "0001110" => + dest <= 62; + src <= 30; + when "0001111" => + dest <= 75; + src <= 30; + when "0010000" => + dest <= 29; + src <= 80; + when "0010001" => + dest <= 63; + src <= 62; + when "0010010" => + dest <= 69; + src <= 34; + when "0010011" => + dest <= 13; + src <= 31; + when "0010100" => + dest <= 30; + src <= 63; + when "0010101" => + dest <= 8; + src <= 66; + when "0010110" => + dest <= 61; + src <= 30; + when "0010111" => + dest <= 30; + src <= 7; + when "0011000" => + dest <= 72; + src <= 47; + when "0011001" => + dest <= 30; + src <= 30; + when "0011010" => + dest <= 34; + src <= 78; + when "0011011" => + dest <= 30; + src <= 72; + when "0011100" => + dest <= 16; + src <= 30; + when "0011101" => + dest <= 53; + src <= 30; + when "0011110" => + dest <= 30; + src <= 61; + when "0011111" => + dest <= 6; + src <= 8; + when "0100000" => + dest <= 54; + src <= 35; + when "0100001" => + dest <= 30; + src <= 69; + when "0100010" => + dest <= 77; + src <= 30; + when "0100011" => + dest <= 64; + src <= 30; + when "0100100" => + dest <= 30; + src <= 53; + when "0100101" => + dest <= 30; + src <= 16; + when "0100110" => + dest <= 21; + src <= 30; + when "0100111" => + dest <= 17; + src <= 54; + when "0101000" => + dest <= 60; + src <= 6; + when "0101001" => + dest <= 48; + src <= 39; + when "0101010" => + dest <= 0; + src <= 64; + when "0101011" => + dest <= 30; + src <= 77; + when "0101100" => + dest <= 68; + src <= 30; + when "0101101" => + dest <= 73; + src <= 12; + when "0101110" => + dest <= 52; + src <= 30; + when "0101111" => + dest <= 30; + src <= 52; + when "0110000" => + dest <= 30; + src <= 0; + when "0110001" => + dest <= 25; + src <= 30; + when "0110010" => + dest <= 26; + src <= 60; + when "0110011" => + dest <= 30; + src <= 30; + when "0110100" => + dest <= 15; + src <= 73; + when "0110101" => + dest <= 30; + src <= 68; + when "0110110" => + dest <= 44; + src <= 17; + when "0110111" => + dest <= 5; + src <= 30; + when "0111000" => + dest <= 30; + src <= 44; + when "0111001" => + dest <= 45; + src <= 43; + when "0111010" => + dest <= 76; + src <= 30; + when "0111011" => + dest <= 55; + src <= 45; + when "0111100" => + dest <= 30; + src <= 30; + when "0111101" => + dest <= 67; + src <= 25; + when "0111110" => + dest <= 74; + src <= 55; + when "0111111" => + dest <= 30; + src <= 30; + when "1000000" => + dest <= 59; + src <= 15; + when "1000001" => + dest <= 30; + src <= 65; + when "1000010" => + dest <= 9; + src <= 5; + when "1000011" => + dest <= 51; + src <= 30; + when "1000100" => + dest <= 1; + src <= 74; + when "1000101" => + dest <= 30; + src <= 67; + when "1000110" => + dest <= 43; + src <= 30; + when "1000111" => + dest <= 30; + src <= 1; + when "1001000" => + dest <= 18; + src <= 30; + when "1001001" => + dest <= 24; + src <= 51; + when "1001010" => + dest <= 4; + src <= 9; + when "1001011" => + dest <= 65; + src <= 59; + when "1001100" => + dest <= 30; + src <= 30; + when "1001101" => + dest <= 14; + src <= 26; + when "1001110" => + dest <= 30; + src <= 42; + when "1001111" => + dest <= 36; + src <= 36; + when "1010000" => + dest <= 22; + src <= 56; + when "1010001" => + dest <= 46; + src <= 30; + when "1010010" => + dest <= 2; + src <= 76; + when "1010011" => + dest <= 58; + src <= 46; + when "1010100" => + dest <= 30; + src <= 38; + when "1010101" => + dest <= 10; + src <= 24; + when "1010110" => + dest <= 50; + src <= 30; + when "1010111" => + dest <= 30; + src <= 14; + when "1011000" => + dest <= 42; + src <= 58; + when "1011001" => + dest <= 20; + src <= 2; + when "1011010" => + dest <= 23; + src <= 30; + when "1011011" => + dest <= 19; + src <= 50; + when "1011100" => + dest <= 56; + src <= 10; + when "1011101" => + dest <= 12; + src <= 40; + when "1011110" => + dest <= 41; + src <= 18; + when "1011111" => + dest <= 37; + src <= 37; + when "1100000" => + dest <= 11; + src <= 41; + when "1100001" => + dest <= 49; + src <= 48; + when "1100010" => + dest <= 3; + src <= 4; + when "1100011" => + dest <= 33; + src <= 19; + when "1100100" => + dest <= 47; + src <= 23; + when "1100101" => + dest <= 30; + src <= 49; + when "1100110" => + dest <= 57; + src <= 11; + when "1100111" => + dest <= 30; + src <= 57; + when "1101000" => + dest <= 40; + src <= 27; + when "1101001" => + dest <= 32; + src <= 13; + when "1101010" => + dest <= 38; + src <= 30; + when "1101011" => + dest <= 30; + src <= 3; + when "1101100" => + dest <= 28; + src <= 20; + when "1101101" => + dest <= 30; + src <= 28; + when "1101110" => + dest <= 30; + src <= 22; + when "1101111" => + dest <= 79; + src <= 30; + when "1110000" => + dest <= 71; + src <= 32; + when others => + dest <= 30; + src <= 30; + + end case; + end process; + + end generate NI_NUM30; + + NI_NUM31 : if NI_NUM = 31 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 28; + src <= 31; + when "0000001" => + dest <= 32; + src <= 31; + when "0000010" => + dest <= 31; + src <= 31; + when "0000011" => + dest <= 72; + src <= 31; + when "0000100" => + dest <= 67; + src <= 30; + when "0000101" => + dest <= 31; + src <= 34; + when "0000110" => + dest <= 71; + src <= 31; + when "0000111" => + dest <= 31; + src <= 31; + when "0001000" => + dest <= 8; + src <= 63; + when "0001001" => + dest <= 40; + src <= 80; + when "0001010" => + dest <= 31; + src <= 76; + when "0001011" => + dest <= 79; + src <= 31; + when "0001100" => + dest <= 27; + src <= 22; + when "0001101" => + dest <= 31; + src <= 71; + when "0001110" => + dest <= 54; + src <= 31; + when "0001111" => + dest <= 76; + src <= 31; + when "0010000" => + dest <= 30; + src <= 72; + when "0010001" => + dest <= 64; + src <= 54; + when "0010010" => + dest <= 70; + src <= 35; + when "0010011" => + dest <= 14; + src <= 32; + when "0010100" => + dest <= 31; + src <= 64; + when "0010101" => + dest <= 0; + src <= 67; + when "0010110" => + dest <= 62; + src <= 31; + when "0010111" => + dest <= 31; + src <= 8; + when "0011000" => + dest <= 73; + src <= 48; + when "0011001" => + dest <= 31; + src <= 31; + when "0011010" => + dest <= 35; + src <= 79; + when "0011011" => + dest <= 31; + src <= 73; + when "0011100" => + dest <= 17; + src <= 31; + when "0011101" => + dest <= 45; + src <= 31; + when "0011110" => + dest <= 31; + src <= 62; + when "0011111" => + dest <= 7; + src <= 0; + when "0100000" => + dest <= 55; + src <= 27; + when "0100001" => + dest <= 31; + src <= 70; + when "0100010" => + dest <= 78; + src <= 31; + when "0100011" => + dest <= 65; + src <= 31; + when "0100100" => + dest <= 31; + src <= 45; + when "0100101" => + dest <= 31; + src <= 17; + when "0100110" => + dest <= 22; + src <= 31; + when "0100111" => + dest <= 9; + src <= 55; + when "0101000" => + dest <= 61; + src <= 7; + when "0101001" => + dest <= 49; + src <= 40; + when "0101010" => + dest <= 1; + src <= 65; + when "0101011" => + dest <= 31; + src <= 78; + when "0101100" => + dest <= 69; + src <= 31; + when "0101101" => + dest <= 74; + src <= 13; + when "0101110" => + dest <= 53; + src <= 31; + when "0101111" => + dest <= 31; + src <= 53; + when "0110000" => + dest <= 31; + src <= 1; + when "0110001" => + dest <= 26; + src <= 31; + when "0110010" => + dest <= 18; + src <= 61; + when "0110011" => + dest <= 31; + src <= 31; + when "0110100" => + dest <= 16; + src <= 74; + when "0110101" => + dest <= 31; + src <= 69; + when "0110110" => + dest <= 36; + src <= 9; + when "0110111" => + dest <= 6; + src <= 31; + when "0111000" => + dest <= 31; + src <= 36; + when "0111001" => + dest <= 46; + src <= 44; + when "0111010" => + dest <= 77; + src <= 31; + when "0111011" => + dest <= 56; + src <= 46; + when "0111100" => + dest <= 31; + src <= 31; + when "0111101" => + dest <= 68; + src <= 26; + when "0111110" => + dest <= 75; + src <= 56; + when "0111111" => + dest <= 31; + src <= 31; + when "1000000" => + dest <= 60; + src <= 16; + when "1000001" => + dest <= 31; + src <= 66; + when "1000010" => + dest <= 10; + src <= 6; + when "1000011" => + dest <= 52; + src <= 31; + when "1000100" => + dest <= 2; + src <= 75; + when "1000101" => + dest <= 31; + src <= 68; + when "1000110" => + dest <= 44; + src <= 31; + when "1000111" => + dest <= 31; + src <= 2; + when "1001000" => + dest <= 19; + src <= 31; + when "1001001" => + dest <= 25; + src <= 52; + when "1001010" => + dest <= 5; + src <= 10; + when "1001011" => + dest <= 66; + src <= 60; + when "1001100" => + dest <= 31; + src <= 31; + when "1001101" => + dest <= 15; + src <= 18; + when "1001110" => + dest <= 31; + src <= 43; + when "1001111" => + dest <= 37; + src <= 37; + when "1010000" => + dest <= 23; + src <= 57; + when "1010001" => + dest <= 47; + src <= 31; + when "1010010" => + dest <= 3; + src <= 77; + when "1010011" => + dest <= 59; + src <= 47; + when "1010100" => + dest <= 31; + src <= 39; + when "1010101" => + dest <= 11; + src <= 25; + when "1010110" => + dest <= 51; + src <= 31; + when "1010111" => + dest <= 31; + src <= 15; + when "1011000" => + dest <= 43; + src <= 59; + when "1011001" => + dest <= 21; + src <= 3; + when "1011010" => + dest <= 24; + src <= 31; + when "1011011" => + dest <= 20; + src <= 51; + when "1011100" => + dest <= 57; + src <= 11; + when "1011101" => + dest <= 13; + src <= 41; + when "1011110" => + dest <= 42; + src <= 19; + when "1011111" => + dest <= 38; + src <= 38; + when "1100000" => + dest <= 12; + src <= 42; + when "1100001" => + dest <= 50; + src <= 49; + when "1100010" => + dest <= 4; + src <= 5; + when "1100011" => + dest <= 34; + src <= 20; + when "1100100" => + dest <= 48; + src <= 24; + when "1100101" => + dest <= 31; + src <= 50; + when "1100110" => + dest <= 58; + src <= 12; + when "1100111" => + dest <= 31; + src <= 58; + when "1101000" => + dest <= 41; + src <= 28; + when "1101001" => + dest <= 33; + src <= 14; + when "1101010" => + dest <= 39; + src <= 31; + when "1101011" => + dest <= 31; + src <= 4; + when "1101100" => + dest <= 29; + src <= 21; + when "1101101" => + dest <= 31; + src <= 29; + when "1101110" => + dest <= 31; + src <= 23; + when "1101111" => + dest <= 80; + src <= 31; + when "1110000" => + dest <= 63; + src <= 33; + when others => + dest <= 31; + src <= 31; + + end case; + end process; + + end generate NI_NUM31; + + NI_NUM32 : if NI_NUM = 32 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 29; + src <= 32; + when "0000001" => + dest <= 33; + src <= 32; + when "0000010" => + dest <= 32; + src <= 32; + when "0000011" => + dest <= 73; + src <= 32; + when "0000100" => + dest <= 68; + src <= 31; + when "0000101" => + dest <= 32; + src <= 35; + when "0000110" => + dest <= 63; + src <= 32; + when "0000111" => + dest <= 32; + src <= 32; + when "0001000" => + dest <= 0; + src <= 64; + when "0001001" => + dest <= 41; + src <= 72; + when "0001010" => + dest <= 32; + src <= 77; + when "0001011" => + dest <= 80; + src <= 32; + when "0001100" => + dest <= 28; + src <= 23; + when "0001101" => + dest <= 32; + src <= 63; + when "0001110" => + dest <= 55; + src <= 32; + when "0001111" => + dest <= 77; + src <= 32; + when "0010000" => + dest <= 31; + src <= 73; + when "0010001" => + dest <= 65; + src <= 55; + when "0010010" => + dest <= 71; + src <= 27; + when "0010011" => + dest <= 15; + src <= 33; + when "0010100" => + dest <= 32; + src <= 65; + when "0010101" => + dest <= 1; + src <= 68; + when "0010110" => + dest <= 54; + src <= 32; + when "0010111" => + dest <= 32; + src <= 0; + when "0011000" => + dest <= 74; + src <= 49; + when "0011001" => + dest <= 32; + src <= 32; + when "0011010" => + dest <= 27; + src <= 80; + when "0011011" => + dest <= 32; + src <= 74; + when "0011100" => + dest <= 9; + src <= 32; + when "0011101" => + dest <= 46; + src <= 32; + when "0011110" => + dest <= 32; + src <= 54; + when "0011111" => + dest <= 8; + src <= 1; + when "0100000" => + dest <= 56; + src <= 28; + when "0100001" => + dest <= 32; + src <= 71; + when "0100010" => + dest <= 79; + src <= 32; + when "0100011" => + dest <= 66; + src <= 32; + when "0100100" => + dest <= 32; + src <= 46; + when "0100101" => + dest <= 32; + src <= 9; + when "0100110" => + dest <= 23; + src <= 32; + when "0100111" => + dest <= 10; + src <= 56; + when "0101000" => + dest <= 62; + src <= 8; + when "0101001" => + dest <= 50; + src <= 41; + when "0101010" => + dest <= 2; + src <= 66; + when "0101011" => + dest <= 32; + src <= 79; + when "0101100" => + dest <= 70; + src <= 32; + when "0101101" => + dest <= 75; + src <= 14; + when "0101110" => + dest <= 45; + src <= 32; + when "0101111" => + dest <= 32; + src <= 45; + when "0110000" => + dest <= 32; + src <= 2; + when "0110001" => + dest <= 18; + src <= 32; + when "0110010" => + dest <= 19; + src <= 62; + when "0110011" => + dest <= 32; + src <= 32; + when "0110100" => + dest <= 17; + src <= 75; + when "0110101" => + dest <= 32; + src <= 70; + when "0110110" => + dest <= 37; + src <= 10; + when "0110111" => + dest <= 7; + src <= 32; + when "0111000" => + dest <= 32; + src <= 37; + when "0111001" => + dest <= 47; + src <= 36; + when "0111010" => + dest <= 78; + src <= 32; + when "0111011" => + dest <= 57; + src <= 47; + when "0111100" => + dest <= 32; + src <= 32; + when "0111101" => + dest <= 69; + src <= 18; + when "0111110" => + dest <= 76; + src <= 57; + when "0111111" => + dest <= 32; + src <= 32; + when "1000000" => + dest <= 61; + src <= 17; + when "1000001" => + dest <= 32; + src <= 67; + when "1000010" => + dest <= 11; + src <= 7; + when "1000011" => + dest <= 53; + src <= 32; + when "1000100" => + dest <= 3; + src <= 76; + when "1000101" => + dest <= 32; + src <= 69; + when "1000110" => + dest <= 36; + src <= 32; + when "1000111" => + dest <= 32; + src <= 3; + when "1001000" => + dest <= 20; + src <= 32; + when "1001001" => + dest <= 26; + src <= 53; + when "1001010" => + dest <= 6; + src <= 11; + when "1001011" => + dest <= 67; + src <= 61; + when "1001100" => + dest <= 32; + src <= 32; + when "1001101" => + dest <= 16; + src <= 19; + when "1001110" => + dest <= 32; + src <= 44; + when "1001111" => + dest <= 38; + src <= 38; + when "1010000" => + dest <= 24; + src <= 58; + when "1010001" => + dest <= 48; + src <= 32; + when "1010010" => + dest <= 4; + src <= 78; + when "1010011" => + dest <= 60; + src <= 48; + when "1010100" => + dest <= 32; + src <= 40; + when "1010101" => + dest <= 12; + src <= 26; + when "1010110" => + dest <= 52; + src <= 32; + when "1010111" => + dest <= 32; + src <= 16; + when "1011000" => + dest <= 44; + src <= 60; + when "1011001" => + dest <= 22; + src <= 4; + when "1011010" => + dest <= 25; + src <= 32; + when "1011011" => + dest <= 21; + src <= 52; + when "1011100" => + dest <= 58; + src <= 12; + when "1011101" => + dest <= 14; + src <= 42; + when "1011110" => + dest <= 43; + src <= 20; + when "1011111" => + dest <= 39; + src <= 39; + when "1100000" => + dest <= 13; + src <= 43; + when "1100001" => + dest <= 51; + src <= 50; + when "1100010" => + dest <= 5; + src <= 6; + when "1100011" => + dest <= 35; + src <= 21; + when "1100100" => + dest <= 49; + src <= 25; + when "1100101" => + dest <= 32; + src <= 51; + when "1100110" => + dest <= 59; + src <= 13; + when "1100111" => + dest <= 32; + src <= 59; + when "1101000" => + dest <= 42; + src <= 29; + when "1101001" => + dest <= 34; + src <= 15; + when "1101010" => + dest <= 40; + src <= 32; + when "1101011" => + dest <= 32; + src <= 5; + when "1101100" => + dest <= 30; + src <= 22; + when "1101101" => + dest <= 32; + src <= 30; + when "1101110" => + dest <= 32; + src <= 24; + when "1101111" => + dest <= 72; + src <= 32; + when "1110000" => + dest <= 64; + src <= 34; + when others => + dest <= 32; + src <= 32; + + end case; + end process; + + end generate NI_NUM32; + + NI_NUM33 : if NI_NUM = 33 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 30; + src <= 33; + when "0000001" => + dest <= 34; + src <= 33; + when "0000010" => + dest <= 33; + src <= 33; + when "0000011" => + dest <= 74; + src <= 33; + when "0000100" => + dest <= 69; + src <= 32; + when "0000101" => + dest <= 33; + src <= 27; + when "0000110" => + dest <= 64; + src <= 33; + when "0000111" => + dest <= 33; + src <= 33; + when "0001000" => + dest <= 1; + src <= 65; + when "0001001" => + dest <= 42; + src <= 73; + when "0001010" => + dest <= 33; + src <= 78; + when "0001011" => + dest <= 72; + src <= 33; + when "0001100" => + dest <= 29; + src <= 24; + when "0001101" => + dest <= 33; + src <= 64; + when "0001110" => + dest <= 56; + src <= 33; + when "0001111" => + dest <= 78; + src <= 33; + when "0010000" => + dest <= 32; + src <= 74; + when "0010001" => + dest <= 66; + src <= 56; + when "0010010" => + dest <= 63; + src <= 28; + when "0010011" => + dest <= 16; + src <= 34; + when "0010100" => + dest <= 33; + src <= 66; + when "0010101" => + dest <= 2; + src <= 69; + when "0010110" => + dest <= 55; + src <= 33; + when "0010111" => + dest <= 33; + src <= 1; + when "0011000" => + dest <= 75; + src <= 50; + when "0011001" => + dest <= 33; + src <= 33; + when "0011010" => + dest <= 28; + src <= 72; + when "0011011" => + dest <= 33; + src <= 75; + when "0011100" => + dest <= 10; + src <= 33; + when "0011101" => + dest <= 47; + src <= 33; + when "0011110" => + dest <= 33; + src <= 55; + when "0011111" => + dest <= 0; + src <= 2; + when "0100000" => + dest <= 57; + src <= 29; + when "0100001" => + dest <= 33; + src <= 63; + when "0100010" => + dest <= 80; + src <= 33; + when "0100011" => + dest <= 67; + src <= 33; + when "0100100" => + dest <= 33; + src <= 47; + when "0100101" => + dest <= 33; + src <= 10; + when "0100110" => + dest <= 24; + src <= 33; + when "0100111" => + dest <= 11; + src <= 57; + when "0101000" => + dest <= 54; + src <= 0; + when "0101001" => + dest <= 51; + src <= 42; + when "0101010" => + dest <= 3; + src <= 67; + when "0101011" => + dest <= 33; + src <= 80; + when "0101100" => + dest <= 71; + src <= 33; + when "0101101" => + dest <= 76; + src <= 15; + when "0101110" => + dest <= 46; + src <= 33; + when "0101111" => + dest <= 33; + src <= 46; + when "0110000" => + dest <= 33; + src <= 3; + when "0110001" => + dest <= 19; + src <= 33; + when "0110010" => + dest <= 20; + src <= 54; + when "0110011" => + dest <= 33; + src <= 33; + when "0110100" => + dest <= 9; + src <= 76; + when "0110101" => + dest <= 33; + src <= 71; + when "0110110" => + dest <= 38; + src <= 11; + when "0110111" => + dest <= 8; + src <= 33; + when "0111000" => + dest <= 33; + src <= 38; + when "0111001" => + dest <= 48; + src <= 37; + when "0111010" => + dest <= 79; + src <= 33; + when "0111011" => + dest <= 58; + src <= 48; + when "0111100" => + dest <= 33; + src <= 33; + when "0111101" => + dest <= 70; + src <= 19; + when "0111110" => + dest <= 77; + src <= 58; + when "0111111" => + dest <= 33; + src <= 33; + when "1000000" => + dest <= 62; + src <= 9; + when "1000001" => + dest <= 33; + src <= 68; + when "1000010" => + dest <= 12; + src <= 8; + when "1000011" => + dest <= 45; + src <= 33; + when "1000100" => + dest <= 4; + src <= 77; + when "1000101" => + dest <= 33; + src <= 70; + when "1000110" => + dest <= 37; + src <= 33; + when "1000111" => + dest <= 33; + src <= 4; + when "1001000" => + dest <= 21; + src <= 33; + when "1001001" => + dest <= 18; + src <= 45; + when "1001010" => + dest <= 7; + src <= 12; + when "1001011" => + dest <= 68; + src <= 62; + when "1001100" => + dest <= 33; + src <= 33; + when "1001101" => + dest <= 17; + src <= 20; + when "1001110" => + dest <= 33; + src <= 36; + when "1001111" => + dest <= 39; + src <= 39; + when "1010000" => + dest <= 25; + src <= 59; + when "1010001" => + dest <= 49; + src <= 33; + when "1010010" => + dest <= 5; + src <= 79; + when "1010011" => + dest <= 61; + src <= 49; + when "1010100" => + dest <= 33; + src <= 41; + when "1010101" => + dest <= 13; + src <= 18; + when "1010110" => + dest <= 53; + src <= 33; + when "1010111" => + dest <= 33; + src <= 17; + when "1011000" => + dest <= 36; + src <= 61; + when "1011001" => + dest <= 23; + src <= 5; + when "1011010" => + dest <= 26; + src <= 33; + when "1011011" => + dest <= 22; + src <= 53; + when "1011100" => + dest <= 59; + src <= 13; + when "1011101" => + dest <= 15; + src <= 43; + when "1011110" => + dest <= 44; + src <= 21; + when "1011111" => + dest <= 40; + src <= 40; + when "1100000" => + dest <= 14; + src <= 44; + when "1100001" => + dest <= 52; + src <= 51; + when "1100010" => + dest <= 6; + src <= 7; + when "1100011" => + dest <= 27; + src <= 22; + when "1100100" => + dest <= 50; + src <= 26; + when "1100101" => + dest <= 33; + src <= 52; + when "1100110" => + dest <= 60; + src <= 14; + when "1100111" => + dest <= 33; + src <= 60; + when "1101000" => + dest <= 43; + src <= 30; + when "1101001" => + dest <= 35; + src <= 16; + when "1101010" => + dest <= 41; + src <= 33; + when "1101011" => + dest <= 33; + src <= 6; + when "1101100" => + dest <= 31; + src <= 23; + when "1101101" => + dest <= 33; + src <= 31; + when "1101110" => + dest <= 33; + src <= 25; + when "1101111" => + dest <= 73; + src <= 33; + when "1110000" => + dest <= 65; + src <= 35; + when others => + dest <= 33; + src <= 33; + + end case; + end process; + + end generate NI_NUM33; + + NI_NUM34 : if NI_NUM = 34 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 31; + src <= 34; + when "0000001" => + dest <= 35; + src <= 34; + when "0000010" => + dest <= 34; + src <= 34; + when "0000011" => + dest <= 75; + src <= 34; + when "0000100" => + dest <= 70; + src <= 33; + when "0000101" => + dest <= 34; + src <= 28; + when "0000110" => + dest <= 65; + src <= 34; + when "0000111" => + dest <= 34; + src <= 34; + when "0001000" => + dest <= 2; + src <= 66; + when "0001001" => + dest <= 43; + src <= 74; + when "0001010" => + dest <= 34; + src <= 79; + when "0001011" => + dest <= 73; + src <= 34; + when "0001100" => + dest <= 30; + src <= 25; + when "0001101" => + dest <= 34; + src <= 65; + when "0001110" => + dest <= 57; + src <= 34; + when "0001111" => + dest <= 79; + src <= 34; + when "0010000" => + dest <= 33; + src <= 75; + when "0010001" => + dest <= 67; + src <= 57; + when "0010010" => + dest <= 64; + src <= 29; + when "0010011" => + dest <= 17; + src <= 35; + when "0010100" => + dest <= 34; + src <= 67; + when "0010101" => + dest <= 3; + src <= 70; + when "0010110" => + dest <= 56; + src <= 34; + when "0010111" => + dest <= 34; + src <= 2; + when "0011000" => + dest <= 76; + src <= 51; + when "0011001" => + dest <= 34; + src <= 34; + when "0011010" => + dest <= 29; + src <= 73; + when "0011011" => + dest <= 34; + src <= 76; + when "0011100" => + dest <= 11; + src <= 34; + when "0011101" => + dest <= 48; + src <= 34; + when "0011110" => + dest <= 34; + src <= 56; + when "0011111" => + dest <= 1; + src <= 3; + when "0100000" => + dest <= 58; + src <= 30; + when "0100001" => + dest <= 34; + src <= 64; + when "0100010" => + dest <= 72; + src <= 34; + when "0100011" => + dest <= 68; + src <= 34; + when "0100100" => + dest <= 34; + src <= 48; + when "0100101" => + dest <= 34; + src <= 11; + when "0100110" => + dest <= 25; + src <= 34; + when "0100111" => + dest <= 12; + src <= 58; + when "0101000" => + dest <= 55; + src <= 1; + when "0101001" => + dest <= 52; + src <= 43; + when "0101010" => + dest <= 4; + src <= 68; + when "0101011" => + dest <= 34; + src <= 72; + when "0101100" => + dest <= 63; + src <= 34; + when "0101101" => + dest <= 77; + src <= 16; + when "0101110" => + dest <= 47; + src <= 34; + when "0101111" => + dest <= 34; + src <= 47; + when "0110000" => + dest <= 34; + src <= 4; + when "0110001" => + dest <= 20; + src <= 34; + when "0110010" => + dest <= 21; + src <= 55; + when "0110011" => + dest <= 34; + src <= 34; + when "0110100" => + dest <= 10; + src <= 77; + when "0110101" => + dest <= 34; + src <= 63; + when "0110110" => + dest <= 39; + src <= 12; + when "0110111" => + dest <= 0; + src <= 34; + when "0111000" => + dest <= 34; + src <= 39; + when "0111001" => + dest <= 49; + src <= 38; + when "0111010" => + dest <= 80; + src <= 34; + when "0111011" => + dest <= 59; + src <= 49; + when "0111100" => + dest <= 34; + src <= 34; + when "0111101" => + dest <= 71; + src <= 20; + when "0111110" => + dest <= 78; + src <= 59; + when "0111111" => + dest <= 34; + src <= 34; + when "1000000" => + dest <= 54; + src <= 10; + when "1000001" => + dest <= 34; + src <= 69; + when "1000010" => + dest <= 13; + src <= 0; + when "1000011" => + dest <= 46; + src <= 34; + when "1000100" => + dest <= 5; + src <= 78; + when "1000101" => + dest <= 34; + src <= 71; + when "1000110" => + dest <= 38; + src <= 34; + when "1000111" => + dest <= 34; + src <= 5; + when "1001000" => + dest <= 22; + src <= 34; + when "1001001" => + dest <= 19; + src <= 46; + when "1001010" => + dest <= 8; + src <= 13; + when "1001011" => + dest <= 69; + src <= 54; + when "1001100" => + dest <= 34; + src <= 34; + when "1001101" => + dest <= 9; + src <= 21; + when "1001110" => + dest <= 34; + src <= 37; + when "1001111" => + dest <= 40; + src <= 40; + when "1010000" => + dest <= 26; + src <= 60; + when "1010001" => + dest <= 50; + src <= 34; + when "1010010" => + dest <= 6; + src <= 80; + when "1010011" => + dest <= 62; + src <= 50; + when "1010100" => + dest <= 34; + src <= 42; + when "1010101" => + dest <= 14; + src <= 19; + when "1010110" => + dest <= 45; + src <= 34; + when "1010111" => + dest <= 34; + src <= 9; + when "1011000" => + dest <= 37; + src <= 62; + when "1011001" => + dest <= 24; + src <= 6; + when "1011010" => + dest <= 18; + src <= 34; + when "1011011" => + dest <= 23; + src <= 45; + when "1011100" => + dest <= 60; + src <= 14; + when "1011101" => + dest <= 16; + src <= 44; + when "1011110" => + dest <= 36; + src <= 22; + when "1011111" => + dest <= 41; + src <= 41; + when "1100000" => + dest <= 15; + src <= 36; + when "1100001" => + dest <= 53; + src <= 52; + when "1100010" => + dest <= 7; + src <= 8; + when "1100011" => + dest <= 28; + src <= 23; + when "1100100" => + dest <= 51; + src <= 18; + when "1100101" => + dest <= 34; + src <= 53; + when "1100110" => + dest <= 61; + src <= 15; + when "1100111" => + dest <= 34; + src <= 61; + when "1101000" => + dest <= 44; + src <= 31; + when "1101001" => + dest <= 27; + src <= 17; + when "1101010" => + dest <= 42; + src <= 34; + when "1101011" => + dest <= 34; + src <= 7; + when "1101100" => + dest <= 32; + src <= 24; + when "1101101" => + dest <= 34; + src <= 32; + when "1101110" => + dest <= 34; + src <= 26; + when "1101111" => + dest <= 74; + src <= 34; + when "1110000" => + dest <= 66; + src <= 27; + when others => + dest <= 34; + src <= 34; + + end case; + end process; + + end generate NI_NUM34; + + NI_NUM35 : if NI_NUM = 35 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 32; + src <= 35; + when "0000001" => + dest <= 27; + src <= 35; + when "0000010" => + dest <= 35; + src <= 35; + when "0000011" => + dest <= 76; + src <= 35; + when "0000100" => + dest <= 71; + src <= 34; + when "0000101" => + dest <= 35; + src <= 29; + when "0000110" => + dest <= 66; + src <= 35; + when "0000111" => + dest <= 35; + src <= 35; + when "0001000" => + dest <= 3; + src <= 67; + when "0001001" => + dest <= 44; + src <= 75; + when "0001010" => + dest <= 35; + src <= 80; + when "0001011" => + dest <= 74; + src <= 35; + when "0001100" => + dest <= 31; + src <= 26; + when "0001101" => + dest <= 35; + src <= 66; + when "0001110" => + dest <= 58; + src <= 35; + when "0001111" => + dest <= 80; + src <= 35; + when "0010000" => + dest <= 34; + src <= 76; + when "0010001" => + dest <= 68; + src <= 58; + when "0010010" => + dest <= 65; + src <= 30; + when "0010011" => + dest <= 9; + src <= 27; + when "0010100" => + dest <= 35; + src <= 68; + when "0010101" => + dest <= 4; + src <= 71; + when "0010110" => + dest <= 57; + src <= 35; + when "0010111" => + dest <= 35; + src <= 3; + when "0011000" => + dest <= 77; + src <= 52; + when "0011001" => + dest <= 35; + src <= 35; + when "0011010" => + dest <= 30; + src <= 74; + when "0011011" => + dest <= 35; + src <= 77; + when "0011100" => + dest <= 12; + src <= 35; + when "0011101" => + dest <= 49; + src <= 35; + when "0011110" => + dest <= 35; + src <= 57; + when "0011111" => + dest <= 2; + src <= 4; + when "0100000" => + dest <= 59; + src <= 31; + when "0100001" => + dest <= 35; + src <= 65; + when "0100010" => + dest <= 73; + src <= 35; + when "0100011" => + dest <= 69; + src <= 35; + when "0100100" => + dest <= 35; + src <= 49; + when "0100101" => + dest <= 35; + src <= 12; + when "0100110" => + dest <= 26; + src <= 35; + when "0100111" => + dest <= 13; + src <= 59; + when "0101000" => + dest <= 56; + src <= 2; + when "0101001" => + dest <= 53; + src <= 44; + when "0101010" => + dest <= 5; + src <= 69; + when "0101011" => + dest <= 35; + src <= 73; + when "0101100" => + dest <= 64; + src <= 35; + when "0101101" => + dest <= 78; + src <= 17; + when "0101110" => + dest <= 48; + src <= 35; + when "0101111" => + dest <= 35; + src <= 48; + when "0110000" => + dest <= 35; + src <= 5; + when "0110001" => + dest <= 21; + src <= 35; + when "0110010" => + dest <= 22; + src <= 56; + when "0110011" => + dest <= 35; + src <= 35; + when "0110100" => + dest <= 11; + src <= 78; + when "0110101" => + dest <= 35; + src <= 64; + when "0110110" => + dest <= 40; + src <= 13; + when "0110111" => + dest <= 1; + src <= 35; + when "0111000" => + dest <= 35; + src <= 40; + when "0111001" => + dest <= 50; + src <= 39; + when "0111010" => + dest <= 72; + src <= 35; + when "0111011" => + dest <= 60; + src <= 50; + when "0111100" => + dest <= 35; + src <= 35; + when "0111101" => + dest <= 63; + src <= 21; + when "0111110" => + dest <= 79; + src <= 60; + when "0111111" => + dest <= 35; + src <= 35; + when "1000000" => + dest <= 55; + src <= 11; + when "1000001" => + dest <= 35; + src <= 70; + when "1000010" => + dest <= 14; + src <= 1; + when "1000011" => + dest <= 47; + src <= 35; + when "1000100" => + dest <= 6; + src <= 79; + when "1000101" => + dest <= 35; + src <= 63; + when "1000110" => + dest <= 39; + src <= 35; + when "1000111" => + dest <= 35; + src <= 6; + when "1001000" => + dest <= 23; + src <= 35; + when "1001001" => + dest <= 20; + src <= 47; + when "1001010" => + dest <= 0; + src <= 14; + when "1001011" => + dest <= 70; + src <= 55; + when "1001100" => + dest <= 35; + src <= 35; + when "1001101" => + dest <= 10; + src <= 22; + when "1001110" => + dest <= 35; + src <= 38; + when "1001111" => + dest <= 41; + src <= 41; + when "1010000" => + dest <= 18; + src <= 61; + when "1010001" => + dest <= 51; + src <= 35; + when "1010010" => + dest <= 7; + src <= 72; + when "1010011" => + dest <= 54; + src <= 51; + when "1010100" => + dest <= 35; + src <= 43; + when "1010101" => + dest <= 15; + src <= 20; + when "1010110" => + dest <= 46; + src <= 35; + when "1010111" => + dest <= 35; + src <= 10; + when "1011000" => + dest <= 38; + src <= 54; + when "1011001" => + dest <= 25; + src <= 7; + when "1011010" => + dest <= 19; + src <= 35; + when "1011011" => + dest <= 24; + src <= 46; + when "1011100" => + dest <= 61; + src <= 15; + when "1011101" => + dest <= 17; + src <= 36; + when "1011110" => + dest <= 37; + src <= 23; + when "1011111" => + dest <= 42; + src <= 42; + when "1100000" => + dest <= 16; + src <= 37; + when "1100001" => + dest <= 45; + src <= 53; + when "1100010" => + dest <= 8; + src <= 0; + when "1100011" => + dest <= 29; + src <= 24; + when "1100100" => + dest <= 52; + src <= 19; + when "1100101" => + dest <= 35; + src <= 45; + when "1100110" => + dest <= 62; + src <= 16; + when "1100111" => + dest <= 35; + src <= 62; + when "1101000" => + dest <= 36; + src <= 32; + when "1101001" => + dest <= 28; + src <= 9; + when "1101010" => + dest <= 43; + src <= 35; + when "1101011" => + dest <= 35; + src <= 8; + when "1101100" => + dest <= 33; + src <= 25; + when "1101101" => + dest <= 35; + src <= 33; + when "1101110" => + dest <= 35; + src <= 18; + when "1101111" => + dest <= 75; + src <= 35; + when "1110000" => + dest <= 67; + src <= 28; + when others => + dest <= 35; + src <= 35; + + end case; + end process; + + end generate NI_NUM35; + + NI_NUM36 : if NI_NUM = 36 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 42; + src <= 36; + when "0000001" => + dest <= 37; + src <= 36; + when "0000010" => + dest <= 36; + src <= 36; + when "0000011" => + dest <= 5; + src <= 36; + when "0000100" => + dest <= 72; + src <= 44; + when "0000101" => + dest <= 36; + src <= 39; + when "0000110" => + dest <= 76; + src <= 36; + when "0000111" => + dest <= 36; + src <= 36; + when "0001000" => + dest <= 13; + src <= 77; + when "0001001" => + dest <= 45; + src <= 4; + when "0001010" => + dest <= 36; + src <= 0; + when "0001011" => + dest <= 3; + src <= 36; + when "0001100" => + dest <= 41; + src <= 27; + when "0001101" => + dest <= 36; + src <= 76; + when "0001110" => + dest <= 68; + src <= 36; + when "0001111" => + dest <= 0; + src <= 36; + when "0010000" => + dest <= 44; + src <= 5; + when "0010001" => + dest <= 78; + src <= 68; + when "0010010" => + dest <= 75; + src <= 40; + when "0010011" => + dest <= 19; + src <= 37; + when "0010100" => + dest <= 36; + src <= 78; + when "0010101" => + dest <= 14; + src <= 72; + when "0010110" => + dest <= 67; + src <= 36; + when "0010111" => + dest <= 36; + src <= 13; + when "0011000" => + dest <= 6; + src <= 62; + when "0011001" => + dest <= 36; + src <= 36; + when "0011010" => + dest <= 40; + src <= 3; + when "0011011" => + dest <= 36; + src <= 6; + when "0011100" => + dest <= 22; + src <= 36; + when "0011101" => + dest <= 59; + src <= 36; + when "0011110" => + dest <= 36; + src <= 67; + when "0011111" => + dest <= 12; + src <= 14; + when "0100000" => + dest <= 69; + src <= 41; + when "0100001" => + dest <= 36; + src <= 75; + when "0100010" => + dest <= 2; + src <= 36; + when "0100011" => + dest <= 79; + src <= 36; + when "0100100" => + dest <= 36; + src <= 59; + when "0100101" => + dest <= 36; + src <= 22; + when "0100110" => + dest <= 27; + src <= 36; + when "0100111" => + dest <= 23; + src <= 69; + when "0101000" => + dest <= 66; + src <= 12; + when "0101001" => + dest <= 54; + src <= 45; + when "0101010" => + dest <= 15; + src <= 79; + when "0101011" => + dest <= 36; + src <= 2; + when "0101100" => + dest <= 74; + src <= 36; + when "0101101" => + dest <= 7; + src <= 18; + when "0101110" => + dest <= 58; + src <= 36; + when "0101111" => + dest <= 36; + src <= 58; + when "0110000" => + dest <= 36; + src <= 15; + when "0110001" => + dest <= 31; + src <= 36; + when "0110010" => + dest <= 32; + src <= 66; + when "0110011" => + dest <= 36; + src <= 36; + when "0110100" => + dest <= 21; + src <= 7; + when "0110101" => + dest <= 36; + src <= 74; + when "0110110" => + dest <= 50; + src <= 23; + when "0110111" => + dest <= 11; + src <= 36; + when "0111000" => + dest <= 36; + src <= 50; + when "0111001" => + dest <= 60; + src <= 49; + when "0111010" => + dest <= 1; + src <= 36; + when "0111011" => + dest <= 70; + src <= 60; + when "0111100" => + dest <= 36; + src <= 36; + when "0111101" => + dest <= 73; + src <= 31; + when "0111110" => + dest <= 8; + src <= 70; + when "0111111" => + dest <= 36; + src <= 36; + when "1000000" => + dest <= 65; + src <= 21; + when "1000001" => + dest <= 36; + src <= 80; + when "1000010" => + dest <= 24; + src <= 11; + when "1000011" => + dest <= 57; + src <= 36; + when "1000100" => + dest <= 16; + src <= 8; + when "1000101" => + dest <= 36; + src <= 73; + when "1000110" => + dest <= 49; + src <= 36; + when "1000111" => + dest <= 36; + src <= 16; + when "1001000" => + dest <= 33; + src <= 36; + when "1001001" => + dest <= 30; + src <= 57; + when "1001010" => + dest <= 10; + src <= 24; + when "1001011" => + dest <= 80; + src <= 65; + when "1001100" => + dest <= 36; + src <= 36; + when "1001101" => + dest <= 20; + src <= 32; + when "1001110" => + dest <= 36; + src <= 48; + when "1001111" => + dest <= 51; + src <= 51; + when "1010000" => + dest <= 28; + src <= 71; + when "1010001" => + dest <= 61; + src <= 36; + when "1010010" => + dest <= 17; + src <= 1; + when "1010011" => + dest <= 64; + src <= 61; + when "1010100" => + dest <= 36; + src <= 53; + when "1010101" => + dest <= 25; + src <= 30; + when "1010110" => + dest <= 56; + src <= 36; + when "1010111" => + dest <= 36; + src <= 20; + when "1011000" => + dest <= 48; + src <= 64; + when "1011001" => + dest <= 35; + src <= 17; + when "1011010" => + dest <= 29; + src <= 36; + when "1011011" => + dest <= 34; + src <= 56; + when "1011100" => + dest <= 71; + src <= 25; + when "1011101" => + dest <= 18; + src <= 46; + when "1011110" => + dest <= 47; + src <= 33; + when "1011111" => + dest <= 52; + src <= 52; + when "1100000" => + dest <= 26; + src <= 47; + when "1100001" => + dest <= 55; + src <= 54; + when "1100010" => + dest <= 9; + src <= 10; + when "1100011" => + dest <= 39; + src <= 34; + when "1100100" => + dest <= 62; + src <= 29; + when "1100101" => + dest <= 36; + src <= 55; + when "1100110" => + dest <= 63; + src <= 26; + when "1100111" => + dest <= 36; + src <= 63; + when "1101000" => + dest <= 46; + src <= 42; + when "1101001" => + dest <= 38; + src <= 19; + when "1101010" => + dest <= 53; + src <= 36; + when "1101011" => + dest <= 36; + src <= 9; + when "1101100" => + dest <= 43; + src <= 35; + when "1101101" => + dest <= 36; + src <= 43; + when "1101110" => + dest <= 36; + src <= 28; + when "1101111" => + dest <= 4; + src <= 36; + when "1110000" => + dest <= 77; + src <= 38; + when others => + dest <= 36; + src <= 36; + + end case; + end process; + + end generate NI_NUM36; + + NI_NUM37 : if NI_NUM = 37 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 43; + src <= 37; + when "0000001" => + dest <= 38; + src <= 37; + when "0000010" => + dest <= 37; + src <= 37; + when "0000011" => + dest <= 6; + src <= 37; + when "0000100" => + dest <= 73; + src <= 36; + when "0000101" => + dest <= 37; + src <= 40; + when "0000110" => + dest <= 77; + src <= 37; + when "0000111" => + dest <= 37; + src <= 37; + when "0001000" => + dest <= 14; + src <= 78; + when "0001001" => + dest <= 46; + src <= 5; + when "0001010" => + dest <= 37; + src <= 1; + when "0001011" => + dest <= 4; + src <= 37; + when "0001100" => + dest <= 42; + src <= 28; + when "0001101" => + dest <= 37; + src <= 77; + when "0001110" => + dest <= 69; + src <= 37; + when "0001111" => + dest <= 1; + src <= 37; + when "0010000" => + dest <= 36; + src <= 6; + when "0010001" => + dest <= 79; + src <= 69; + when "0010010" => + dest <= 76; + src <= 41; + when "0010011" => + dest <= 20; + src <= 38; + when "0010100" => + dest <= 37; + src <= 79; + when "0010101" => + dest <= 15; + src <= 73; + when "0010110" => + dest <= 68; + src <= 37; + when "0010111" => + dest <= 37; + src <= 14; + when "0011000" => + dest <= 7; + src <= 54; + when "0011001" => + dest <= 37; + src <= 37; + when "0011010" => + dest <= 41; + src <= 4; + when "0011011" => + dest <= 37; + src <= 7; + when "0011100" => + dest <= 23; + src <= 37; + when "0011101" => + dest <= 60; + src <= 37; + when "0011110" => + dest <= 37; + src <= 68; + when "0011111" => + dest <= 13; + src <= 15; + when "0100000" => + dest <= 70; + src <= 42; + when "0100001" => + dest <= 37; + src <= 76; + when "0100010" => + dest <= 3; + src <= 37; + when "0100011" => + dest <= 80; + src <= 37; + when "0100100" => + dest <= 37; + src <= 60; + when "0100101" => + dest <= 37; + src <= 23; + when "0100110" => + dest <= 28; + src <= 37; + when "0100111" => + dest <= 24; + src <= 70; + when "0101000" => + dest <= 67; + src <= 13; + when "0101001" => + dest <= 55; + src <= 46; + when "0101010" => + dest <= 16; + src <= 80; + when "0101011" => + dest <= 37; + src <= 3; + when "0101100" => + dest <= 75; + src <= 37; + when "0101101" => + dest <= 8; + src <= 19; + when "0101110" => + dest <= 59; + src <= 37; + when "0101111" => + dest <= 37; + src <= 59; + when "0110000" => + dest <= 37; + src <= 16; + when "0110001" => + dest <= 32; + src <= 37; + when "0110010" => + dest <= 33; + src <= 67; + when "0110011" => + dest <= 37; + src <= 37; + when "0110100" => + dest <= 22; + src <= 8; + when "0110101" => + dest <= 37; + src <= 75; + when "0110110" => + dest <= 51; + src <= 24; + when "0110111" => + dest <= 12; + src <= 37; + when "0111000" => + dest <= 37; + src <= 51; + when "0111001" => + dest <= 61; + src <= 50; + when "0111010" => + dest <= 2; + src <= 37; + when "0111011" => + dest <= 71; + src <= 61; + when "0111100" => + dest <= 37; + src <= 37; + when "0111101" => + dest <= 74; + src <= 32; + when "0111110" => + dest <= 0; + src <= 71; + when "0111111" => + dest <= 37; + src <= 37; + when "1000000" => + dest <= 66; + src <= 22; + when "1000001" => + dest <= 37; + src <= 72; + when "1000010" => + dest <= 25; + src <= 12; + when "1000011" => + dest <= 58; + src <= 37; + when "1000100" => + dest <= 17; + src <= 0; + when "1000101" => + dest <= 37; + src <= 74; + when "1000110" => + dest <= 50; + src <= 37; + when "1000111" => + dest <= 37; + src <= 17; + when "1001000" => + dest <= 34; + src <= 37; + when "1001001" => + dest <= 31; + src <= 58; + when "1001010" => + dest <= 11; + src <= 25; + when "1001011" => + dest <= 72; + src <= 66; + when "1001100" => + dest <= 37; + src <= 37; + when "1001101" => + dest <= 21; + src <= 33; + when "1001110" => + dest <= 37; + src <= 49; + when "1001111" => + dest <= 52; + src <= 52; + when "1010000" => + dest <= 29; + src <= 63; + when "1010001" => + dest <= 62; + src <= 37; + when "1010010" => + dest <= 9; + src <= 2; + when "1010011" => + dest <= 65; + src <= 62; + when "1010100" => + dest <= 37; + src <= 45; + when "1010101" => + dest <= 26; + src <= 31; + when "1010110" => + dest <= 57; + src <= 37; + when "1010111" => + dest <= 37; + src <= 21; + when "1011000" => + dest <= 49; + src <= 65; + when "1011001" => + dest <= 27; + src <= 9; + when "1011010" => + dest <= 30; + src <= 37; + when "1011011" => + dest <= 35; + src <= 57; + when "1011100" => + dest <= 63; + src <= 26; + when "1011101" => + dest <= 19; + src <= 47; + when "1011110" => + dest <= 48; + src <= 34; + when "1011111" => + dest <= 53; + src <= 53; + when "1100000" => + dest <= 18; + src <= 48; + when "1100001" => + dest <= 56; + src <= 55; + when "1100010" => + dest <= 10; + src <= 11; + when "1100011" => + dest <= 40; + src <= 35; + when "1100100" => + dest <= 54; + src <= 30; + when "1100101" => + dest <= 37; + src <= 56; + when "1100110" => + dest <= 64; + src <= 18; + when "1100111" => + dest <= 37; + src <= 64; + when "1101000" => + dest <= 47; + src <= 43; + when "1101001" => + dest <= 39; + src <= 20; + when "1101010" => + dest <= 45; + src <= 37; + when "1101011" => + dest <= 37; + src <= 10; + when "1101100" => + dest <= 44; + src <= 27; + when "1101101" => + dest <= 37; + src <= 44; + when "1101110" => + dest <= 37; + src <= 29; + when "1101111" => + dest <= 5; + src <= 37; + when "1110000" => + dest <= 78; + src <= 39; + when others => + dest <= 37; + src <= 37; + + end case; + end process; + + end generate NI_NUM37; + + NI_NUM38 : if NI_NUM = 38 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 44; + src <= 38; + when "0000001" => + dest <= 39; + src <= 38; + when "0000010" => + dest <= 38; + src <= 38; + when "0000011" => + dest <= 7; + src <= 38; + when "0000100" => + dest <= 74; + src <= 37; + when "0000101" => + dest <= 38; + src <= 41; + when "0000110" => + dest <= 78; + src <= 38; + when "0000111" => + dest <= 38; + src <= 38; + when "0001000" => + dest <= 15; + src <= 79; + when "0001001" => + dest <= 47; + src <= 6; + when "0001010" => + dest <= 38; + src <= 2; + when "0001011" => + dest <= 5; + src <= 38; + when "0001100" => + dest <= 43; + src <= 29; + when "0001101" => + dest <= 38; + src <= 78; + when "0001110" => + dest <= 70; + src <= 38; + when "0001111" => + dest <= 2; + src <= 38; + when "0010000" => + dest <= 37; + src <= 7; + when "0010001" => + dest <= 80; + src <= 70; + when "0010010" => + dest <= 77; + src <= 42; + when "0010011" => + dest <= 21; + src <= 39; + when "0010100" => + dest <= 38; + src <= 80; + when "0010101" => + dest <= 16; + src <= 74; + when "0010110" => + dest <= 69; + src <= 38; + when "0010111" => + dest <= 38; + src <= 15; + when "0011000" => + dest <= 8; + src <= 55; + when "0011001" => + dest <= 38; + src <= 38; + when "0011010" => + dest <= 42; + src <= 5; + when "0011011" => + dest <= 38; + src <= 8; + when "0011100" => + dest <= 24; + src <= 38; + when "0011101" => + dest <= 61; + src <= 38; + when "0011110" => + dest <= 38; + src <= 69; + when "0011111" => + dest <= 14; + src <= 16; + when "0100000" => + dest <= 71; + src <= 43; + when "0100001" => + dest <= 38; + src <= 77; + when "0100010" => + dest <= 4; + src <= 38; + when "0100011" => + dest <= 72; + src <= 38; + when "0100100" => + dest <= 38; + src <= 61; + when "0100101" => + dest <= 38; + src <= 24; + when "0100110" => + dest <= 29; + src <= 38; + when "0100111" => + dest <= 25; + src <= 71; + when "0101000" => + dest <= 68; + src <= 14; + when "0101001" => + dest <= 56; + src <= 47; + when "0101010" => + dest <= 17; + src <= 72; + when "0101011" => + dest <= 38; + src <= 4; + when "0101100" => + dest <= 76; + src <= 38; + when "0101101" => + dest <= 0; + src <= 20; + when "0101110" => + dest <= 60; + src <= 38; + when "0101111" => + dest <= 38; + src <= 60; + when "0110000" => + dest <= 38; + src <= 17; + when "0110001" => + dest <= 33; + src <= 38; + when "0110010" => + dest <= 34; + src <= 68; + when "0110011" => + dest <= 38; + src <= 38; + when "0110100" => + dest <= 23; + src <= 0; + when "0110101" => + dest <= 38; + src <= 76; + when "0110110" => + dest <= 52; + src <= 25; + when "0110111" => + dest <= 13; + src <= 38; + when "0111000" => + dest <= 38; + src <= 52; + when "0111001" => + dest <= 62; + src <= 51; + when "0111010" => + dest <= 3; + src <= 38; + when "0111011" => + dest <= 63; + src <= 62; + when "0111100" => + dest <= 38; + src <= 38; + when "0111101" => + dest <= 75; + src <= 33; + when "0111110" => + dest <= 1; + src <= 63; + when "0111111" => + dest <= 38; + src <= 38; + when "1000000" => + dest <= 67; + src <= 23; + when "1000001" => + dest <= 38; + src <= 73; + when "1000010" => + dest <= 26; + src <= 13; + when "1000011" => + dest <= 59; + src <= 38; + when "1000100" => + dest <= 9; + src <= 1; + when "1000101" => + dest <= 38; + src <= 75; + when "1000110" => + dest <= 51; + src <= 38; + when "1000111" => + dest <= 38; + src <= 9; + when "1001000" => + dest <= 35; + src <= 38; + when "1001001" => + dest <= 32; + src <= 59; + when "1001010" => + dest <= 12; + src <= 26; + when "1001011" => + dest <= 73; + src <= 67; + when "1001100" => + dest <= 38; + src <= 38; + when "1001101" => + dest <= 22; + src <= 34; + when "1001110" => + dest <= 38; + src <= 50; + when "1001111" => + dest <= 53; + src <= 53; + when "1010000" => + dest <= 30; + src <= 64; + when "1010001" => + dest <= 54; + src <= 38; + when "1010010" => + dest <= 10; + src <= 3; + when "1010011" => + dest <= 66; + src <= 54; + when "1010100" => + dest <= 38; + src <= 46; + when "1010101" => + dest <= 18; + src <= 32; + when "1010110" => + dest <= 58; + src <= 38; + when "1010111" => + dest <= 38; + src <= 22; + when "1011000" => + dest <= 50; + src <= 66; + when "1011001" => + dest <= 28; + src <= 10; + when "1011010" => + dest <= 31; + src <= 38; + when "1011011" => + dest <= 27; + src <= 58; + when "1011100" => + dest <= 64; + src <= 18; + when "1011101" => + dest <= 20; + src <= 48; + when "1011110" => + dest <= 49; + src <= 35; + when "1011111" => + dest <= 45; + src <= 45; + when "1100000" => + dest <= 19; + src <= 49; + when "1100001" => + dest <= 57; + src <= 56; + when "1100010" => + dest <= 11; + src <= 12; + when "1100011" => + dest <= 41; + src <= 27; + when "1100100" => + dest <= 55; + src <= 31; + when "1100101" => + dest <= 38; + src <= 57; + when "1100110" => + dest <= 65; + src <= 19; + when "1100111" => + dest <= 38; + src <= 65; + when "1101000" => + dest <= 48; + src <= 44; + when "1101001" => + dest <= 40; + src <= 21; + when "1101010" => + dest <= 46; + src <= 38; + when "1101011" => + dest <= 38; + src <= 11; + when "1101100" => + dest <= 36; + src <= 28; + when "1101101" => + dest <= 38; + src <= 36; + when "1101110" => + dest <= 38; + src <= 30; + when "1101111" => + dest <= 6; + src <= 38; + when "1110000" => + dest <= 79; + src <= 40; + when others => + dest <= 38; + src <= 38; + + end case; + end process; + + end generate NI_NUM38; + + NI_NUM39 : if NI_NUM = 39 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 36; + src <= 39; + when "0000001" => + dest <= 40; + src <= 39; + when "0000010" => + dest <= 39; + src <= 39; + when "0000011" => + dest <= 8; + src <= 39; + when "0000100" => + dest <= 75; + src <= 38; + when "0000101" => + dest <= 39; + src <= 42; + when "0000110" => + dest <= 79; + src <= 39; + when "0000111" => + dest <= 39; + src <= 39; + when "0001000" => + dest <= 16; + src <= 80; + when "0001001" => + dest <= 48; + src <= 7; + when "0001010" => + dest <= 39; + src <= 3; + when "0001011" => + dest <= 6; + src <= 39; + when "0001100" => + dest <= 44; + src <= 30; + when "0001101" => + dest <= 39; + src <= 79; + when "0001110" => + dest <= 71; + src <= 39; + when "0001111" => + dest <= 3; + src <= 39; + when "0010000" => + dest <= 38; + src <= 8; + when "0010001" => + dest <= 72; + src <= 71; + when "0010010" => + dest <= 78; + src <= 43; + when "0010011" => + dest <= 22; + src <= 40; + when "0010100" => + dest <= 39; + src <= 72; + when "0010101" => + dest <= 17; + src <= 75; + when "0010110" => + dest <= 70; + src <= 39; + when "0010111" => + dest <= 39; + src <= 16; + when "0011000" => + dest <= 0; + src <= 56; + when "0011001" => + dest <= 39; + src <= 39; + when "0011010" => + dest <= 43; + src <= 6; + when "0011011" => + dest <= 39; + src <= 0; + when "0011100" => + dest <= 25; + src <= 39; + when "0011101" => + dest <= 62; + src <= 39; + when "0011110" => + dest <= 39; + src <= 70; + when "0011111" => + dest <= 15; + src <= 17; + when "0100000" => + dest <= 63; + src <= 44; + when "0100001" => + dest <= 39; + src <= 78; + when "0100010" => + dest <= 5; + src <= 39; + when "0100011" => + dest <= 73; + src <= 39; + when "0100100" => + dest <= 39; + src <= 62; + when "0100101" => + dest <= 39; + src <= 25; + when "0100110" => + dest <= 30; + src <= 39; + when "0100111" => + dest <= 26; + src <= 63; + when "0101000" => + dest <= 69; + src <= 15; + when "0101001" => + dest <= 57; + src <= 48; + when "0101010" => + dest <= 9; + src <= 73; + when "0101011" => + dest <= 39; + src <= 5; + when "0101100" => + dest <= 77; + src <= 39; + when "0101101" => + dest <= 1; + src <= 21; + when "0101110" => + dest <= 61; + src <= 39; + when "0101111" => + dest <= 39; + src <= 61; + when "0110000" => + dest <= 39; + src <= 9; + when "0110001" => + dest <= 34; + src <= 39; + when "0110010" => + dest <= 35; + src <= 69; + when "0110011" => + dest <= 39; + src <= 39; + when "0110100" => + dest <= 24; + src <= 1; + when "0110101" => + dest <= 39; + src <= 77; + when "0110110" => + dest <= 53; + src <= 26; + when "0110111" => + dest <= 14; + src <= 39; + when "0111000" => + dest <= 39; + src <= 53; + when "0111001" => + dest <= 54; + src <= 52; + when "0111010" => + dest <= 4; + src <= 39; + when "0111011" => + dest <= 64; + src <= 54; + when "0111100" => + dest <= 39; + src <= 39; + when "0111101" => + dest <= 76; + src <= 34; + when "0111110" => + dest <= 2; + src <= 64; + when "0111111" => + dest <= 39; + src <= 39; + when "1000000" => + dest <= 68; + src <= 24; + when "1000001" => + dest <= 39; + src <= 74; + when "1000010" => + dest <= 18; + src <= 14; + when "1000011" => + dest <= 60; + src <= 39; + when "1000100" => + dest <= 10; + src <= 2; + when "1000101" => + dest <= 39; + src <= 76; + when "1000110" => + dest <= 52; + src <= 39; + when "1000111" => + dest <= 39; + src <= 10; + when "1001000" => + dest <= 27; + src <= 39; + when "1001001" => + dest <= 33; + src <= 60; + when "1001010" => + dest <= 13; + src <= 18; + when "1001011" => + dest <= 74; + src <= 68; + when "1001100" => + dest <= 39; + src <= 39; + when "1001101" => + dest <= 23; + src <= 35; + when "1001110" => + dest <= 39; + src <= 51; + when "1001111" => + dest <= 45; + src <= 45; + when "1010000" => + dest <= 31; + src <= 65; + when "1010001" => + dest <= 55; + src <= 39; + when "1010010" => + dest <= 11; + src <= 4; + when "1010011" => + dest <= 67; + src <= 55; + when "1010100" => + dest <= 39; + src <= 47; + when "1010101" => + dest <= 19; + src <= 33; + when "1010110" => + dest <= 59; + src <= 39; + when "1010111" => + dest <= 39; + src <= 23; + when "1011000" => + dest <= 51; + src <= 67; + when "1011001" => + dest <= 29; + src <= 11; + when "1011010" => + dest <= 32; + src <= 39; + when "1011011" => + dest <= 28; + src <= 59; + when "1011100" => + dest <= 65; + src <= 19; + when "1011101" => + dest <= 21; + src <= 49; + when "1011110" => + dest <= 50; + src <= 27; + when "1011111" => + dest <= 46; + src <= 46; + when "1100000" => + dest <= 20; + src <= 50; + when "1100001" => + dest <= 58; + src <= 57; + when "1100010" => + dest <= 12; + src <= 13; + when "1100011" => + dest <= 42; + src <= 28; + when "1100100" => + dest <= 56; + src <= 32; + when "1100101" => + dest <= 39; + src <= 58; + when "1100110" => + dest <= 66; + src <= 20; + when "1100111" => + dest <= 39; + src <= 66; + when "1101000" => + dest <= 49; + src <= 36; + when "1101001" => + dest <= 41; + src <= 22; + when "1101010" => + dest <= 47; + src <= 39; + when "1101011" => + dest <= 39; + src <= 12; + when "1101100" => + dest <= 37; + src <= 29; + when "1101101" => + dest <= 39; + src <= 37; + when "1101110" => + dest <= 39; + src <= 31; + when "1101111" => + dest <= 7; + src <= 39; + when "1110000" => + dest <= 80; + src <= 41; + when others => + dest <= 39; + src <= 39; + + end case; + end process; + + end generate NI_NUM39; + + NI_NUM40 : if NI_NUM = 40 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 37; + src <= 40; + when "0000001" => + dest <= 41; + src <= 40; + when "0000010" => + dest <= 40; + src <= 40; + when "0000011" => + dest <= 0; + src <= 40; + when "0000100" => + dest <= 76; + src <= 39; + when "0000101" => + dest <= 40; + src <= 43; + when "0000110" => + dest <= 80; + src <= 40; + when "0000111" => + dest <= 40; + src <= 40; + when "0001000" => + dest <= 17; + src <= 72; + when "0001001" => + dest <= 49; + src <= 8; + when "0001010" => + dest <= 40; + src <= 4; + when "0001011" => + dest <= 7; + src <= 40; + when "0001100" => + dest <= 36; + src <= 31; + when "0001101" => + dest <= 40; + src <= 80; + when "0001110" => + dest <= 63; + src <= 40; + when "0001111" => + dest <= 4; + src <= 40; + when "0010000" => + dest <= 39; + src <= 0; + when "0010001" => + dest <= 73; + src <= 63; + when "0010010" => + dest <= 79; + src <= 44; + when "0010011" => + dest <= 23; + src <= 41; + when "0010100" => + dest <= 40; + src <= 73; + when "0010101" => + dest <= 9; + src <= 76; + when "0010110" => + dest <= 71; + src <= 40; + when "0010111" => + dest <= 40; + src <= 17; + when "0011000" => + dest <= 1; + src <= 57; + when "0011001" => + dest <= 40; + src <= 40; + when "0011010" => + dest <= 44; + src <= 7; + when "0011011" => + dest <= 40; + src <= 1; + when "0011100" => + dest <= 26; + src <= 40; + when "0011101" => + dest <= 54; + src <= 40; + when "0011110" => + dest <= 40; + src <= 71; + when "0011111" => + dest <= 16; + src <= 9; + when "0100000" => + dest <= 64; + src <= 36; + when "0100001" => + dest <= 40; + src <= 79; + when "0100010" => + dest <= 6; + src <= 40; + when "0100011" => + dest <= 74; + src <= 40; + when "0100100" => + dest <= 40; + src <= 54; + when "0100101" => + dest <= 40; + src <= 26; + when "0100110" => + dest <= 31; + src <= 40; + when "0100111" => + dest <= 18; + src <= 64; + when "0101000" => + dest <= 70; + src <= 16; + when "0101001" => + dest <= 58; + src <= 49; + when "0101010" => + dest <= 10; + src <= 74; + when "0101011" => + dest <= 40; + src <= 6; + when "0101100" => + dest <= 78; + src <= 40; + when "0101101" => + dest <= 2; + src <= 22; + when "0101110" => + dest <= 62; + src <= 40; + when "0101111" => + dest <= 40; + src <= 62; + when "0110000" => + dest <= 40; + src <= 10; + when "0110001" => + dest <= 35; + src <= 40; + when "0110010" => + dest <= 27; + src <= 70; + when "0110011" => + dest <= 40; + src <= 40; + when "0110100" => + dest <= 25; + src <= 2; + when "0110101" => + dest <= 40; + src <= 78; + when "0110110" => + dest <= 45; + src <= 18; + when "0110111" => + dest <= 15; + src <= 40; + when "0111000" => + dest <= 40; + src <= 45; + when "0111001" => + dest <= 55; + src <= 53; + when "0111010" => + dest <= 5; + src <= 40; + when "0111011" => + dest <= 65; + src <= 55; + when "0111100" => + dest <= 40; + src <= 40; + when "0111101" => + dest <= 77; + src <= 35; + when "0111110" => + dest <= 3; + src <= 65; + when "0111111" => + dest <= 40; + src <= 40; + when "1000000" => + dest <= 69; + src <= 25; + when "1000001" => + dest <= 40; + src <= 75; + when "1000010" => + dest <= 19; + src <= 15; + when "1000011" => + dest <= 61; + src <= 40; + when "1000100" => + dest <= 11; + src <= 3; + when "1000101" => + dest <= 40; + src <= 77; + when "1000110" => + dest <= 53; + src <= 40; + when "1000111" => + dest <= 40; + src <= 11; + when "1001000" => + dest <= 28; + src <= 40; + when "1001001" => + dest <= 34; + src <= 61; + when "1001010" => + dest <= 14; + src <= 19; + when "1001011" => + dest <= 75; + src <= 69; + when "1001100" => + dest <= 40; + src <= 40; + when "1001101" => + dest <= 24; + src <= 27; + when "1001110" => + dest <= 40; + src <= 52; + when "1001111" => + dest <= 46; + src <= 46; + when "1010000" => + dest <= 32; + src <= 66; + when "1010001" => + dest <= 56; + src <= 40; + when "1010010" => + dest <= 12; + src <= 5; + when "1010011" => + dest <= 68; + src <= 56; + when "1010100" => + dest <= 40; + src <= 48; + when "1010101" => + dest <= 20; + src <= 34; + when "1010110" => + dest <= 60; + src <= 40; + when "1010111" => + dest <= 40; + src <= 24; + when "1011000" => + dest <= 52; + src <= 68; + when "1011001" => + dest <= 30; + src <= 12; + when "1011010" => + dest <= 33; + src <= 40; + when "1011011" => + dest <= 29; + src <= 60; + when "1011100" => + dest <= 66; + src <= 20; + when "1011101" => + dest <= 22; + src <= 50; + when "1011110" => + dest <= 51; + src <= 28; + when "1011111" => + dest <= 47; + src <= 47; + when "1100000" => + dest <= 21; + src <= 51; + when "1100001" => + dest <= 59; + src <= 58; + when "1100010" => + dest <= 13; + src <= 14; + when "1100011" => + dest <= 43; + src <= 29; + when "1100100" => + dest <= 57; + src <= 33; + when "1100101" => + dest <= 40; + src <= 59; + when "1100110" => + dest <= 67; + src <= 21; + when "1100111" => + dest <= 40; + src <= 67; + when "1101000" => + dest <= 50; + src <= 37; + when "1101001" => + dest <= 42; + src <= 23; + when "1101010" => + dest <= 48; + src <= 40; + when "1101011" => + dest <= 40; + src <= 13; + when "1101100" => + dest <= 38; + src <= 30; + when "1101101" => + dest <= 40; + src <= 38; + when "1101110" => + dest <= 40; + src <= 32; + when "1101111" => + dest <= 8; + src <= 40; + when "1110000" => + dest <= 72; + src <= 42; + when others => + dest <= 40; + src <= 40; + + end case; + end process; + + end generate NI_NUM40; + + NI_NUM41 : if NI_NUM = 41 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 38; + src <= 41; + when "0000001" => + dest <= 42; + src <= 41; + when "0000010" => + dest <= 41; + src <= 41; + when "0000011" => + dest <= 1; + src <= 41; + when "0000100" => + dest <= 77; + src <= 40; + when "0000101" => + dest <= 41; + src <= 44; + when "0000110" => + dest <= 72; + src <= 41; + when "0000111" => + dest <= 41; + src <= 41; + when "0001000" => + dest <= 9; + src <= 73; + when "0001001" => + dest <= 50; + src <= 0; + when "0001010" => + dest <= 41; + src <= 5; + when "0001011" => + dest <= 8; + src <= 41; + when "0001100" => + dest <= 37; + src <= 32; + when "0001101" => + dest <= 41; + src <= 72; + when "0001110" => + dest <= 64; + src <= 41; + when "0001111" => + dest <= 5; + src <= 41; + when "0010000" => + dest <= 40; + src <= 1; + when "0010001" => + dest <= 74; + src <= 64; + when "0010010" => + dest <= 80; + src <= 36; + when "0010011" => + dest <= 24; + src <= 42; + when "0010100" => + dest <= 41; + src <= 74; + when "0010101" => + dest <= 10; + src <= 77; + when "0010110" => + dest <= 63; + src <= 41; + when "0010111" => + dest <= 41; + src <= 9; + when "0011000" => + dest <= 2; + src <= 58; + when "0011001" => + dest <= 41; + src <= 41; + when "0011010" => + dest <= 36; + src <= 8; + when "0011011" => + dest <= 41; + src <= 2; + when "0011100" => + dest <= 18; + src <= 41; + when "0011101" => + dest <= 55; + src <= 41; + when "0011110" => + dest <= 41; + src <= 63; + when "0011111" => + dest <= 17; + src <= 10; + when "0100000" => + dest <= 65; + src <= 37; + when "0100001" => + dest <= 41; + src <= 80; + when "0100010" => + dest <= 7; + src <= 41; + when "0100011" => + dest <= 75; + src <= 41; + when "0100100" => + dest <= 41; + src <= 55; + when "0100101" => + dest <= 41; + src <= 18; + when "0100110" => + dest <= 32; + src <= 41; + when "0100111" => + dest <= 19; + src <= 65; + when "0101000" => + dest <= 71; + src <= 17; + when "0101001" => + dest <= 59; + src <= 50; + when "0101010" => + dest <= 11; + src <= 75; + when "0101011" => + dest <= 41; + src <= 7; + when "0101100" => + dest <= 79; + src <= 41; + when "0101101" => + dest <= 3; + src <= 23; + when "0101110" => + dest <= 54; + src <= 41; + when "0101111" => + dest <= 41; + src <= 54; + when "0110000" => + dest <= 41; + src <= 11; + when "0110001" => + dest <= 27; + src <= 41; + when "0110010" => + dest <= 28; + src <= 71; + when "0110011" => + dest <= 41; + src <= 41; + when "0110100" => + dest <= 26; + src <= 3; + when "0110101" => + dest <= 41; + src <= 79; + when "0110110" => + dest <= 46; + src <= 19; + when "0110111" => + dest <= 16; + src <= 41; + when "0111000" => + dest <= 41; + src <= 46; + when "0111001" => + dest <= 56; + src <= 45; + when "0111010" => + dest <= 6; + src <= 41; + when "0111011" => + dest <= 66; + src <= 56; + when "0111100" => + dest <= 41; + src <= 41; + when "0111101" => + dest <= 78; + src <= 27; + when "0111110" => + dest <= 4; + src <= 66; + when "0111111" => + dest <= 41; + src <= 41; + when "1000000" => + dest <= 70; + src <= 26; + when "1000001" => + dest <= 41; + src <= 76; + when "1000010" => + dest <= 20; + src <= 16; + when "1000011" => + dest <= 62; + src <= 41; + when "1000100" => + dest <= 12; + src <= 4; + when "1000101" => + dest <= 41; + src <= 78; + when "1000110" => + dest <= 45; + src <= 41; + when "1000111" => + dest <= 41; + src <= 12; + when "1001000" => + dest <= 29; + src <= 41; + when "1001001" => + dest <= 35; + src <= 62; + when "1001010" => + dest <= 15; + src <= 20; + when "1001011" => + dest <= 76; + src <= 70; + when "1001100" => + dest <= 41; + src <= 41; + when "1001101" => + dest <= 25; + src <= 28; + when "1001110" => + dest <= 41; + src <= 53; + when "1001111" => + dest <= 47; + src <= 47; + when "1010000" => + dest <= 33; + src <= 67; + when "1010001" => + dest <= 57; + src <= 41; + when "1010010" => + dest <= 13; + src <= 6; + when "1010011" => + dest <= 69; + src <= 57; + when "1010100" => + dest <= 41; + src <= 49; + when "1010101" => + dest <= 21; + src <= 35; + when "1010110" => + dest <= 61; + src <= 41; + when "1010111" => + dest <= 41; + src <= 25; + when "1011000" => + dest <= 53; + src <= 69; + when "1011001" => + dest <= 31; + src <= 13; + when "1011010" => + dest <= 34; + src <= 41; + when "1011011" => + dest <= 30; + src <= 61; + when "1011100" => + dest <= 67; + src <= 21; + when "1011101" => + dest <= 23; + src <= 51; + when "1011110" => + dest <= 52; + src <= 29; + when "1011111" => + dest <= 48; + src <= 48; + when "1100000" => + dest <= 22; + src <= 52; + when "1100001" => + dest <= 60; + src <= 59; + when "1100010" => + dest <= 14; + src <= 15; + when "1100011" => + dest <= 44; + src <= 30; + when "1100100" => + dest <= 58; + src <= 34; + when "1100101" => + dest <= 41; + src <= 60; + when "1100110" => + dest <= 68; + src <= 22; + when "1100111" => + dest <= 41; + src <= 68; + when "1101000" => + dest <= 51; + src <= 38; + when "1101001" => + dest <= 43; + src <= 24; + when "1101010" => + dest <= 49; + src <= 41; + when "1101011" => + dest <= 41; + src <= 14; + when "1101100" => + dest <= 39; + src <= 31; + when "1101101" => + dest <= 41; + src <= 39; + when "1101110" => + dest <= 41; + src <= 33; + when "1101111" => + dest <= 0; + src <= 41; + when "1110000" => + dest <= 73; + src <= 43; + when others => + dest <= 41; + src <= 41; + + end case; + end process; + + end generate NI_NUM41; + + NI_NUM42 : if NI_NUM = 42 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 39; + src <= 42; + when "0000001" => + dest <= 43; + src <= 42; + when "0000010" => + dest <= 42; + src <= 42; + when "0000011" => + dest <= 2; + src <= 42; + when "0000100" => + dest <= 78; + src <= 41; + when "0000101" => + dest <= 42; + src <= 36; + when "0000110" => + dest <= 73; + src <= 42; + when "0000111" => + dest <= 42; + src <= 42; + when "0001000" => + dest <= 10; + src <= 74; + when "0001001" => + dest <= 51; + src <= 1; + when "0001010" => + dest <= 42; + src <= 6; + when "0001011" => + dest <= 0; + src <= 42; + when "0001100" => + dest <= 38; + src <= 33; + when "0001101" => + dest <= 42; + src <= 73; + when "0001110" => + dest <= 65; + src <= 42; + when "0001111" => + dest <= 6; + src <= 42; + when "0010000" => + dest <= 41; + src <= 2; + when "0010001" => + dest <= 75; + src <= 65; + when "0010010" => + dest <= 72; + src <= 37; + when "0010011" => + dest <= 25; + src <= 43; + when "0010100" => + dest <= 42; + src <= 75; + when "0010101" => + dest <= 11; + src <= 78; + when "0010110" => + dest <= 64; + src <= 42; + when "0010111" => + dest <= 42; + src <= 10; + when "0011000" => + dest <= 3; + src <= 59; + when "0011001" => + dest <= 42; + src <= 42; + when "0011010" => + dest <= 37; + src <= 0; + when "0011011" => + dest <= 42; + src <= 3; + when "0011100" => + dest <= 19; + src <= 42; + when "0011101" => + dest <= 56; + src <= 42; + when "0011110" => + dest <= 42; + src <= 64; + when "0011111" => + dest <= 9; + src <= 11; + when "0100000" => + dest <= 66; + src <= 38; + when "0100001" => + dest <= 42; + src <= 72; + when "0100010" => + dest <= 8; + src <= 42; + when "0100011" => + dest <= 76; + src <= 42; + when "0100100" => + dest <= 42; + src <= 56; + when "0100101" => + dest <= 42; + src <= 19; + when "0100110" => + dest <= 33; + src <= 42; + when "0100111" => + dest <= 20; + src <= 66; + when "0101000" => + dest <= 63; + src <= 9; + when "0101001" => + dest <= 60; + src <= 51; + when "0101010" => + dest <= 12; + src <= 76; + when "0101011" => + dest <= 42; + src <= 8; + when "0101100" => + dest <= 80; + src <= 42; + when "0101101" => + dest <= 4; + src <= 24; + when "0101110" => + dest <= 55; + src <= 42; + when "0101111" => + dest <= 42; + src <= 55; + when "0110000" => + dest <= 42; + src <= 12; + when "0110001" => + dest <= 28; + src <= 42; + when "0110010" => + dest <= 29; + src <= 63; + when "0110011" => + dest <= 42; + src <= 42; + when "0110100" => + dest <= 18; + src <= 4; + when "0110101" => + dest <= 42; + src <= 80; + when "0110110" => + dest <= 47; + src <= 20; + when "0110111" => + dest <= 17; + src <= 42; + when "0111000" => + dest <= 42; + src <= 47; + when "0111001" => + dest <= 57; + src <= 46; + when "0111010" => + dest <= 7; + src <= 42; + when "0111011" => + dest <= 67; + src <= 57; + when "0111100" => + dest <= 42; + src <= 42; + when "0111101" => + dest <= 79; + src <= 28; + when "0111110" => + dest <= 5; + src <= 67; + when "0111111" => + dest <= 42; + src <= 42; + when "1000000" => + dest <= 71; + src <= 18; + when "1000001" => + dest <= 42; + src <= 77; + when "1000010" => + dest <= 21; + src <= 17; + when "1000011" => + dest <= 54; + src <= 42; + when "1000100" => + dest <= 13; + src <= 5; + when "1000101" => + dest <= 42; + src <= 79; + when "1000110" => + dest <= 46; + src <= 42; + when "1000111" => + dest <= 42; + src <= 13; + when "1001000" => + dest <= 30; + src <= 42; + when "1001001" => + dest <= 27; + src <= 54; + when "1001010" => + dest <= 16; + src <= 21; + when "1001011" => + dest <= 77; + src <= 71; + when "1001100" => + dest <= 42; + src <= 42; + when "1001101" => + dest <= 26; + src <= 29; + when "1001110" => + dest <= 42; + src <= 45; + when "1001111" => + dest <= 48; + src <= 48; + when "1010000" => + dest <= 34; + src <= 68; + when "1010001" => + dest <= 58; + src <= 42; + when "1010010" => + dest <= 14; + src <= 7; + when "1010011" => + dest <= 70; + src <= 58; + when "1010100" => + dest <= 42; + src <= 50; + when "1010101" => + dest <= 22; + src <= 27; + when "1010110" => + dest <= 62; + src <= 42; + when "1010111" => + dest <= 42; + src <= 26; + when "1011000" => + dest <= 45; + src <= 70; + when "1011001" => + dest <= 32; + src <= 14; + when "1011010" => + dest <= 35; + src <= 42; + when "1011011" => + dest <= 31; + src <= 62; + when "1011100" => + dest <= 68; + src <= 22; + when "1011101" => + dest <= 24; + src <= 52; + when "1011110" => + dest <= 53; + src <= 30; + when "1011111" => + dest <= 49; + src <= 49; + when "1100000" => + dest <= 23; + src <= 53; + when "1100001" => + dest <= 61; + src <= 60; + when "1100010" => + dest <= 15; + src <= 16; + when "1100011" => + dest <= 36; + src <= 31; + when "1100100" => + dest <= 59; + src <= 35; + when "1100101" => + dest <= 42; + src <= 61; + when "1100110" => + dest <= 69; + src <= 23; + when "1100111" => + dest <= 42; + src <= 69; + when "1101000" => + dest <= 52; + src <= 39; + when "1101001" => + dest <= 44; + src <= 25; + when "1101010" => + dest <= 50; + src <= 42; + when "1101011" => + dest <= 42; + src <= 15; + when "1101100" => + dest <= 40; + src <= 32; + when "1101101" => + dest <= 42; + src <= 40; + when "1101110" => + dest <= 42; + src <= 34; + when "1101111" => + dest <= 1; + src <= 42; + when "1110000" => + dest <= 74; + src <= 44; + when others => + dest <= 42; + src <= 42; + + end case; + end process; + + end generate NI_NUM42; + + NI_NUM43 : if NI_NUM = 43 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 40; + src <= 43; + when "0000001" => + dest <= 44; + src <= 43; + when "0000010" => + dest <= 43; + src <= 43; + when "0000011" => + dest <= 3; + src <= 43; + when "0000100" => + dest <= 79; + src <= 42; + when "0000101" => + dest <= 43; + src <= 37; + when "0000110" => + dest <= 74; + src <= 43; + when "0000111" => + dest <= 43; + src <= 43; + when "0001000" => + dest <= 11; + src <= 75; + when "0001001" => + dest <= 52; + src <= 2; + when "0001010" => + dest <= 43; + src <= 7; + when "0001011" => + dest <= 1; + src <= 43; + when "0001100" => + dest <= 39; + src <= 34; + when "0001101" => + dest <= 43; + src <= 74; + when "0001110" => + dest <= 66; + src <= 43; + when "0001111" => + dest <= 7; + src <= 43; + when "0010000" => + dest <= 42; + src <= 3; + when "0010001" => + dest <= 76; + src <= 66; + when "0010010" => + dest <= 73; + src <= 38; + when "0010011" => + dest <= 26; + src <= 44; + when "0010100" => + dest <= 43; + src <= 76; + when "0010101" => + dest <= 12; + src <= 79; + when "0010110" => + dest <= 65; + src <= 43; + when "0010111" => + dest <= 43; + src <= 11; + when "0011000" => + dest <= 4; + src <= 60; + when "0011001" => + dest <= 43; + src <= 43; + when "0011010" => + dest <= 38; + src <= 1; + when "0011011" => + dest <= 43; + src <= 4; + when "0011100" => + dest <= 20; + src <= 43; + when "0011101" => + dest <= 57; + src <= 43; + when "0011110" => + dest <= 43; + src <= 65; + when "0011111" => + dest <= 10; + src <= 12; + when "0100000" => + dest <= 67; + src <= 39; + when "0100001" => + dest <= 43; + src <= 73; + when "0100010" => + dest <= 0; + src <= 43; + when "0100011" => + dest <= 77; + src <= 43; + when "0100100" => + dest <= 43; + src <= 57; + when "0100101" => + dest <= 43; + src <= 20; + when "0100110" => + dest <= 34; + src <= 43; + when "0100111" => + dest <= 21; + src <= 67; + when "0101000" => + dest <= 64; + src <= 10; + when "0101001" => + dest <= 61; + src <= 52; + when "0101010" => + dest <= 13; + src <= 77; + when "0101011" => + dest <= 43; + src <= 0; + when "0101100" => + dest <= 72; + src <= 43; + when "0101101" => + dest <= 5; + src <= 25; + when "0101110" => + dest <= 56; + src <= 43; + when "0101111" => + dest <= 43; + src <= 56; + when "0110000" => + dest <= 43; + src <= 13; + when "0110001" => + dest <= 29; + src <= 43; + when "0110010" => + dest <= 30; + src <= 64; + when "0110011" => + dest <= 43; + src <= 43; + when "0110100" => + dest <= 19; + src <= 5; + when "0110101" => + dest <= 43; + src <= 72; + when "0110110" => + dest <= 48; + src <= 21; + when "0110111" => + dest <= 9; + src <= 43; + when "0111000" => + dest <= 43; + src <= 48; + when "0111001" => + dest <= 58; + src <= 47; + when "0111010" => + dest <= 8; + src <= 43; + when "0111011" => + dest <= 68; + src <= 58; + when "0111100" => + dest <= 43; + src <= 43; + when "0111101" => + dest <= 80; + src <= 29; + when "0111110" => + dest <= 6; + src <= 68; + when "0111111" => + dest <= 43; + src <= 43; + when "1000000" => + dest <= 63; + src <= 19; + when "1000001" => + dest <= 43; + src <= 78; + when "1000010" => + dest <= 22; + src <= 9; + when "1000011" => + dest <= 55; + src <= 43; + when "1000100" => + dest <= 14; + src <= 6; + when "1000101" => + dest <= 43; + src <= 80; + when "1000110" => + dest <= 47; + src <= 43; + when "1000111" => + dest <= 43; + src <= 14; + when "1001000" => + dest <= 31; + src <= 43; + when "1001001" => + dest <= 28; + src <= 55; + when "1001010" => + dest <= 17; + src <= 22; + when "1001011" => + dest <= 78; + src <= 63; + when "1001100" => + dest <= 43; + src <= 43; + when "1001101" => + dest <= 18; + src <= 30; + when "1001110" => + dest <= 43; + src <= 46; + when "1001111" => + dest <= 49; + src <= 49; + when "1010000" => + dest <= 35; + src <= 69; + when "1010001" => + dest <= 59; + src <= 43; + when "1010010" => + dest <= 15; + src <= 8; + when "1010011" => + dest <= 71; + src <= 59; + when "1010100" => + dest <= 43; + src <= 51; + when "1010101" => + dest <= 23; + src <= 28; + when "1010110" => + dest <= 54; + src <= 43; + when "1010111" => + dest <= 43; + src <= 18; + when "1011000" => + dest <= 46; + src <= 71; + when "1011001" => + dest <= 33; + src <= 15; + when "1011010" => + dest <= 27; + src <= 43; + when "1011011" => + dest <= 32; + src <= 54; + when "1011100" => + dest <= 69; + src <= 23; + when "1011101" => + dest <= 25; + src <= 53; + when "1011110" => + dest <= 45; + src <= 31; + when "1011111" => + dest <= 50; + src <= 50; + when "1100000" => + dest <= 24; + src <= 45; + when "1100001" => + dest <= 62; + src <= 61; + when "1100010" => + dest <= 16; + src <= 17; + when "1100011" => + dest <= 37; + src <= 32; + when "1100100" => + dest <= 60; + src <= 27; + when "1100101" => + dest <= 43; + src <= 62; + when "1100110" => + dest <= 70; + src <= 24; + when "1100111" => + dest <= 43; + src <= 70; + when "1101000" => + dest <= 53; + src <= 40; + when "1101001" => + dest <= 36; + src <= 26; + when "1101010" => + dest <= 51; + src <= 43; + when "1101011" => + dest <= 43; + src <= 16; + when "1101100" => + dest <= 41; + src <= 33; + when "1101101" => + dest <= 43; + src <= 41; + when "1101110" => + dest <= 43; + src <= 35; + when "1101111" => + dest <= 2; + src <= 43; + when "1110000" => + dest <= 75; + src <= 36; + when others => + dest <= 43; + src <= 43; + + end case; + end process; + + end generate NI_NUM43; + + NI_NUM44 : if NI_NUM = 44 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 41; + src <= 44; + when "0000001" => + dest <= 36; + src <= 44; + when "0000010" => + dest <= 44; + src <= 44; + when "0000011" => + dest <= 4; + src <= 44; + when "0000100" => + dest <= 80; + src <= 43; + when "0000101" => + dest <= 44; + src <= 38; + when "0000110" => + dest <= 75; + src <= 44; + when "0000111" => + dest <= 44; + src <= 44; + when "0001000" => + dest <= 12; + src <= 76; + when "0001001" => + dest <= 53; + src <= 3; + when "0001010" => + dest <= 44; + src <= 8; + when "0001011" => + dest <= 2; + src <= 44; + when "0001100" => + dest <= 40; + src <= 35; + when "0001101" => + dest <= 44; + src <= 75; + when "0001110" => + dest <= 67; + src <= 44; + when "0001111" => + dest <= 8; + src <= 44; + when "0010000" => + dest <= 43; + src <= 4; + when "0010001" => + dest <= 77; + src <= 67; + when "0010010" => + dest <= 74; + src <= 39; + when "0010011" => + dest <= 18; + src <= 36; + when "0010100" => + dest <= 44; + src <= 77; + when "0010101" => + dest <= 13; + src <= 80; + when "0010110" => + dest <= 66; + src <= 44; + when "0010111" => + dest <= 44; + src <= 12; + when "0011000" => + dest <= 5; + src <= 61; + when "0011001" => + dest <= 44; + src <= 44; + when "0011010" => + dest <= 39; + src <= 2; + when "0011011" => + dest <= 44; + src <= 5; + when "0011100" => + dest <= 21; + src <= 44; + when "0011101" => + dest <= 58; + src <= 44; + when "0011110" => + dest <= 44; + src <= 66; + when "0011111" => + dest <= 11; + src <= 13; + when "0100000" => + dest <= 68; + src <= 40; + when "0100001" => + dest <= 44; + src <= 74; + when "0100010" => + dest <= 1; + src <= 44; + when "0100011" => + dest <= 78; + src <= 44; + when "0100100" => + dest <= 44; + src <= 58; + when "0100101" => + dest <= 44; + src <= 21; + when "0100110" => + dest <= 35; + src <= 44; + when "0100111" => + dest <= 22; + src <= 68; + when "0101000" => + dest <= 65; + src <= 11; + when "0101001" => + dest <= 62; + src <= 53; + when "0101010" => + dest <= 14; + src <= 78; + when "0101011" => + dest <= 44; + src <= 1; + when "0101100" => + dest <= 73; + src <= 44; + when "0101101" => + dest <= 6; + src <= 26; + when "0101110" => + dest <= 57; + src <= 44; + when "0101111" => + dest <= 44; + src <= 57; + when "0110000" => + dest <= 44; + src <= 14; + when "0110001" => + dest <= 30; + src <= 44; + when "0110010" => + dest <= 31; + src <= 65; + when "0110011" => + dest <= 44; + src <= 44; + when "0110100" => + dest <= 20; + src <= 6; + when "0110101" => + dest <= 44; + src <= 73; + when "0110110" => + dest <= 49; + src <= 22; + when "0110111" => + dest <= 10; + src <= 44; + when "0111000" => + dest <= 44; + src <= 49; + when "0111001" => + dest <= 59; + src <= 48; + when "0111010" => + dest <= 0; + src <= 44; + when "0111011" => + dest <= 69; + src <= 59; + when "0111100" => + dest <= 44; + src <= 44; + when "0111101" => + dest <= 72; + src <= 30; + when "0111110" => + dest <= 7; + src <= 69; + when "0111111" => + dest <= 44; + src <= 44; + when "1000000" => + dest <= 64; + src <= 20; + when "1000001" => + dest <= 44; + src <= 79; + when "1000010" => + dest <= 23; + src <= 10; + when "1000011" => + dest <= 56; + src <= 44; + when "1000100" => + dest <= 15; + src <= 7; + when "1000101" => + dest <= 44; + src <= 72; + when "1000110" => + dest <= 48; + src <= 44; + when "1000111" => + dest <= 44; + src <= 15; + when "1001000" => + dest <= 32; + src <= 44; + when "1001001" => + dest <= 29; + src <= 56; + when "1001010" => + dest <= 9; + src <= 23; + when "1001011" => + dest <= 79; + src <= 64; + when "1001100" => + dest <= 44; + src <= 44; + when "1001101" => + dest <= 19; + src <= 31; + when "1001110" => + dest <= 44; + src <= 47; + when "1001111" => + dest <= 50; + src <= 50; + when "1010000" => + dest <= 27; + src <= 70; + when "1010001" => + dest <= 60; + src <= 44; + when "1010010" => + dest <= 16; + src <= 0; + when "1010011" => + dest <= 63; + src <= 60; + when "1010100" => + dest <= 44; + src <= 52; + when "1010101" => + dest <= 24; + src <= 29; + when "1010110" => + dest <= 55; + src <= 44; + when "1010111" => + dest <= 44; + src <= 19; + when "1011000" => + dest <= 47; + src <= 63; + when "1011001" => + dest <= 34; + src <= 16; + when "1011010" => + dest <= 28; + src <= 44; + when "1011011" => + dest <= 33; + src <= 55; + when "1011100" => + dest <= 70; + src <= 24; + when "1011101" => + dest <= 26; + src <= 45; + when "1011110" => + dest <= 46; + src <= 32; + when "1011111" => + dest <= 51; + src <= 51; + when "1100000" => + dest <= 25; + src <= 46; + when "1100001" => + dest <= 54; + src <= 62; + when "1100010" => + dest <= 17; + src <= 9; + when "1100011" => + dest <= 38; + src <= 33; + when "1100100" => + dest <= 61; + src <= 28; + when "1100101" => + dest <= 44; + src <= 54; + when "1100110" => + dest <= 71; + src <= 25; + when "1100111" => + dest <= 44; + src <= 71; + when "1101000" => + dest <= 45; + src <= 41; + when "1101001" => + dest <= 37; + src <= 18; + when "1101010" => + dest <= 52; + src <= 44; + when "1101011" => + dest <= 44; + src <= 17; + when "1101100" => + dest <= 42; + src <= 34; + when "1101101" => + dest <= 44; + src <= 42; + when "1101110" => + dest <= 44; + src <= 27; + when "1101111" => + dest <= 3; + src <= 44; + when "1110000" => + dest <= 76; + src <= 37; + when others => + dest <= 44; + src <= 44; + + end case; + end process; + + end generate NI_NUM44; + + NI_NUM45 : if NI_NUM = 45 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 51; + src <= 45; + when "0000001" => + dest <= 46; + src <= 45; + when "0000010" => + dest <= 45; + src <= 45; + when "0000011" => + dest <= 14; + src <= 45; + when "0000100" => + dest <= 0; + src <= 53; + when "0000101" => + dest <= 45; + src <= 48; + when "0000110" => + dest <= 4; + src <= 45; + when "0000111" => + dest <= 45; + src <= 45; + when "0001000" => + dest <= 22; + src <= 5; + when "0001001" => + dest <= 54; + src <= 13; + when "0001010" => + dest <= 45; + src <= 9; + when "0001011" => + dest <= 12; + src <= 45; + when "0001100" => + dest <= 50; + src <= 36; + when "0001101" => + dest <= 45; + src <= 4; + when "0001110" => + dest <= 77; + src <= 45; + when "0001111" => + dest <= 9; + src <= 45; + when "0010000" => + dest <= 53; + src <= 14; + when "0010001" => + dest <= 6; + src <= 77; + when "0010010" => + dest <= 3; + src <= 49; + when "0010011" => + dest <= 28; + src <= 46; + when "0010100" => + dest <= 45; + src <= 6; + when "0010101" => + dest <= 23; + src <= 0; + when "0010110" => + dest <= 76; + src <= 45; + when "0010111" => + dest <= 45; + src <= 22; + when "0011000" => + dest <= 15; + src <= 71; + when "0011001" => + dest <= 45; + src <= 45; + when "0011010" => + dest <= 49; + src <= 12; + when "0011011" => + dest <= 45; + src <= 15; + when "0011100" => + dest <= 31; + src <= 45; + when "0011101" => + dest <= 68; + src <= 45; + when "0011110" => + dest <= 45; + src <= 76; + when "0011111" => + dest <= 21; + src <= 23; + when "0100000" => + dest <= 78; + src <= 50; + when "0100001" => + dest <= 45; + src <= 3; + when "0100010" => + dest <= 11; + src <= 45; + when "0100011" => + dest <= 7; + src <= 45; + when "0100100" => + dest <= 45; + src <= 68; + when "0100101" => + dest <= 45; + src <= 31; + when "0100110" => + dest <= 36; + src <= 45; + when "0100111" => + dest <= 32; + src <= 78; + when "0101000" => + dest <= 75; + src <= 21; + when "0101001" => + dest <= 63; + src <= 54; + when "0101010" => + dest <= 24; + src <= 7; + when "0101011" => + dest <= 45; + src <= 11; + when "0101100" => + dest <= 2; + src <= 45; + when "0101101" => + dest <= 16; + src <= 27; + when "0101110" => + dest <= 67; + src <= 45; + when "0101111" => + dest <= 45; + src <= 67; + when "0110000" => + dest <= 45; + src <= 24; + when "0110001" => + dest <= 40; + src <= 45; + when "0110010" => + dest <= 41; + src <= 75; + when "0110011" => + dest <= 45; + src <= 45; + when "0110100" => + dest <= 30; + src <= 16; + when "0110101" => + dest <= 45; + src <= 2; + when "0110110" => + dest <= 59; + src <= 32; + when "0110111" => + dest <= 20; + src <= 45; + when "0111000" => + dest <= 45; + src <= 59; + when "0111001" => + dest <= 69; + src <= 58; + when "0111010" => + dest <= 10; + src <= 45; + when "0111011" => + dest <= 79; + src <= 69; + when "0111100" => + dest <= 45; + src <= 45; + when "0111101" => + dest <= 1; + src <= 40; + when "0111110" => + dest <= 17; + src <= 79; + when "0111111" => + dest <= 45; + src <= 45; + when "1000000" => + dest <= 74; + src <= 30; + when "1000001" => + dest <= 45; + src <= 8; + when "1000010" => + dest <= 33; + src <= 20; + when "1000011" => + dest <= 66; + src <= 45; + when "1000100" => + dest <= 25; + src <= 17; + when "1000101" => + dest <= 45; + src <= 1; + when "1000110" => + dest <= 58; + src <= 45; + when "1000111" => + dest <= 45; + src <= 25; + when "1001000" => + dest <= 42; + src <= 45; + when "1001001" => + dest <= 39; + src <= 66; + when "1001010" => + dest <= 19; + src <= 33; + when "1001011" => + dest <= 8; + src <= 74; + when "1001100" => + dest <= 45; + src <= 45; + when "1001101" => + dest <= 29; + src <= 41; + when "1001110" => + dest <= 45; + src <= 57; + when "1001111" => + dest <= 60; + src <= 60; + when "1010000" => + dest <= 37; + src <= 80; + when "1010001" => + dest <= 70; + src <= 45; + when "1010010" => + dest <= 26; + src <= 10; + when "1010011" => + dest <= 73; + src <= 70; + when "1010100" => + dest <= 45; + src <= 62; + when "1010101" => + dest <= 34; + src <= 39; + when "1010110" => + dest <= 65; + src <= 45; + when "1010111" => + dest <= 45; + src <= 29; + when "1011000" => + dest <= 57; + src <= 73; + when "1011001" => + dest <= 44; + src <= 26; + when "1011010" => + dest <= 38; + src <= 45; + when "1011011" => + dest <= 43; + src <= 65; + when "1011100" => + dest <= 80; + src <= 34; + when "1011101" => + dest <= 27; + src <= 55; + when "1011110" => + dest <= 56; + src <= 42; + when "1011111" => + dest <= 61; + src <= 61; + when "1100000" => + dest <= 35; + src <= 56; + when "1100001" => + dest <= 64; + src <= 63; + when "1100010" => + dest <= 18; + src <= 19; + when "1100011" => + dest <= 48; + src <= 43; + when "1100100" => + dest <= 71; + src <= 38; + when "1100101" => + dest <= 45; + src <= 64; + when "1100110" => + dest <= 72; + src <= 35; + when "1100111" => + dest <= 45; + src <= 72; + when "1101000" => + dest <= 55; + src <= 51; + when "1101001" => + dest <= 47; + src <= 28; + when "1101010" => + dest <= 62; + src <= 45; + when "1101011" => + dest <= 45; + src <= 18; + when "1101100" => + dest <= 52; + src <= 44; + when "1101101" => + dest <= 45; + src <= 52; + when "1101110" => + dest <= 45; + src <= 37; + when "1101111" => + dest <= 13; + src <= 45; + when "1110000" => + dest <= 5; + src <= 47; + when others => + dest <= 45; + src <= 45; + + end case; + end process; + + end generate NI_NUM45; + + NI_NUM46 : if NI_NUM = 46 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 52; + src <= 46; + when "0000001" => + dest <= 47; + src <= 46; + when "0000010" => + dest <= 46; + src <= 46; + when "0000011" => + dest <= 15; + src <= 46; + when "0000100" => + dest <= 1; + src <= 45; + when "0000101" => + dest <= 46; + src <= 49; + when "0000110" => + dest <= 5; + src <= 46; + when "0000111" => + dest <= 46; + src <= 46; + when "0001000" => + dest <= 23; + src <= 6; + when "0001001" => + dest <= 55; + src <= 14; + when "0001010" => + dest <= 46; + src <= 10; + when "0001011" => + dest <= 13; + src <= 46; + when "0001100" => + dest <= 51; + src <= 37; + when "0001101" => + dest <= 46; + src <= 5; + when "0001110" => + dest <= 78; + src <= 46; + when "0001111" => + dest <= 10; + src <= 46; + when "0010000" => + dest <= 45; + src <= 15; + when "0010001" => + dest <= 7; + src <= 78; + when "0010010" => + dest <= 4; + src <= 50; + when "0010011" => + dest <= 29; + src <= 47; + when "0010100" => + dest <= 46; + src <= 7; + when "0010101" => + dest <= 24; + src <= 1; + when "0010110" => + dest <= 77; + src <= 46; + when "0010111" => + dest <= 46; + src <= 23; + when "0011000" => + dest <= 16; + src <= 63; + when "0011001" => + dest <= 46; + src <= 46; + when "0011010" => + dest <= 50; + src <= 13; + when "0011011" => + dest <= 46; + src <= 16; + when "0011100" => + dest <= 32; + src <= 46; + when "0011101" => + dest <= 69; + src <= 46; + when "0011110" => + dest <= 46; + src <= 77; + when "0011111" => + dest <= 22; + src <= 24; + when "0100000" => + dest <= 79; + src <= 51; + when "0100001" => + dest <= 46; + src <= 4; + when "0100010" => + dest <= 12; + src <= 46; + when "0100011" => + dest <= 8; + src <= 46; + when "0100100" => + dest <= 46; + src <= 69; + when "0100101" => + dest <= 46; + src <= 32; + when "0100110" => + dest <= 37; + src <= 46; + when "0100111" => + dest <= 33; + src <= 79; + when "0101000" => + dest <= 76; + src <= 22; + when "0101001" => + dest <= 64; + src <= 55; + when "0101010" => + dest <= 25; + src <= 8; + when "0101011" => + dest <= 46; + src <= 12; + when "0101100" => + dest <= 3; + src <= 46; + when "0101101" => + dest <= 17; + src <= 28; + when "0101110" => + dest <= 68; + src <= 46; + when "0101111" => + dest <= 46; + src <= 68; + when "0110000" => + dest <= 46; + src <= 25; + when "0110001" => + dest <= 41; + src <= 46; + when "0110010" => + dest <= 42; + src <= 76; + when "0110011" => + dest <= 46; + src <= 46; + when "0110100" => + dest <= 31; + src <= 17; + when "0110101" => + dest <= 46; + src <= 3; + when "0110110" => + dest <= 60; + src <= 33; + when "0110111" => + dest <= 21; + src <= 46; + when "0111000" => + dest <= 46; + src <= 60; + when "0111001" => + dest <= 70; + src <= 59; + when "0111010" => + dest <= 11; + src <= 46; + when "0111011" => + dest <= 80; + src <= 70; + when "0111100" => + dest <= 46; + src <= 46; + when "0111101" => + dest <= 2; + src <= 41; + when "0111110" => + dest <= 9; + src <= 80; + when "0111111" => + dest <= 46; + src <= 46; + when "1000000" => + dest <= 75; + src <= 31; + when "1000001" => + dest <= 46; + src <= 0; + when "1000010" => + dest <= 34; + src <= 21; + when "1000011" => + dest <= 67; + src <= 46; + when "1000100" => + dest <= 26; + src <= 9; + when "1000101" => + dest <= 46; + src <= 2; + when "1000110" => + dest <= 59; + src <= 46; + when "1000111" => + dest <= 46; + src <= 26; + when "1001000" => + dest <= 43; + src <= 46; + when "1001001" => + dest <= 40; + src <= 67; + when "1001010" => + dest <= 20; + src <= 34; + when "1001011" => + dest <= 0; + src <= 75; + when "1001100" => + dest <= 46; + src <= 46; + when "1001101" => + dest <= 30; + src <= 42; + when "1001110" => + dest <= 46; + src <= 58; + when "1001111" => + dest <= 61; + src <= 61; + when "1010000" => + dest <= 38; + src <= 72; + when "1010001" => + dest <= 71; + src <= 46; + when "1010010" => + dest <= 18; + src <= 11; + when "1010011" => + dest <= 74; + src <= 71; + when "1010100" => + dest <= 46; + src <= 54; + when "1010101" => + dest <= 35; + src <= 40; + when "1010110" => + dest <= 66; + src <= 46; + when "1010111" => + dest <= 46; + src <= 30; + when "1011000" => + dest <= 58; + src <= 74; + when "1011001" => + dest <= 36; + src <= 18; + when "1011010" => + dest <= 39; + src <= 46; + when "1011011" => + dest <= 44; + src <= 66; + when "1011100" => + dest <= 72; + src <= 35; + when "1011101" => + dest <= 28; + src <= 56; + when "1011110" => + dest <= 57; + src <= 43; + when "1011111" => + dest <= 62; + src <= 62; + when "1100000" => + dest <= 27; + src <= 57; + when "1100001" => + dest <= 65; + src <= 64; + when "1100010" => + dest <= 19; + src <= 20; + when "1100011" => + dest <= 49; + src <= 44; + when "1100100" => + dest <= 63; + src <= 39; + when "1100101" => + dest <= 46; + src <= 65; + when "1100110" => + dest <= 73; + src <= 27; + when "1100111" => + dest <= 46; + src <= 73; + when "1101000" => + dest <= 56; + src <= 52; + when "1101001" => + dest <= 48; + src <= 29; + when "1101010" => + dest <= 54; + src <= 46; + when "1101011" => + dest <= 46; + src <= 19; + when "1101100" => + dest <= 53; + src <= 36; + when "1101101" => + dest <= 46; + src <= 53; + when "1101110" => + dest <= 46; + src <= 38; + when "1101111" => + dest <= 14; + src <= 46; + when "1110000" => + dest <= 6; + src <= 48; + when others => + dest <= 46; + src <= 46; + + end case; + end process; + + end generate NI_NUM46; + + NI_NUM47 : if NI_NUM = 47 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 53; + src <= 47; + when "0000001" => + dest <= 48; + src <= 47; + when "0000010" => + dest <= 47; + src <= 47; + when "0000011" => + dest <= 16; + src <= 47; + when "0000100" => + dest <= 2; + src <= 46; + when "0000101" => + dest <= 47; + src <= 50; + when "0000110" => + dest <= 6; + src <= 47; + when "0000111" => + dest <= 47; + src <= 47; + when "0001000" => + dest <= 24; + src <= 7; + when "0001001" => + dest <= 56; + src <= 15; + when "0001010" => + dest <= 47; + src <= 11; + when "0001011" => + dest <= 14; + src <= 47; + when "0001100" => + dest <= 52; + src <= 38; + when "0001101" => + dest <= 47; + src <= 6; + when "0001110" => + dest <= 79; + src <= 47; + when "0001111" => + dest <= 11; + src <= 47; + when "0010000" => + dest <= 46; + src <= 16; + when "0010001" => + dest <= 8; + src <= 79; + when "0010010" => + dest <= 5; + src <= 51; + when "0010011" => + dest <= 30; + src <= 48; + when "0010100" => + dest <= 47; + src <= 8; + when "0010101" => + dest <= 25; + src <= 2; + when "0010110" => + dest <= 78; + src <= 47; + when "0010111" => + dest <= 47; + src <= 24; + when "0011000" => + dest <= 17; + src <= 64; + when "0011001" => + dest <= 47; + src <= 47; + when "0011010" => + dest <= 51; + src <= 14; + when "0011011" => + dest <= 47; + src <= 17; + when "0011100" => + dest <= 33; + src <= 47; + when "0011101" => + dest <= 70; + src <= 47; + when "0011110" => + dest <= 47; + src <= 78; + when "0011111" => + dest <= 23; + src <= 25; + when "0100000" => + dest <= 80; + src <= 52; + when "0100001" => + dest <= 47; + src <= 5; + when "0100010" => + dest <= 13; + src <= 47; + when "0100011" => + dest <= 0; + src <= 47; + when "0100100" => + dest <= 47; + src <= 70; + when "0100101" => + dest <= 47; + src <= 33; + when "0100110" => + dest <= 38; + src <= 47; + when "0100111" => + dest <= 34; + src <= 80; + when "0101000" => + dest <= 77; + src <= 23; + when "0101001" => + dest <= 65; + src <= 56; + when "0101010" => + dest <= 26; + src <= 0; + when "0101011" => + dest <= 47; + src <= 13; + when "0101100" => + dest <= 4; + src <= 47; + when "0101101" => + dest <= 9; + src <= 29; + when "0101110" => + dest <= 69; + src <= 47; + when "0101111" => + dest <= 47; + src <= 69; + when "0110000" => + dest <= 47; + src <= 26; + when "0110001" => + dest <= 42; + src <= 47; + when "0110010" => + dest <= 43; + src <= 77; + when "0110011" => + dest <= 47; + src <= 47; + when "0110100" => + dest <= 32; + src <= 9; + when "0110101" => + dest <= 47; + src <= 4; + when "0110110" => + dest <= 61; + src <= 34; + when "0110111" => + dest <= 22; + src <= 47; + when "0111000" => + dest <= 47; + src <= 61; + when "0111001" => + dest <= 71; + src <= 60; + when "0111010" => + dest <= 12; + src <= 47; + when "0111011" => + dest <= 72; + src <= 71; + when "0111100" => + dest <= 47; + src <= 47; + when "0111101" => + dest <= 3; + src <= 42; + when "0111110" => + dest <= 10; + src <= 72; + when "0111111" => + dest <= 47; + src <= 47; + when "1000000" => + dest <= 76; + src <= 32; + when "1000001" => + dest <= 47; + src <= 1; + when "1000010" => + dest <= 35; + src <= 22; + when "1000011" => + dest <= 68; + src <= 47; + when "1000100" => + dest <= 18; + src <= 10; + when "1000101" => + dest <= 47; + src <= 3; + when "1000110" => + dest <= 60; + src <= 47; + when "1000111" => + dest <= 47; + src <= 18; + when "1001000" => + dest <= 44; + src <= 47; + when "1001001" => + dest <= 41; + src <= 68; + when "1001010" => + dest <= 21; + src <= 35; + when "1001011" => + dest <= 1; + src <= 76; + when "1001100" => + dest <= 47; + src <= 47; + when "1001101" => + dest <= 31; + src <= 43; + when "1001110" => + dest <= 47; + src <= 59; + when "1001111" => + dest <= 62; + src <= 62; + when "1010000" => + dest <= 39; + src <= 73; + when "1010001" => + dest <= 63; + src <= 47; + when "1010010" => + dest <= 19; + src <= 12; + when "1010011" => + dest <= 75; + src <= 63; + when "1010100" => + dest <= 47; + src <= 55; + when "1010101" => + dest <= 27; + src <= 41; + when "1010110" => + dest <= 67; + src <= 47; + when "1010111" => + dest <= 47; + src <= 31; + when "1011000" => + dest <= 59; + src <= 75; + when "1011001" => + dest <= 37; + src <= 19; + when "1011010" => + dest <= 40; + src <= 47; + when "1011011" => + dest <= 36; + src <= 67; + when "1011100" => + dest <= 73; + src <= 27; + when "1011101" => + dest <= 29; + src <= 57; + when "1011110" => + dest <= 58; + src <= 44; + when "1011111" => + dest <= 54; + src <= 54; + when "1100000" => + dest <= 28; + src <= 58; + when "1100001" => + dest <= 66; + src <= 65; + when "1100010" => + dest <= 20; + src <= 21; + when "1100011" => + dest <= 50; + src <= 36; + when "1100100" => + dest <= 64; + src <= 40; + when "1100101" => + dest <= 47; + src <= 66; + when "1100110" => + dest <= 74; + src <= 28; + when "1100111" => + dest <= 47; + src <= 74; + when "1101000" => + dest <= 57; + src <= 53; + when "1101001" => + dest <= 49; + src <= 30; + when "1101010" => + dest <= 55; + src <= 47; + when "1101011" => + dest <= 47; + src <= 20; + when "1101100" => + dest <= 45; + src <= 37; + when "1101101" => + dest <= 47; + src <= 45; + when "1101110" => + dest <= 47; + src <= 39; + when "1101111" => + dest <= 15; + src <= 47; + when "1110000" => + dest <= 7; + src <= 49; + when others => + dest <= 47; + src <= 47; + + end case; + end process; + + end generate NI_NUM47; + + NI_NUM48 : if NI_NUM = 48 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 45; + src <= 48; + when "0000001" => + dest <= 49; + src <= 48; + when "0000010" => + dest <= 48; + src <= 48; + when "0000011" => + dest <= 17; + src <= 48; + when "0000100" => + dest <= 3; + src <= 47; + when "0000101" => + dest <= 48; + src <= 51; + when "0000110" => + dest <= 7; + src <= 48; + when "0000111" => + dest <= 48; + src <= 48; + when "0001000" => + dest <= 25; + src <= 8; + when "0001001" => + dest <= 57; + src <= 16; + when "0001010" => + dest <= 48; + src <= 12; + when "0001011" => + dest <= 15; + src <= 48; + when "0001100" => + dest <= 53; + src <= 39; + when "0001101" => + dest <= 48; + src <= 7; + when "0001110" => + dest <= 80; + src <= 48; + when "0001111" => + dest <= 12; + src <= 48; + when "0010000" => + dest <= 47; + src <= 17; + when "0010001" => + dest <= 0; + src <= 80; + when "0010010" => + dest <= 6; + src <= 52; + when "0010011" => + dest <= 31; + src <= 49; + when "0010100" => + dest <= 48; + src <= 0; + when "0010101" => + dest <= 26; + src <= 3; + when "0010110" => + dest <= 79; + src <= 48; + when "0010111" => + dest <= 48; + src <= 25; + when "0011000" => + dest <= 9; + src <= 65; + when "0011001" => + dest <= 48; + src <= 48; + when "0011010" => + dest <= 52; + src <= 15; + when "0011011" => + dest <= 48; + src <= 9; + when "0011100" => + dest <= 34; + src <= 48; + when "0011101" => + dest <= 71; + src <= 48; + when "0011110" => + dest <= 48; + src <= 79; + when "0011111" => + dest <= 24; + src <= 26; + when "0100000" => + dest <= 72; + src <= 53; + when "0100001" => + dest <= 48; + src <= 6; + when "0100010" => + dest <= 14; + src <= 48; + when "0100011" => + dest <= 1; + src <= 48; + when "0100100" => + dest <= 48; + src <= 71; + when "0100101" => + dest <= 48; + src <= 34; + when "0100110" => + dest <= 39; + src <= 48; + when "0100111" => + dest <= 35; + src <= 72; + when "0101000" => + dest <= 78; + src <= 24; + when "0101001" => + dest <= 66; + src <= 57; + when "0101010" => + dest <= 18; + src <= 1; + when "0101011" => + dest <= 48; + src <= 14; + when "0101100" => + dest <= 5; + src <= 48; + when "0101101" => + dest <= 10; + src <= 30; + when "0101110" => + dest <= 70; + src <= 48; + when "0101111" => + dest <= 48; + src <= 70; + when "0110000" => + dest <= 48; + src <= 18; + when "0110001" => + dest <= 43; + src <= 48; + when "0110010" => + dest <= 44; + src <= 78; + when "0110011" => + dest <= 48; + src <= 48; + when "0110100" => + dest <= 33; + src <= 10; + when "0110101" => + dest <= 48; + src <= 5; + when "0110110" => + dest <= 62; + src <= 35; + when "0110111" => + dest <= 23; + src <= 48; + when "0111000" => + dest <= 48; + src <= 62; + when "0111001" => + dest <= 63; + src <= 61; + when "0111010" => + dest <= 13; + src <= 48; + when "0111011" => + dest <= 73; + src <= 63; + when "0111100" => + dest <= 48; + src <= 48; + when "0111101" => + dest <= 4; + src <= 43; + when "0111110" => + dest <= 11; + src <= 73; + when "0111111" => + dest <= 48; + src <= 48; + when "1000000" => + dest <= 77; + src <= 33; + when "1000001" => + dest <= 48; + src <= 2; + when "1000010" => + dest <= 27; + src <= 23; + when "1000011" => + dest <= 69; + src <= 48; + when "1000100" => + dest <= 19; + src <= 11; + when "1000101" => + dest <= 48; + src <= 4; + when "1000110" => + dest <= 61; + src <= 48; + when "1000111" => + dest <= 48; + src <= 19; + when "1001000" => + dest <= 36; + src <= 48; + when "1001001" => + dest <= 42; + src <= 69; + when "1001010" => + dest <= 22; + src <= 27; + when "1001011" => + dest <= 2; + src <= 77; + when "1001100" => + dest <= 48; + src <= 48; + when "1001101" => + dest <= 32; + src <= 44; + when "1001110" => + dest <= 48; + src <= 60; + when "1001111" => + dest <= 54; + src <= 54; + when "1010000" => + dest <= 40; + src <= 74; + when "1010001" => + dest <= 64; + src <= 48; + when "1010010" => + dest <= 20; + src <= 13; + when "1010011" => + dest <= 76; + src <= 64; + when "1010100" => + dest <= 48; + src <= 56; + when "1010101" => + dest <= 28; + src <= 42; + when "1010110" => + dest <= 68; + src <= 48; + when "1010111" => + dest <= 48; + src <= 32; + when "1011000" => + dest <= 60; + src <= 76; + when "1011001" => + dest <= 38; + src <= 20; + when "1011010" => + dest <= 41; + src <= 48; + when "1011011" => + dest <= 37; + src <= 68; + when "1011100" => + dest <= 74; + src <= 28; + when "1011101" => + dest <= 30; + src <= 58; + when "1011110" => + dest <= 59; + src <= 36; + when "1011111" => + dest <= 55; + src <= 55; + when "1100000" => + dest <= 29; + src <= 59; + when "1100001" => + dest <= 67; + src <= 66; + when "1100010" => + dest <= 21; + src <= 22; + when "1100011" => + dest <= 51; + src <= 37; + when "1100100" => + dest <= 65; + src <= 41; + when "1100101" => + dest <= 48; + src <= 67; + when "1100110" => + dest <= 75; + src <= 29; + when "1100111" => + dest <= 48; + src <= 75; + when "1101000" => + dest <= 58; + src <= 45; + when "1101001" => + dest <= 50; + src <= 31; + when "1101010" => + dest <= 56; + src <= 48; + when "1101011" => + dest <= 48; + src <= 21; + when "1101100" => + dest <= 46; + src <= 38; + when "1101101" => + dest <= 48; + src <= 46; + when "1101110" => + dest <= 48; + src <= 40; + when "1101111" => + dest <= 16; + src <= 48; + when "1110000" => + dest <= 8; + src <= 50; + when others => + dest <= 48; + src <= 48; + + end case; + end process; + + end generate NI_NUM48; + + NI_NUM49 : if NI_NUM = 49 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 46; + src <= 49; + when "0000001" => + dest <= 50; + src <= 49; + when "0000010" => + dest <= 49; + src <= 49; + when "0000011" => + dest <= 9; + src <= 49; + when "0000100" => + dest <= 4; + src <= 48; + when "0000101" => + dest <= 49; + src <= 52; + when "0000110" => + dest <= 8; + src <= 49; + when "0000111" => + dest <= 49; + src <= 49; + when "0001000" => + dest <= 26; + src <= 0; + when "0001001" => + dest <= 58; + src <= 17; + when "0001010" => + dest <= 49; + src <= 13; + when "0001011" => + dest <= 16; + src <= 49; + when "0001100" => + dest <= 45; + src <= 40; + when "0001101" => + dest <= 49; + src <= 8; + when "0001110" => + dest <= 72; + src <= 49; + when "0001111" => + dest <= 13; + src <= 49; + when "0010000" => + dest <= 48; + src <= 9; + when "0010001" => + dest <= 1; + src <= 72; + when "0010010" => + dest <= 7; + src <= 53; + when "0010011" => + dest <= 32; + src <= 50; + when "0010100" => + dest <= 49; + src <= 1; + when "0010101" => + dest <= 18; + src <= 4; + when "0010110" => + dest <= 80; + src <= 49; + when "0010111" => + dest <= 49; + src <= 26; + when "0011000" => + dest <= 10; + src <= 66; + when "0011001" => + dest <= 49; + src <= 49; + when "0011010" => + dest <= 53; + src <= 16; + when "0011011" => + dest <= 49; + src <= 10; + when "0011100" => + dest <= 35; + src <= 49; + when "0011101" => + dest <= 63; + src <= 49; + when "0011110" => + dest <= 49; + src <= 80; + when "0011111" => + dest <= 25; + src <= 18; + when "0100000" => + dest <= 73; + src <= 45; + when "0100001" => + dest <= 49; + src <= 7; + when "0100010" => + dest <= 15; + src <= 49; + when "0100011" => + dest <= 2; + src <= 49; + when "0100100" => + dest <= 49; + src <= 63; + when "0100101" => + dest <= 49; + src <= 35; + when "0100110" => + dest <= 40; + src <= 49; + when "0100111" => + dest <= 27; + src <= 73; + when "0101000" => + dest <= 79; + src <= 25; + when "0101001" => + dest <= 67; + src <= 58; + when "0101010" => + dest <= 19; + src <= 2; + when "0101011" => + dest <= 49; + src <= 15; + when "0101100" => + dest <= 6; + src <= 49; + when "0101101" => + dest <= 11; + src <= 31; + when "0101110" => + dest <= 71; + src <= 49; + when "0101111" => + dest <= 49; + src <= 71; + when "0110000" => + dest <= 49; + src <= 19; + when "0110001" => + dest <= 44; + src <= 49; + when "0110010" => + dest <= 36; + src <= 79; + when "0110011" => + dest <= 49; + src <= 49; + when "0110100" => + dest <= 34; + src <= 11; + when "0110101" => + dest <= 49; + src <= 6; + when "0110110" => + dest <= 54; + src <= 27; + when "0110111" => + dest <= 24; + src <= 49; + when "0111000" => + dest <= 49; + src <= 54; + when "0111001" => + dest <= 64; + src <= 62; + when "0111010" => + dest <= 14; + src <= 49; + when "0111011" => + dest <= 74; + src <= 64; + when "0111100" => + dest <= 49; + src <= 49; + when "0111101" => + dest <= 5; + src <= 44; + when "0111110" => + dest <= 12; + src <= 74; + when "0111111" => + dest <= 49; + src <= 49; + when "1000000" => + dest <= 78; + src <= 34; + when "1000001" => + dest <= 49; + src <= 3; + when "1000010" => + dest <= 28; + src <= 24; + when "1000011" => + dest <= 70; + src <= 49; + when "1000100" => + dest <= 20; + src <= 12; + when "1000101" => + dest <= 49; + src <= 5; + when "1000110" => + dest <= 62; + src <= 49; + when "1000111" => + dest <= 49; + src <= 20; + when "1001000" => + dest <= 37; + src <= 49; + when "1001001" => + dest <= 43; + src <= 70; + when "1001010" => + dest <= 23; + src <= 28; + when "1001011" => + dest <= 3; + src <= 78; + when "1001100" => + dest <= 49; + src <= 49; + when "1001101" => + dest <= 33; + src <= 36; + when "1001110" => + dest <= 49; + src <= 61; + when "1001111" => + dest <= 55; + src <= 55; + when "1010000" => + dest <= 41; + src <= 75; + when "1010001" => + dest <= 65; + src <= 49; + when "1010010" => + dest <= 21; + src <= 14; + when "1010011" => + dest <= 77; + src <= 65; + when "1010100" => + dest <= 49; + src <= 57; + when "1010101" => + dest <= 29; + src <= 43; + when "1010110" => + dest <= 69; + src <= 49; + when "1010111" => + dest <= 49; + src <= 33; + when "1011000" => + dest <= 61; + src <= 77; + when "1011001" => + dest <= 39; + src <= 21; + when "1011010" => + dest <= 42; + src <= 49; + when "1011011" => + dest <= 38; + src <= 69; + when "1011100" => + dest <= 75; + src <= 29; + when "1011101" => + dest <= 31; + src <= 59; + when "1011110" => + dest <= 60; + src <= 37; + when "1011111" => + dest <= 56; + src <= 56; + when "1100000" => + dest <= 30; + src <= 60; + when "1100001" => + dest <= 68; + src <= 67; + when "1100010" => + dest <= 22; + src <= 23; + when "1100011" => + dest <= 52; + src <= 38; + when "1100100" => + dest <= 66; + src <= 42; + when "1100101" => + dest <= 49; + src <= 68; + when "1100110" => + dest <= 76; + src <= 30; + when "1100111" => + dest <= 49; + src <= 76; + when "1101000" => + dest <= 59; + src <= 46; + when "1101001" => + dest <= 51; + src <= 32; + when "1101010" => + dest <= 57; + src <= 49; + when "1101011" => + dest <= 49; + src <= 22; + when "1101100" => + dest <= 47; + src <= 39; + when "1101101" => + dest <= 49; + src <= 47; + when "1101110" => + dest <= 49; + src <= 41; + when "1101111" => + dest <= 17; + src <= 49; + when "1110000" => + dest <= 0; + src <= 51; + when others => + dest <= 49; + src <= 49; + + end case; + end process; + + end generate NI_NUM49; + + NI_NUM50 : if NI_NUM = 50 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 47; + src <= 50; + when "0000001" => + dest <= 51; + src <= 50; + when "0000010" => + dest <= 50; + src <= 50; + when "0000011" => + dest <= 10; + src <= 50; + when "0000100" => + dest <= 5; + src <= 49; + when "0000101" => + dest <= 50; + src <= 53; + when "0000110" => + dest <= 0; + src <= 50; + when "0000111" => + dest <= 50; + src <= 50; + when "0001000" => + dest <= 18; + src <= 1; + when "0001001" => + dest <= 59; + src <= 9; + when "0001010" => + dest <= 50; + src <= 14; + when "0001011" => + dest <= 17; + src <= 50; + when "0001100" => + dest <= 46; + src <= 41; + when "0001101" => + dest <= 50; + src <= 0; + when "0001110" => + dest <= 73; + src <= 50; + when "0001111" => + dest <= 14; + src <= 50; + when "0010000" => + dest <= 49; + src <= 10; + when "0010001" => + dest <= 2; + src <= 73; + when "0010010" => + dest <= 8; + src <= 45; + when "0010011" => + dest <= 33; + src <= 51; + when "0010100" => + dest <= 50; + src <= 2; + when "0010101" => + dest <= 19; + src <= 5; + when "0010110" => + dest <= 72; + src <= 50; + when "0010111" => + dest <= 50; + src <= 18; + when "0011000" => + dest <= 11; + src <= 67; + when "0011001" => + dest <= 50; + src <= 50; + when "0011010" => + dest <= 45; + src <= 17; + when "0011011" => + dest <= 50; + src <= 11; + when "0011100" => + dest <= 27; + src <= 50; + when "0011101" => + dest <= 64; + src <= 50; + when "0011110" => + dest <= 50; + src <= 72; + when "0011111" => + dest <= 26; + src <= 19; + when "0100000" => + dest <= 74; + src <= 46; + when "0100001" => + dest <= 50; + src <= 8; + when "0100010" => + dest <= 16; + src <= 50; + when "0100011" => + dest <= 3; + src <= 50; + when "0100100" => + dest <= 50; + src <= 64; + when "0100101" => + dest <= 50; + src <= 27; + when "0100110" => + dest <= 41; + src <= 50; + when "0100111" => + dest <= 28; + src <= 74; + when "0101000" => + dest <= 80; + src <= 26; + when "0101001" => + dest <= 68; + src <= 59; + when "0101010" => + dest <= 20; + src <= 3; + when "0101011" => + dest <= 50; + src <= 16; + when "0101100" => + dest <= 7; + src <= 50; + when "0101101" => + dest <= 12; + src <= 32; + when "0101110" => + dest <= 63; + src <= 50; + when "0101111" => + dest <= 50; + src <= 63; + when "0110000" => + dest <= 50; + src <= 20; + when "0110001" => + dest <= 36; + src <= 50; + when "0110010" => + dest <= 37; + src <= 80; + when "0110011" => + dest <= 50; + src <= 50; + when "0110100" => + dest <= 35; + src <= 12; + when "0110101" => + dest <= 50; + src <= 7; + when "0110110" => + dest <= 55; + src <= 28; + when "0110111" => + dest <= 25; + src <= 50; + when "0111000" => + dest <= 50; + src <= 55; + when "0111001" => + dest <= 65; + src <= 54; + when "0111010" => + dest <= 15; + src <= 50; + when "0111011" => + dest <= 75; + src <= 65; + when "0111100" => + dest <= 50; + src <= 50; + when "0111101" => + dest <= 6; + src <= 36; + when "0111110" => + dest <= 13; + src <= 75; + when "0111111" => + dest <= 50; + src <= 50; + when "1000000" => + dest <= 79; + src <= 35; + when "1000001" => + dest <= 50; + src <= 4; + when "1000010" => + dest <= 29; + src <= 25; + when "1000011" => + dest <= 71; + src <= 50; + when "1000100" => + dest <= 21; + src <= 13; + when "1000101" => + dest <= 50; + src <= 6; + when "1000110" => + dest <= 54; + src <= 50; + when "1000111" => + dest <= 50; + src <= 21; + when "1001000" => + dest <= 38; + src <= 50; + when "1001001" => + dest <= 44; + src <= 71; + when "1001010" => + dest <= 24; + src <= 29; + when "1001011" => + dest <= 4; + src <= 79; + when "1001100" => + dest <= 50; + src <= 50; + when "1001101" => + dest <= 34; + src <= 37; + when "1001110" => + dest <= 50; + src <= 62; + when "1001111" => + dest <= 56; + src <= 56; + when "1010000" => + dest <= 42; + src <= 76; + when "1010001" => + dest <= 66; + src <= 50; + when "1010010" => + dest <= 22; + src <= 15; + when "1010011" => + dest <= 78; + src <= 66; + when "1010100" => + dest <= 50; + src <= 58; + when "1010101" => + dest <= 30; + src <= 44; + when "1010110" => + dest <= 70; + src <= 50; + when "1010111" => + dest <= 50; + src <= 34; + when "1011000" => + dest <= 62; + src <= 78; + when "1011001" => + dest <= 40; + src <= 22; + when "1011010" => + dest <= 43; + src <= 50; + when "1011011" => + dest <= 39; + src <= 70; + when "1011100" => + dest <= 76; + src <= 30; + when "1011101" => + dest <= 32; + src <= 60; + when "1011110" => + dest <= 61; + src <= 38; + when "1011111" => + dest <= 57; + src <= 57; + when "1100000" => + dest <= 31; + src <= 61; + when "1100001" => + dest <= 69; + src <= 68; + when "1100010" => + dest <= 23; + src <= 24; + when "1100011" => + dest <= 53; + src <= 39; + when "1100100" => + dest <= 67; + src <= 43; + when "1100101" => + dest <= 50; + src <= 69; + when "1100110" => + dest <= 77; + src <= 31; + when "1100111" => + dest <= 50; + src <= 77; + when "1101000" => + dest <= 60; + src <= 47; + when "1101001" => + dest <= 52; + src <= 33; + when "1101010" => + dest <= 58; + src <= 50; + when "1101011" => + dest <= 50; + src <= 23; + when "1101100" => + dest <= 48; + src <= 40; + when "1101101" => + dest <= 50; + src <= 48; + when "1101110" => + dest <= 50; + src <= 42; + when "1101111" => + dest <= 9; + src <= 50; + when "1110000" => + dest <= 1; + src <= 52; + when others => + dest <= 50; + src <= 50; + + end case; + end process; + + end generate NI_NUM50; + + NI_NUM51 : if NI_NUM = 51 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 48; + src <= 51; + when "0000001" => + dest <= 52; + src <= 51; + when "0000010" => + dest <= 51; + src <= 51; + when "0000011" => + dest <= 11; + src <= 51; + when "0000100" => + dest <= 6; + src <= 50; + when "0000101" => + dest <= 51; + src <= 45; + when "0000110" => + dest <= 1; + src <= 51; + when "0000111" => + dest <= 51; + src <= 51; + when "0001000" => + dest <= 19; + src <= 2; + when "0001001" => + dest <= 60; + src <= 10; + when "0001010" => + dest <= 51; + src <= 15; + when "0001011" => + dest <= 9; + src <= 51; + when "0001100" => + dest <= 47; + src <= 42; + when "0001101" => + dest <= 51; + src <= 1; + when "0001110" => + dest <= 74; + src <= 51; + when "0001111" => + dest <= 15; + src <= 51; + when "0010000" => + dest <= 50; + src <= 11; + when "0010001" => + dest <= 3; + src <= 74; + when "0010010" => + dest <= 0; + src <= 46; + when "0010011" => + dest <= 34; + src <= 52; + when "0010100" => + dest <= 51; + src <= 3; + when "0010101" => + dest <= 20; + src <= 6; + when "0010110" => + dest <= 73; + src <= 51; + when "0010111" => + dest <= 51; + src <= 19; + when "0011000" => + dest <= 12; + src <= 68; + when "0011001" => + dest <= 51; + src <= 51; + when "0011010" => + dest <= 46; + src <= 9; + when "0011011" => + dest <= 51; + src <= 12; + when "0011100" => + dest <= 28; + src <= 51; + when "0011101" => + dest <= 65; + src <= 51; + when "0011110" => + dest <= 51; + src <= 73; + when "0011111" => + dest <= 18; + src <= 20; + when "0100000" => + dest <= 75; + src <= 47; + when "0100001" => + dest <= 51; + src <= 0; + when "0100010" => + dest <= 17; + src <= 51; + when "0100011" => + dest <= 4; + src <= 51; + when "0100100" => + dest <= 51; + src <= 65; + when "0100101" => + dest <= 51; + src <= 28; + when "0100110" => + dest <= 42; + src <= 51; + when "0100111" => + dest <= 29; + src <= 75; + when "0101000" => + dest <= 72; + src <= 18; + when "0101001" => + dest <= 69; + src <= 60; + when "0101010" => + dest <= 21; + src <= 4; + when "0101011" => + dest <= 51; + src <= 17; + when "0101100" => + dest <= 8; + src <= 51; + when "0101101" => + dest <= 13; + src <= 33; + when "0101110" => + dest <= 64; + src <= 51; + when "0101111" => + dest <= 51; + src <= 64; + when "0110000" => + dest <= 51; + src <= 21; + when "0110001" => + dest <= 37; + src <= 51; + when "0110010" => + dest <= 38; + src <= 72; + when "0110011" => + dest <= 51; + src <= 51; + when "0110100" => + dest <= 27; + src <= 13; + when "0110101" => + dest <= 51; + src <= 8; + when "0110110" => + dest <= 56; + src <= 29; + when "0110111" => + dest <= 26; + src <= 51; + when "0111000" => + dest <= 51; + src <= 56; + when "0111001" => + dest <= 66; + src <= 55; + when "0111010" => + dest <= 16; + src <= 51; + when "0111011" => + dest <= 76; + src <= 66; + when "0111100" => + dest <= 51; + src <= 51; + when "0111101" => + dest <= 7; + src <= 37; + when "0111110" => + dest <= 14; + src <= 76; + when "0111111" => + dest <= 51; + src <= 51; + when "1000000" => + dest <= 80; + src <= 27; + when "1000001" => + dest <= 51; + src <= 5; + when "1000010" => + dest <= 30; + src <= 26; + when "1000011" => + dest <= 63; + src <= 51; + when "1000100" => + dest <= 22; + src <= 14; + when "1000101" => + dest <= 51; + src <= 7; + when "1000110" => + dest <= 55; + src <= 51; + when "1000111" => + dest <= 51; + src <= 22; + when "1001000" => + dest <= 39; + src <= 51; + when "1001001" => + dest <= 36; + src <= 63; + when "1001010" => + dest <= 25; + src <= 30; + when "1001011" => + dest <= 5; + src <= 80; + when "1001100" => + dest <= 51; + src <= 51; + when "1001101" => + dest <= 35; + src <= 38; + when "1001110" => + dest <= 51; + src <= 54; + when "1001111" => + dest <= 57; + src <= 57; + when "1010000" => + dest <= 43; + src <= 77; + when "1010001" => + dest <= 67; + src <= 51; + when "1010010" => + dest <= 23; + src <= 16; + when "1010011" => + dest <= 79; + src <= 67; + when "1010100" => + dest <= 51; + src <= 59; + when "1010101" => + dest <= 31; + src <= 36; + when "1010110" => + dest <= 71; + src <= 51; + when "1010111" => + dest <= 51; + src <= 35; + when "1011000" => + dest <= 54; + src <= 79; + when "1011001" => + dest <= 41; + src <= 23; + when "1011010" => + dest <= 44; + src <= 51; + when "1011011" => + dest <= 40; + src <= 71; + when "1011100" => + dest <= 77; + src <= 31; + when "1011101" => + dest <= 33; + src <= 61; + when "1011110" => + dest <= 62; + src <= 39; + when "1011111" => + dest <= 58; + src <= 58; + when "1100000" => + dest <= 32; + src <= 62; + when "1100001" => + dest <= 70; + src <= 69; + when "1100010" => + dest <= 24; + src <= 25; + when "1100011" => + dest <= 45; + src <= 40; + when "1100100" => + dest <= 68; + src <= 44; + when "1100101" => + dest <= 51; + src <= 70; + when "1100110" => + dest <= 78; + src <= 32; + when "1100111" => + dest <= 51; + src <= 78; + when "1101000" => + dest <= 61; + src <= 48; + when "1101001" => + dest <= 53; + src <= 34; + when "1101010" => + dest <= 59; + src <= 51; + when "1101011" => + dest <= 51; + src <= 24; + when "1101100" => + dest <= 49; + src <= 41; + when "1101101" => + dest <= 51; + src <= 49; + when "1101110" => + dest <= 51; + src <= 43; + when "1101111" => + dest <= 10; + src <= 51; + when "1110000" => + dest <= 2; + src <= 53; + when others => + dest <= 51; + src <= 51; + + end case; + end process; + + end generate NI_NUM51; + + NI_NUM52 : if NI_NUM = 52 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 49; + src <= 52; + when "0000001" => + dest <= 53; + src <= 52; + when "0000010" => + dest <= 52; + src <= 52; + when "0000011" => + dest <= 12; + src <= 52; + when "0000100" => + dest <= 7; + src <= 51; + when "0000101" => + dest <= 52; + src <= 46; + when "0000110" => + dest <= 2; + src <= 52; + when "0000111" => + dest <= 52; + src <= 52; + when "0001000" => + dest <= 20; + src <= 3; + when "0001001" => + dest <= 61; + src <= 11; + when "0001010" => + dest <= 52; + src <= 16; + when "0001011" => + dest <= 10; + src <= 52; + when "0001100" => + dest <= 48; + src <= 43; + when "0001101" => + dest <= 52; + src <= 2; + when "0001110" => + dest <= 75; + src <= 52; + when "0001111" => + dest <= 16; + src <= 52; + when "0010000" => + dest <= 51; + src <= 12; + when "0010001" => + dest <= 4; + src <= 75; + when "0010010" => + dest <= 1; + src <= 47; + when "0010011" => + dest <= 35; + src <= 53; + when "0010100" => + dest <= 52; + src <= 4; + when "0010101" => + dest <= 21; + src <= 7; + when "0010110" => + dest <= 74; + src <= 52; + when "0010111" => + dest <= 52; + src <= 20; + when "0011000" => + dest <= 13; + src <= 69; + when "0011001" => + dest <= 52; + src <= 52; + when "0011010" => + dest <= 47; + src <= 10; + when "0011011" => + dest <= 52; + src <= 13; + when "0011100" => + dest <= 29; + src <= 52; + when "0011101" => + dest <= 66; + src <= 52; + when "0011110" => + dest <= 52; + src <= 74; + when "0011111" => + dest <= 19; + src <= 21; + when "0100000" => + dest <= 76; + src <= 48; + when "0100001" => + dest <= 52; + src <= 1; + when "0100010" => + dest <= 9; + src <= 52; + when "0100011" => + dest <= 5; + src <= 52; + when "0100100" => + dest <= 52; + src <= 66; + when "0100101" => + dest <= 52; + src <= 29; + when "0100110" => + dest <= 43; + src <= 52; + when "0100111" => + dest <= 30; + src <= 76; + when "0101000" => + dest <= 73; + src <= 19; + when "0101001" => + dest <= 70; + src <= 61; + when "0101010" => + dest <= 22; + src <= 5; + when "0101011" => + dest <= 52; + src <= 9; + when "0101100" => + dest <= 0; + src <= 52; + when "0101101" => + dest <= 14; + src <= 34; + when "0101110" => + dest <= 65; + src <= 52; + when "0101111" => + dest <= 52; + src <= 65; + when "0110000" => + dest <= 52; + src <= 22; + when "0110001" => + dest <= 38; + src <= 52; + when "0110010" => + dest <= 39; + src <= 73; + when "0110011" => + dest <= 52; + src <= 52; + when "0110100" => + dest <= 28; + src <= 14; + when "0110101" => + dest <= 52; + src <= 0; + when "0110110" => + dest <= 57; + src <= 30; + when "0110111" => + dest <= 18; + src <= 52; + when "0111000" => + dest <= 52; + src <= 57; + when "0111001" => + dest <= 67; + src <= 56; + when "0111010" => + dest <= 17; + src <= 52; + when "0111011" => + dest <= 77; + src <= 67; + when "0111100" => + dest <= 52; + src <= 52; + when "0111101" => + dest <= 8; + src <= 38; + when "0111110" => + dest <= 15; + src <= 77; + when "0111111" => + dest <= 52; + src <= 52; + when "1000000" => + dest <= 72; + src <= 28; + when "1000001" => + dest <= 52; + src <= 6; + when "1000010" => + dest <= 31; + src <= 18; + when "1000011" => + dest <= 64; + src <= 52; + when "1000100" => + dest <= 23; + src <= 15; + when "1000101" => + dest <= 52; + src <= 8; + when "1000110" => + dest <= 56; + src <= 52; + when "1000111" => + dest <= 52; + src <= 23; + when "1001000" => + dest <= 40; + src <= 52; + when "1001001" => + dest <= 37; + src <= 64; + when "1001010" => + dest <= 26; + src <= 31; + when "1001011" => + dest <= 6; + src <= 72; + when "1001100" => + dest <= 52; + src <= 52; + when "1001101" => + dest <= 27; + src <= 39; + when "1001110" => + dest <= 52; + src <= 55; + when "1001111" => + dest <= 58; + src <= 58; + when "1010000" => + dest <= 44; + src <= 78; + when "1010001" => + dest <= 68; + src <= 52; + when "1010010" => + dest <= 24; + src <= 17; + when "1010011" => + dest <= 80; + src <= 68; + when "1010100" => + dest <= 52; + src <= 60; + when "1010101" => + dest <= 32; + src <= 37; + when "1010110" => + dest <= 63; + src <= 52; + when "1010111" => + dest <= 52; + src <= 27; + when "1011000" => + dest <= 55; + src <= 80; + when "1011001" => + dest <= 42; + src <= 24; + when "1011010" => + dest <= 36; + src <= 52; + when "1011011" => + dest <= 41; + src <= 63; + when "1011100" => + dest <= 78; + src <= 32; + when "1011101" => + dest <= 34; + src <= 62; + when "1011110" => + dest <= 54; + src <= 40; + when "1011111" => + dest <= 59; + src <= 59; + when "1100000" => + dest <= 33; + src <= 54; + when "1100001" => + dest <= 71; + src <= 70; + when "1100010" => + dest <= 25; + src <= 26; + when "1100011" => + dest <= 46; + src <= 41; + when "1100100" => + dest <= 69; + src <= 36; + when "1100101" => + dest <= 52; + src <= 71; + when "1100110" => + dest <= 79; + src <= 33; + when "1100111" => + dest <= 52; + src <= 79; + when "1101000" => + dest <= 62; + src <= 49; + when "1101001" => + dest <= 45; + src <= 35; + when "1101010" => + dest <= 60; + src <= 52; + when "1101011" => + dest <= 52; + src <= 25; + when "1101100" => + dest <= 50; + src <= 42; + when "1101101" => + dest <= 52; + src <= 50; + when "1101110" => + dest <= 52; + src <= 44; + when "1101111" => + dest <= 11; + src <= 52; + when "1110000" => + dest <= 3; + src <= 45; + when others => + dest <= 52; + src <= 52; + + end case; + end process; + + end generate NI_NUM52; + + NI_NUM53 : if NI_NUM = 53 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 50; + src <= 53; + when "0000001" => + dest <= 45; + src <= 53; + when "0000010" => + dest <= 53; + src <= 53; + when "0000011" => + dest <= 13; + src <= 53; + when "0000100" => + dest <= 8; + src <= 52; + when "0000101" => + dest <= 53; + src <= 47; + when "0000110" => + dest <= 3; + src <= 53; + when "0000111" => + dest <= 53; + src <= 53; + when "0001000" => + dest <= 21; + src <= 4; + when "0001001" => + dest <= 62; + src <= 12; + when "0001010" => + dest <= 53; + src <= 17; + when "0001011" => + dest <= 11; + src <= 53; + when "0001100" => + dest <= 49; + src <= 44; + when "0001101" => + dest <= 53; + src <= 3; + when "0001110" => + dest <= 76; + src <= 53; + when "0001111" => + dest <= 17; + src <= 53; + when "0010000" => + dest <= 52; + src <= 13; + when "0010001" => + dest <= 5; + src <= 76; + when "0010010" => + dest <= 2; + src <= 48; + when "0010011" => + dest <= 27; + src <= 45; + when "0010100" => + dest <= 53; + src <= 5; + when "0010101" => + dest <= 22; + src <= 8; + when "0010110" => + dest <= 75; + src <= 53; + when "0010111" => + dest <= 53; + src <= 21; + when "0011000" => + dest <= 14; + src <= 70; + when "0011001" => + dest <= 53; + src <= 53; + when "0011010" => + dest <= 48; + src <= 11; + when "0011011" => + dest <= 53; + src <= 14; + when "0011100" => + dest <= 30; + src <= 53; + when "0011101" => + dest <= 67; + src <= 53; + when "0011110" => + dest <= 53; + src <= 75; + when "0011111" => + dest <= 20; + src <= 22; + when "0100000" => + dest <= 77; + src <= 49; + when "0100001" => + dest <= 53; + src <= 2; + when "0100010" => + dest <= 10; + src <= 53; + when "0100011" => + dest <= 6; + src <= 53; + when "0100100" => + dest <= 53; + src <= 67; + when "0100101" => + dest <= 53; + src <= 30; + when "0100110" => + dest <= 44; + src <= 53; + when "0100111" => + dest <= 31; + src <= 77; + when "0101000" => + dest <= 74; + src <= 20; + when "0101001" => + dest <= 71; + src <= 62; + when "0101010" => + dest <= 23; + src <= 6; + when "0101011" => + dest <= 53; + src <= 10; + when "0101100" => + dest <= 1; + src <= 53; + when "0101101" => + dest <= 15; + src <= 35; + when "0101110" => + dest <= 66; + src <= 53; + when "0101111" => + dest <= 53; + src <= 66; + when "0110000" => + dest <= 53; + src <= 23; + when "0110001" => + dest <= 39; + src <= 53; + when "0110010" => + dest <= 40; + src <= 74; + when "0110011" => + dest <= 53; + src <= 53; + when "0110100" => + dest <= 29; + src <= 15; + when "0110101" => + dest <= 53; + src <= 1; + when "0110110" => + dest <= 58; + src <= 31; + when "0110111" => + dest <= 19; + src <= 53; + when "0111000" => + dest <= 53; + src <= 58; + when "0111001" => + dest <= 68; + src <= 57; + when "0111010" => + dest <= 9; + src <= 53; + when "0111011" => + dest <= 78; + src <= 68; + when "0111100" => + dest <= 53; + src <= 53; + when "0111101" => + dest <= 0; + src <= 39; + when "0111110" => + dest <= 16; + src <= 78; + when "0111111" => + dest <= 53; + src <= 53; + when "1000000" => + dest <= 73; + src <= 29; + when "1000001" => + dest <= 53; + src <= 7; + when "1000010" => + dest <= 32; + src <= 19; + when "1000011" => + dest <= 65; + src <= 53; + when "1000100" => + dest <= 24; + src <= 16; + when "1000101" => + dest <= 53; + src <= 0; + when "1000110" => + dest <= 57; + src <= 53; + when "1000111" => + dest <= 53; + src <= 24; + when "1001000" => + dest <= 41; + src <= 53; + when "1001001" => + dest <= 38; + src <= 65; + when "1001010" => + dest <= 18; + src <= 32; + when "1001011" => + dest <= 7; + src <= 73; + when "1001100" => + dest <= 53; + src <= 53; + when "1001101" => + dest <= 28; + src <= 40; + when "1001110" => + dest <= 53; + src <= 56; + when "1001111" => + dest <= 59; + src <= 59; + when "1010000" => + dest <= 36; + src <= 79; + when "1010001" => + dest <= 69; + src <= 53; + when "1010010" => + dest <= 25; + src <= 9; + when "1010011" => + dest <= 72; + src <= 69; + when "1010100" => + dest <= 53; + src <= 61; + when "1010101" => + dest <= 33; + src <= 38; + when "1010110" => + dest <= 64; + src <= 53; + when "1010111" => + dest <= 53; + src <= 28; + when "1011000" => + dest <= 56; + src <= 72; + when "1011001" => + dest <= 43; + src <= 25; + when "1011010" => + dest <= 37; + src <= 53; + when "1011011" => + dest <= 42; + src <= 64; + when "1011100" => + dest <= 79; + src <= 33; + when "1011101" => + dest <= 35; + src <= 54; + when "1011110" => + dest <= 55; + src <= 41; + when "1011111" => + dest <= 60; + src <= 60; + when "1100000" => + dest <= 34; + src <= 55; + when "1100001" => + dest <= 63; + src <= 71; + when "1100010" => + dest <= 26; + src <= 18; + when "1100011" => + dest <= 47; + src <= 42; + when "1100100" => + dest <= 70; + src <= 37; + when "1100101" => + dest <= 53; + src <= 63; + when "1100110" => + dest <= 80; + src <= 34; + when "1100111" => + dest <= 53; + src <= 80; + when "1101000" => + dest <= 54; + src <= 50; + when "1101001" => + dest <= 46; + src <= 27; + when "1101010" => + dest <= 61; + src <= 53; + when "1101011" => + dest <= 53; + src <= 26; + when "1101100" => + dest <= 51; + src <= 43; + when "1101101" => + dest <= 53; + src <= 51; + when "1101110" => + dest <= 53; + src <= 36; + when "1101111" => + dest <= 12; + src <= 53; + when "1110000" => + dest <= 4; + src <= 46; + when others => + dest <= 53; + src <= 53; + + end case; + end process; + + end generate NI_NUM53; + + NI_NUM54 : if NI_NUM = 54 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 60; + src <= 54; + when "0000001" => + dest <= 55; + src <= 54; + when "0000010" => + dest <= 54; + src <= 54; + when "0000011" => + dest <= 23; + src <= 54; + when "0000100" => + dest <= 9; + src <= 62; + when "0000101" => + dest <= 54; + src <= 57; + when "0000110" => + dest <= 13; + src <= 54; + when "0000111" => + dest <= 54; + src <= 54; + when "0001000" => + dest <= 31; + src <= 14; + when "0001001" => + dest <= 63; + src <= 22; + when "0001010" => + dest <= 54; + src <= 18; + when "0001011" => + dest <= 21; + src <= 54; + when "0001100" => + dest <= 59; + src <= 45; + when "0001101" => + dest <= 54; + src <= 13; + when "0001110" => + dest <= 5; + src <= 54; + when "0001111" => + dest <= 18; + src <= 54; + when "0010000" => + dest <= 62; + src <= 23; + when "0010001" => + dest <= 15; + src <= 5; + when "0010010" => + dest <= 12; + src <= 58; + when "0010011" => + dest <= 37; + src <= 55; + when "0010100" => + dest <= 54; + src <= 15; + when "0010101" => + dest <= 32; + src <= 9; + when "0010110" => + dest <= 4; + src <= 54; + when "0010111" => + dest <= 54; + src <= 31; + when "0011000" => + dest <= 24; + src <= 80; + when "0011001" => + dest <= 54; + src <= 54; + when "0011010" => + dest <= 58; + src <= 21; + when "0011011" => + dest <= 54; + src <= 24; + when "0011100" => + dest <= 40; + src <= 54; + when "0011101" => + dest <= 77; + src <= 54; + when "0011110" => + dest <= 54; + src <= 4; + when "0011111" => + dest <= 30; + src <= 32; + when "0100000" => + dest <= 6; + src <= 59; + when "0100001" => + dest <= 54; + src <= 12; + when "0100010" => + dest <= 20; + src <= 54; + when "0100011" => + dest <= 16; + src <= 54; + when "0100100" => + dest <= 54; + src <= 77; + when "0100101" => + dest <= 54; + src <= 40; + when "0100110" => + dest <= 45; + src <= 54; + when "0100111" => + dest <= 41; + src <= 6; + when "0101000" => + dest <= 3; + src <= 30; + when "0101001" => + dest <= 72; + src <= 63; + when "0101010" => + dest <= 33; + src <= 16; + when "0101011" => + dest <= 54; + src <= 20; + when "0101100" => + dest <= 11; + src <= 54; + when "0101101" => + dest <= 25; + src <= 36; + when "0101110" => + dest <= 76; + src <= 54; + when "0101111" => + dest <= 54; + src <= 76; + when "0110000" => + dest <= 54; + src <= 33; + when "0110001" => + dest <= 49; + src <= 54; + when "0110010" => + dest <= 50; + src <= 3; + when "0110011" => + dest <= 54; + src <= 54; + when "0110100" => + dest <= 39; + src <= 25; + when "0110101" => + dest <= 54; + src <= 11; + when "0110110" => + dest <= 68; + src <= 41; + when "0110111" => + dest <= 29; + src <= 54; + when "0111000" => + dest <= 54; + src <= 68; + when "0111001" => + dest <= 78; + src <= 67; + when "0111010" => + dest <= 19; + src <= 54; + when "0111011" => + dest <= 7; + src <= 78; + when "0111100" => + dest <= 54; + src <= 54; + when "0111101" => + dest <= 10; + src <= 49; + when "0111110" => + dest <= 26; + src <= 7; + when "0111111" => + dest <= 54; + src <= 54; + when "1000000" => + dest <= 2; + src <= 39; + when "1000001" => + dest <= 54; + src <= 17; + when "1000010" => + dest <= 42; + src <= 29; + when "1000011" => + dest <= 75; + src <= 54; + when "1000100" => + dest <= 34; + src <= 26; + when "1000101" => + dest <= 54; + src <= 10; + when "1000110" => + dest <= 67; + src <= 54; + when "1000111" => + dest <= 54; + src <= 34; + when "1001000" => + dest <= 51; + src <= 54; + when "1001001" => + dest <= 48; + src <= 75; + when "1001010" => + dest <= 28; + src <= 42; + when "1001011" => + dest <= 17; + src <= 2; + when "1001100" => + dest <= 54; + src <= 54; + when "1001101" => + dest <= 38; + src <= 50; + when "1001110" => + dest <= 54; + src <= 66; + when "1001111" => + dest <= 69; + src <= 69; + when "1010000" => + dest <= 46; + src <= 8; + when "1010001" => + dest <= 79; + src <= 54; + when "1010010" => + dest <= 35; + src <= 19; + when "1010011" => + dest <= 1; + src <= 79; + when "1010100" => + dest <= 54; + src <= 71; + when "1010101" => + dest <= 43; + src <= 48; + when "1010110" => + dest <= 74; + src <= 54; + when "1010111" => + dest <= 54; + src <= 38; + when "1011000" => + dest <= 66; + src <= 1; + when "1011001" => + dest <= 53; + src <= 35; + when "1011010" => + dest <= 47; + src <= 54; + when "1011011" => + dest <= 52; + src <= 74; + when "1011100" => + dest <= 8; + src <= 43; + when "1011101" => + dest <= 36; + src <= 64; + when "1011110" => + dest <= 65; + src <= 51; + when "1011111" => + dest <= 70; + src <= 70; + when "1100000" => + dest <= 44; + src <= 65; + when "1100001" => + dest <= 73; + src <= 72; + when "1100010" => + dest <= 27; + src <= 28; + when "1100011" => + dest <= 57; + src <= 52; + when "1100100" => + dest <= 80; + src <= 47; + when "1100101" => + dest <= 54; + src <= 73; + when "1100110" => + dest <= 0; + src <= 44; + when "1100111" => + dest <= 54; + src <= 0; + when "1101000" => + dest <= 64; + src <= 60; + when "1101001" => + dest <= 56; + src <= 37; + when "1101010" => + dest <= 71; + src <= 54; + when "1101011" => + dest <= 54; + src <= 27; + when "1101100" => + dest <= 61; + src <= 53; + when "1101101" => + dest <= 54; + src <= 61; + when "1101110" => + dest <= 54; + src <= 46; + when "1101111" => + dest <= 22; + src <= 54; + when "1110000" => + dest <= 14; + src <= 56; + when others => + dest <= 54; + src <= 54; + + end case; + end process; + + end generate NI_NUM54; + + NI_NUM55 : if NI_NUM = 55 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 61; + src <= 55; + when "0000001" => + dest <= 56; + src <= 55; + when "0000010" => + dest <= 55; + src <= 55; + when "0000011" => + dest <= 24; + src <= 55; + when "0000100" => + dest <= 10; + src <= 54; + when "0000101" => + dest <= 55; + src <= 58; + when "0000110" => + dest <= 14; + src <= 55; + when "0000111" => + dest <= 55; + src <= 55; + when "0001000" => + dest <= 32; + src <= 15; + when "0001001" => + dest <= 64; + src <= 23; + when "0001010" => + dest <= 55; + src <= 19; + when "0001011" => + dest <= 22; + src <= 55; + when "0001100" => + dest <= 60; + src <= 46; + when "0001101" => + dest <= 55; + src <= 14; + when "0001110" => + dest <= 6; + src <= 55; + when "0001111" => + dest <= 19; + src <= 55; + when "0010000" => + dest <= 54; + src <= 24; + when "0010001" => + dest <= 16; + src <= 6; + when "0010010" => + dest <= 13; + src <= 59; + when "0010011" => + dest <= 38; + src <= 56; + when "0010100" => + dest <= 55; + src <= 16; + when "0010101" => + dest <= 33; + src <= 10; + when "0010110" => + dest <= 5; + src <= 55; + when "0010111" => + dest <= 55; + src <= 32; + when "0011000" => + dest <= 25; + src <= 72; + when "0011001" => + dest <= 55; + src <= 55; + when "0011010" => + dest <= 59; + src <= 22; + when "0011011" => + dest <= 55; + src <= 25; + when "0011100" => + dest <= 41; + src <= 55; + when "0011101" => + dest <= 78; + src <= 55; + when "0011110" => + dest <= 55; + src <= 5; + when "0011111" => + dest <= 31; + src <= 33; + when "0100000" => + dest <= 7; + src <= 60; + when "0100001" => + dest <= 55; + src <= 13; + when "0100010" => + dest <= 21; + src <= 55; + when "0100011" => + dest <= 17; + src <= 55; + when "0100100" => + dest <= 55; + src <= 78; + when "0100101" => + dest <= 55; + src <= 41; + when "0100110" => + dest <= 46; + src <= 55; + when "0100111" => + dest <= 42; + src <= 7; + when "0101000" => + dest <= 4; + src <= 31; + when "0101001" => + dest <= 73; + src <= 64; + when "0101010" => + dest <= 34; + src <= 17; + when "0101011" => + dest <= 55; + src <= 21; + when "0101100" => + dest <= 12; + src <= 55; + when "0101101" => + dest <= 26; + src <= 37; + when "0101110" => + dest <= 77; + src <= 55; + when "0101111" => + dest <= 55; + src <= 77; + when "0110000" => + dest <= 55; + src <= 34; + when "0110001" => + dest <= 50; + src <= 55; + when "0110010" => + dest <= 51; + src <= 4; + when "0110011" => + dest <= 55; + src <= 55; + when "0110100" => + dest <= 40; + src <= 26; + when "0110101" => + dest <= 55; + src <= 12; + when "0110110" => + dest <= 69; + src <= 42; + when "0110111" => + dest <= 30; + src <= 55; + when "0111000" => + dest <= 55; + src <= 69; + when "0111001" => + dest <= 79; + src <= 68; + when "0111010" => + dest <= 20; + src <= 55; + when "0111011" => + dest <= 8; + src <= 79; + when "0111100" => + dest <= 55; + src <= 55; + when "0111101" => + dest <= 11; + src <= 50; + when "0111110" => + dest <= 18; + src <= 8; + when "0111111" => + dest <= 55; + src <= 55; + when "1000000" => + dest <= 3; + src <= 40; + when "1000001" => + dest <= 55; + src <= 9; + when "1000010" => + dest <= 43; + src <= 30; + when "1000011" => + dest <= 76; + src <= 55; + when "1000100" => + dest <= 35; + src <= 18; + when "1000101" => + dest <= 55; + src <= 11; + when "1000110" => + dest <= 68; + src <= 55; + when "1000111" => + dest <= 55; + src <= 35; + when "1001000" => + dest <= 52; + src <= 55; + when "1001001" => + dest <= 49; + src <= 76; + when "1001010" => + dest <= 29; + src <= 43; + when "1001011" => + dest <= 9; + src <= 3; + when "1001100" => + dest <= 55; + src <= 55; + when "1001101" => + dest <= 39; + src <= 51; + when "1001110" => + dest <= 55; + src <= 67; + when "1001111" => + dest <= 70; + src <= 70; + when "1010000" => + dest <= 47; + src <= 0; + when "1010001" => + dest <= 80; + src <= 55; + when "1010010" => + dest <= 27; + src <= 20; + when "1010011" => + dest <= 2; + src <= 80; + when "1010100" => + dest <= 55; + src <= 63; + when "1010101" => + dest <= 44; + src <= 49; + when "1010110" => + dest <= 75; + src <= 55; + when "1010111" => + dest <= 55; + src <= 39; + when "1011000" => + dest <= 67; + src <= 2; + when "1011001" => + dest <= 45; + src <= 27; + when "1011010" => + dest <= 48; + src <= 55; + when "1011011" => + dest <= 53; + src <= 75; + when "1011100" => + dest <= 0; + src <= 44; + when "1011101" => + dest <= 37; + src <= 65; + when "1011110" => + dest <= 66; + src <= 52; + when "1011111" => + dest <= 71; + src <= 71; + when "1100000" => + dest <= 36; + src <= 66; + when "1100001" => + dest <= 74; + src <= 73; + when "1100010" => + dest <= 28; + src <= 29; + when "1100011" => + dest <= 58; + src <= 53; + when "1100100" => + dest <= 72; + src <= 48; + when "1100101" => + dest <= 55; + src <= 74; + when "1100110" => + dest <= 1; + src <= 36; + when "1100111" => + dest <= 55; + src <= 1; + when "1101000" => + dest <= 65; + src <= 61; + when "1101001" => + dest <= 57; + src <= 38; + when "1101010" => + dest <= 63; + src <= 55; + when "1101011" => + dest <= 55; + src <= 28; + when "1101100" => + dest <= 62; + src <= 45; + when "1101101" => + dest <= 55; + src <= 62; + when "1101110" => + dest <= 55; + src <= 47; + when "1101111" => + dest <= 23; + src <= 55; + when "1110000" => + dest <= 15; + src <= 57; + when others => + dest <= 55; + src <= 55; + + end case; + end process; + + end generate NI_NUM55; + + NI_NUM56 : if NI_NUM = 56 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 62; + src <= 56; + when "0000001" => + dest <= 57; + src <= 56; + when "0000010" => + dest <= 56; + src <= 56; + when "0000011" => + dest <= 25; + src <= 56; + when "0000100" => + dest <= 11; + src <= 55; + when "0000101" => + dest <= 56; + src <= 59; + when "0000110" => + dest <= 15; + src <= 56; + when "0000111" => + dest <= 56; + src <= 56; + when "0001000" => + dest <= 33; + src <= 16; + when "0001001" => + dest <= 65; + src <= 24; + when "0001010" => + dest <= 56; + src <= 20; + when "0001011" => + dest <= 23; + src <= 56; + when "0001100" => + dest <= 61; + src <= 47; + when "0001101" => + dest <= 56; + src <= 15; + when "0001110" => + dest <= 7; + src <= 56; + when "0001111" => + dest <= 20; + src <= 56; + when "0010000" => + dest <= 55; + src <= 25; + when "0010001" => + dest <= 17; + src <= 7; + when "0010010" => + dest <= 14; + src <= 60; + when "0010011" => + dest <= 39; + src <= 57; + when "0010100" => + dest <= 56; + src <= 17; + when "0010101" => + dest <= 34; + src <= 11; + when "0010110" => + dest <= 6; + src <= 56; + when "0010111" => + dest <= 56; + src <= 33; + when "0011000" => + dest <= 26; + src <= 73; + when "0011001" => + dest <= 56; + src <= 56; + when "0011010" => + dest <= 60; + src <= 23; + when "0011011" => + dest <= 56; + src <= 26; + when "0011100" => + dest <= 42; + src <= 56; + when "0011101" => + dest <= 79; + src <= 56; + when "0011110" => + dest <= 56; + src <= 6; + when "0011111" => + dest <= 32; + src <= 34; + when "0100000" => + dest <= 8; + src <= 61; + when "0100001" => + dest <= 56; + src <= 14; + when "0100010" => + dest <= 22; + src <= 56; + when "0100011" => + dest <= 9; + src <= 56; + when "0100100" => + dest <= 56; + src <= 79; + when "0100101" => + dest <= 56; + src <= 42; + when "0100110" => + dest <= 47; + src <= 56; + when "0100111" => + dest <= 43; + src <= 8; + when "0101000" => + dest <= 5; + src <= 32; + when "0101001" => + dest <= 74; + src <= 65; + when "0101010" => + dest <= 35; + src <= 9; + when "0101011" => + dest <= 56; + src <= 22; + when "0101100" => + dest <= 13; + src <= 56; + when "0101101" => + dest <= 18; + src <= 38; + when "0101110" => + dest <= 78; + src <= 56; + when "0101111" => + dest <= 56; + src <= 78; + when "0110000" => + dest <= 56; + src <= 35; + when "0110001" => + dest <= 51; + src <= 56; + when "0110010" => + dest <= 52; + src <= 5; + when "0110011" => + dest <= 56; + src <= 56; + when "0110100" => + dest <= 41; + src <= 18; + when "0110101" => + dest <= 56; + src <= 13; + when "0110110" => + dest <= 70; + src <= 43; + when "0110111" => + dest <= 31; + src <= 56; + when "0111000" => + dest <= 56; + src <= 70; + when "0111001" => + dest <= 80; + src <= 69; + when "0111010" => + dest <= 21; + src <= 56; + when "0111011" => + dest <= 0; + src <= 80; + when "0111100" => + dest <= 56; + src <= 56; + when "0111101" => + dest <= 12; + src <= 51; + when "0111110" => + dest <= 19; + src <= 0; + when "0111111" => + dest <= 56; + src <= 56; + when "1000000" => + dest <= 4; + src <= 41; + when "1000001" => + dest <= 56; + src <= 10; + when "1000010" => + dest <= 44; + src <= 31; + when "1000011" => + dest <= 77; + src <= 56; + when "1000100" => + dest <= 27; + src <= 19; + when "1000101" => + dest <= 56; + src <= 12; + when "1000110" => + dest <= 69; + src <= 56; + when "1000111" => + dest <= 56; + src <= 27; + when "1001000" => + dest <= 53; + src <= 56; + when "1001001" => + dest <= 50; + src <= 77; + when "1001010" => + dest <= 30; + src <= 44; + when "1001011" => + dest <= 10; + src <= 4; + when "1001100" => + dest <= 56; + src <= 56; + when "1001101" => + dest <= 40; + src <= 52; + when "1001110" => + dest <= 56; + src <= 68; + when "1001111" => + dest <= 71; + src <= 71; + when "1010000" => + dest <= 48; + src <= 1; + when "1010001" => + dest <= 72; + src <= 56; + when "1010010" => + dest <= 28; + src <= 21; + when "1010011" => + dest <= 3; + src <= 72; + when "1010100" => + dest <= 56; + src <= 64; + when "1010101" => + dest <= 36; + src <= 50; + when "1010110" => + dest <= 76; + src <= 56; + when "1010111" => + dest <= 56; + src <= 40; + when "1011000" => + dest <= 68; + src <= 3; + when "1011001" => + dest <= 46; + src <= 28; + when "1011010" => + dest <= 49; + src <= 56; + when "1011011" => + dest <= 45; + src <= 76; + when "1011100" => + dest <= 1; + src <= 36; + when "1011101" => + dest <= 38; + src <= 66; + when "1011110" => + dest <= 67; + src <= 53; + when "1011111" => + dest <= 63; + src <= 63; + when "1100000" => + dest <= 37; + src <= 67; + when "1100001" => + dest <= 75; + src <= 74; + when "1100010" => + dest <= 29; + src <= 30; + when "1100011" => + dest <= 59; + src <= 45; + when "1100100" => + dest <= 73; + src <= 49; + when "1100101" => + dest <= 56; + src <= 75; + when "1100110" => + dest <= 2; + src <= 37; + when "1100111" => + dest <= 56; + src <= 2; + when "1101000" => + dest <= 66; + src <= 62; + when "1101001" => + dest <= 58; + src <= 39; + when "1101010" => + dest <= 64; + src <= 56; + when "1101011" => + dest <= 56; + src <= 29; + when "1101100" => + dest <= 54; + src <= 46; + when "1101101" => + dest <= 56; + src <= 54; + when "1101110" => + dest <= 56; + src <= 48; + when "1101111" => + dest <= 24; + src <= 56; + when "1110000" => + dest <= 16; + src <= 58; + when others => + dest <= 56; + src <= 56; + + end case; + end process; + + end generate NI_NUM56; + + NI_NUM57 : if NI_NUM = 57 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 54; + src <= 57; + when "0000001" => + dest <= 58; + src <= 57; + when "0000010" => + dest <= 57; + src <= 57; + when "0000011" => + dest <= 26; + src <= 57; + when "0000100" => + dest <= 12; + src <= 56; + when "0000101" => + dest <= 57; + src <= 60; + when "0000110" => + dest <= 16; + src <= 57; + when "0000111" => + dest <= 57; + src <= 57; + when "0001000" => + dest <= 34; + src <= 17; + when "0001001" => + dest <= 66; + src <= 25; + when "0001010" => + dest <= 57; + src <= 21; + when "0001011" => + dest <= 24; + src <= 57; + when "0001100" => + dest <= 62; + src <= 48; + when "0001101" => + dest <= 57; + src <= 16; + when "0001110" => + dest <= 8; + src <= 57; + when "0001111" => + dest <= 21; + src <= 57; + when "0010000" => + dest <= 56; + src <= 26; + when "0010001" => + dest <= 9; + src <= 8; + when "0010010" => + dest <= 15; + src <= 61; + when "0010011" => + dest <= 40; + src <= 58; + when "0010100" => + dest <= 57; + src <= 9; + when "0010101" => + dest <= 35; + src <= 12; + when "0010110" => + dest <= 7; + src <= 57; + when "0010111" => + dest <= 57; + src <= 34; + when "0011000" => + dest <= 18; + src <= 74; + when "0011001" => + dest <= 57; + src <= 57; + when "0011010" => + dest <= 61; + src <= 24; + when "0011011" => + dest <= 57; + src <= 18; + when "0011100" => + dest <= 43; + src <= 57; + when "0011101" => + dest <= 80; + src <= 57; + when "0011110" => + dest <= 57; + src <= 7; + when "0011111" => + dest <= 33; + src <= 35; + when "0100000" => + dest <= 0; + src <= 62; + when "0100001" => + dest <= 57; + src <= 15; + when "0100010" => + dest <= 23; + src <= 57; + when "0100011" => + dest <= 10; + src <= 57; + when "0100100" => + dest <= 57; + src <= 80; + when "0100101" => + dest <= 57; + src <= 43; + when "0100110" => + dest <= 48; + src <= 57; + when "0100111" => + dest <= 44; + src <= 0; + when "0101000" => + dest <= 6; + src <= 33; + when "0101001" => + dest <= 75; + src <= 66; + when "0101010" => + dest <= 27; + src <= 10; + when "0101011" => + dest <= 57; + src <= 23; + when "0101100" => + dest <= 14; + src <= 57; + when "0101101" => + dest <= 19; + src <= 39; + when "0101110" => + dest <= 79; + src <= 57; + when "0101111" => + dest <= 57; + src <= 79; + when "0110000" => + dest <= 57; + src <= 27; + when "0110001" => + dest <= 52; + src <= 57; + when "0110010" => + dest <= 53; + src <= 6; + when "0110011" => + dest <= 57; + src <= 57; + when "0110100" => + dest <= 42; + src <= 19; + when "0110101" => + dest <= 57; + src <= 14; + when "0110110" => + dest <= 71; + src <= 44; + when "0110111" => + dest <= 32; + src <= 57; + when "0111000" => + dest <= 57; + src <= 71; + when "0111001" => + dest <= 72; + src <= 70; + when "0111010" => + dest <= 22; + src <= 57; + when "0111011" => + dest <= 1; + src <= 72; + when "0111100" => + dest <= 57; + src <= 57; + when "0111101" => + dest <= 13; + src <= 52; + when "0111110" => + dest <= 20; + src <= 1; + when "0111111" => + dest <= 57; + src <= 57; + when "1000000" => + dest <= 5; + src <= 42; + when "1000001" => + dest <= 57; + src <= 11; + when "1000010" => + dest <= 36; + src <= 32; + when "1000011" => + dest <= 78; + src <= 57; + when "1000100" => + dest <= 28; + src <= 20; + when "1000101" => + dest <= 57; + src <= 13; + when "1000110" => + dest <= 70; + src <= 57; + when "1000111" => + dest <= 57; + src <= 28; + when "1001000" => + dest <= 45; + src <= 57; + when "1001001" => + dest <= 51; + src <= 78; + when "1001010" => + dest <= 31; + src <= 36; + when "1001011" => + dest <= 11; + src <= 5; + when "1001100" => + dest <= 57; + src <= 57; + when "1001101" => + dest <= 41; + src <= 53; + when "1001110" => + dest <= 57; + src <= 69; + when "1001111" => + dest <= 63; + src <= 63; + when "1010000" => + dest <= 49; + src <= 2; + when "1010001" => + dest <= 73; + src <= 57; + when "1010010" => + dest <= 29; + src <= 22; + when "1010011" => + dest <= 4; + src <= 73; + when "1010100" => + dest <= 57; + src <= 65; + when "1010101" => + dest <= 37; + src <= 51; + when "1010110" => + dest <= 77; + src <= 57; + when "1010111" => + dest <= 57; + src <= 41; + when "1011000" => + dest <= 69; + src <= 4; + when "1011001" => + dest <= 47; + src <= 29; + when "1011010" => + dest <= 50; + src <= 57; + when "1011011" => + dest <= 46; + src <= 77; + when "1011100" => + dest <= 2; + src <= 37; + when "1011101" => + dest <= 39; + src <= 67; + when "1011110" => + dest <= 68; + src <= 45; + when "1011111" => + dest <= 64; + src <= 64; + when "1100000" => + dest <= 38; + src <= 68; + when "1100001" => + dest <= 76; + src <= 75; + when "1100010" => + dest <= 30; + src <= 31; + when "1100011" => + dest <= 60; + src <= 46; + when "1100100" => + dest <= 74; + src <= 50; + when "1100101" => + dest <= 57; + src <= 76; + when "1100110" => + dest <= 3; + src <= 38; + when "1100111" => + dest <= 57; + src <= 3; + when "1101000" => + dest <= 67; + src <= 54; + when "1101001" => + dest <= 59; + src <= 40; + when "1101010" => + dest <= 65; + src <= 57; + when "1101011" => + dest <= 57; + src <= 30; + when "1101100" => + dest <= 55; + src <= 47; + when "1101101" => + dest <= 57; + src <= 55; + when "1101110" => + dest <= 57; + src <= 49; + when "1101111" => + dest <= 25; + src <= 57; + when "1110000" => + dest <= 17; + src <= 59; + when others => + dest <= 57; + src <= 57; + + end case; + end process; + + end generate NI_NUM57; + + NI_NUM58 : if NI_NUM = 58 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 55; + src <= 58; + when "0000001" => + dest <= 59; + src <= 58; + when "0000010" => + dest <= 58; + src <= 58; + when "0000011" => + dest <= 18; + src <= 58; + when "0000100" => + dest <= 13; + src <= 57; + when "0000101" => + dest <= 58; + src <= 61; + when "0000110" => + dest <= 17; + src <= 58; + when "0000111" => + dest <= 58; + src <= 58; + when "0001000" => + dest <= 35; + src <= 9; + when "0001001" => + dest <= 67; + src <= 26; + when "0001010" => + dest <= 58; + src <= 22; + when "0001011" => + dest <= 25; + src <= 58; + when "0001100" => + dest <= 54; + src <= 49; + when "0001101" => + dest <= 58; + src <= 17; + when "0001110" => + dest <= 0; + src <= 58; + when "0001111" => + dest <= 22; + src <= 58; + when "0010000" => + dest <= 57; + src <= 18; + when "0010001" => + dest <= 10; + src <= 0; + when "0010010" => + dest <= 16; + src <= 62; + when "0010011" => + dest <= 41; + src <= 59; + when "0010100" => + dest <= 58; + src <= 10; + when "0010101" => + dest <= 27; + src <= 13; + when "0010110" => + dest <= 8; + src <= 58; + when "0010111" => + dest <= 58; + src <= 35; + when "0011000" => + dest <= 19; + src <= 75; + when "0011001" => + dest <= 58; + src <= 58; + when "0011010" => + dest <= 62; + src <= 25; + when "0011011" => + dest <= 58; + src <= 19; + when "0011100" => + dest <= 44; + src <= 58; + when "0011101" => + dest <= 72; + src <= 58; + when "0011110" => + dest <= 58; + src <= 8; + when "0011111" => + dest <= 34; + src <= 27; + when "0100000" => + dest <= 1; + src <= 54; + when "0100001" => + dest <= 58; + src <= 16; + when "0100010" => + dest <= 24; + src <= 58; + when "0100011" => + dest <= 11; + src <= 58; + when "0100100" => + dest <= 58; + src <= 72; + when "0100101" => + dest <= 58; + src <= 44; + when "0100110" => + dest <= 49; + src <= 58; + when "0100111" => + dest <= 36; + src <= 1; + when "0101000" => + dest <= 7; + src <= 34; + when "0101001" => + dest <= 76; + src <= 67; + when "0101010" => + dest <= 28; + src <= 11; + when "0101011" => + dest <= 58; + src <= 24; + when "0101100" => + dest <= 15; + src <= 58; + when "0101101" => + dest <= 20; + src <= 40; + when "0101110" => + dest <= 80; + src <= 58; + when "0101111" => + dest <= 58; + src <= 80; + when "0110000" => + dest <= 58; + src <= 28; + when "0110001" => + dest <= 53; + src <= 58; + when "0110010" => + dest <= 45; + src <= 7; + when "0110011" => + dest <= 58; + src <= 58; + when "0110100" => + dest <= 43; + src <= 20; + when "0110101" => + dest <= 58; + src <= 15; + when "0110110" => + dest <= 63; + src <= 36; + when "0110111" => + dest <= 33; + src <= 58; + when "0111000" => + dest <= 58; + src <= 63; + when "0111001" => + dest <= 73; + src <= 71; + when "0111010" => + dest <= 23; + src <= 58; + when "0111011" => + dest <= 2; + src <= 73; + when "0111100" => + dest <= 58; + src <= 58; + when "0111101" => + dest <= 14; + src <= 53; + when "0111110" => + dest <= 21; + src <= 2; + when "0111111" => + dest <= 58; + src <= 58; + when "1000000" => + dest <= 6; + src <= 43; + when "1000001" => + dest <= 58; + src <= 12; + when "1000010" => + dest <= 37; + src <= 33; + when "1000011" => + dest <= 79; + src <= 58; + when "1000100" => + dest <= 29; + src <= 21; + when "1000101" => + dest <= 58; + src <= 14; + when "1000110" => + dest <= 71; + src <= 58; + when "1000111" => + dest <= 58; + src <= 29; + when "1001000" => + dest <= 46; + src <= 58; + when "1001001" => + dest <= 52; + src <= 79; + when "1001010" => + dest <= 32; + src <= 37; + when "1001011" => + dest <= 12; + src <= 6; + when "1001100" => + dest <= 58; + src <= 58; + when "1001101" => + dest <= 42; + src <= 45; + when "1001110" => + dest <= 58; + src <= 70; + when "1001111" => + dest <= 64; + src <= 64; + when "1010000" => + dest <= 50; + src <= 3; + when "1010001" => + dest <= 74; + src <= 58; + when "1010010" => + dest <= 30; + src <= 23; + when "1010011" => + dest <= 5; + src <= 74; + when "1010100" => + dest <= 58; + src <= 66; + when "1010101" => + dest <= 38; + src <= 52; + when "1010110" => + dest <= 78; + src <= 58; + when "1010111" => + dest <= 58; + src <= 42; + when "1011000" => + dest <= 70; + src <= 5; + when "1011001" => + dest <= 48; + src <= 30; + when "1011010" => + dest <= 51; + src <= 58; + when "1011011" => + dest <= 47; + src <= 78; + when "1011100" => + dest <= 3; + src <= 38; + when "1011101" => + dest <= 40; + src <= 68; + when "1011110" => + dest <= 69; + src <= 46; + when "1011111" => + dest <= 65; + src <= 65; + when "1100000" => + dest <= 39; + src <= 69; + when "1100001" => + dest <= 77; + src <= 76; + when "1100010" => + dest <= 31; + src <= 32; + when "1100011" => + dest <= 61; + src <= 47; + when "1100100" => + dest <= 75; + src <= 51; + when "1100101" => + dest <= 58; + src <= 77; + when "1100110" => + dest <= 4; + src <= 39; + when "1100111" => + dest <= 58; + src <= 4; + when "1101000" => + dest <= 68; + src <= 55; + when "1101001" => + dest <= 60; + src <= 41; + when "1101010" => + dest <= 66; + src <= 58; + when "1101011" => + dest <= 58; + src <= 31; + when "1101100" => + dest <= 56; + src <= 48; + when "1101101" => + dest <= 58; + src <= 56; + when "1101110" => + dest <= 58; + src <= 50; + when "1101111" => + dest <= 26; + src <= 58; + when "1110000" => + dest <= 9; + src <= 60; + when others => + dest <= 58; + src <= 58; + + end case; + end process; + + end generate NI_NUM58; + + NI_NUM59 : if NI_NUM = 59 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 56; + src <= 59; + when "0000001" => + dest <= 60; + src <= 59; + when "0000010" => + dest <= 59; + src <= 59; + when "0000011" => + dest <= 19; + src <= 59; + when "0000100" => + dest <= 14; + src <= 58; + when "0000101" => + dest <= 59; + src <= 62; + when "0000110" => + dest <= 9; + src <= 59; + when "0000111" => + dest <= 59; + src <= 59; + when "0001000" => + dest <= 27; + src <= 10; + when "0001001" => + dest <= 68; + src <= 18; + when "0001010" => + dest <= 59; + src <= 23; + when "0001011" => + dest <= 26; + src <= 59; + when "0001100" => + dest <= 55; + src <= 50; + when "0001101" => + dest <= 59; + src <= 9; + when "0001110" => + dest <= 1; + src <= 59; + when "0001111" => + dest <= 23; + src <= 59; + when "0010000" => + dest <= 58; + src <= 19; + when "0010001" => + dest <= 11; + src <= 1; + when "0010010" => + dest <= 17; + src <= 54; + when "0010011" => + dest <= 42; + src <= 60; + when "0010100" => + dest <= 59; + src <= 11; + when "0010101" => + dest <= 28; + src <= 14; + when "0010110" => + dest <= 0; + src <= 59; + when "0010111" => + dest <= 59; + src <= 27; + when "0011000" => + dest <= 20; + src <= 76; + when "0011001" => + dest <= 59; + src <= 59; + when "0011010" => + dest <= 54; + src <= 26; + when "0011011" => + dest <= 59; + src <= 20; + when "0011100" => + dest <= 36; + src <= 59; + when "0011101" => + dest <= 73; + src <= 59; + when "0011110" => + dest <= 59; + src <= 0; + when "0011111" => + dest <= 35; + src <= 28; + when "0100000" => + dest <= 2; + src <= 55; + when "0100001" => + dest <= 59; + src <= 17; + when "0100010" => + dest <= 25; + src <= 59; + when "0100011" => + dest <= 12; + src <= 59; + when "0100100" => + dest <= 59; + src <= 73; + when "0100101" => + dest <= 59; + src <= 36; + when "0100110" => + dest <= 50; + src <= 59; + when "0100111" => + dest <= 37; + src <= 2; + when "0101000" => + dest <= 8; + src <= 35; + when "0101001" => + dest <= 77; + src <= 68; + when "0101010" => + dest <= 29; + src <= 12; + when "0101011" => + dest <= 59; + src <= 25; + when "0101100" => + dest <= 16; + src <= 59; + when "0101101" => + dest <= 21; + src <= 41; + when "0101110" => + dest <= 72; + src <= 59; + when "0101111" => + dest <= 59; + src <= 72; + when "0110000" => + dest <= 59; + src <= 29; + when "0110001" => + dest <= 45; + src <= 59; + when "0110010" => + dest <= 46; + src <= 8; + when "0110011" => + dest <= 59; + src <= 59; + when "0110100" => + dest <= 44; + src <= 21; + when "0110101" => + dest <= 59; + src <= 16; + when "0110110" => + dest <= 64; + src <= 37; + when "0110111" => + dest <= 34; + src <= 59; + when "0111000" => + dest <= 59; + src <= 64; + when "0111001" => + dest <= 74; + src <= 63; + when "0111010" => + dest <= 24; + src <= 59; + when "0111011" => + dest <= 3; + src <= 74; + when "0111100" => + dest <= 59; + src <= 59; + when "0111101" => + dest <= 15; + src <= 45; + when "0111110" => + dest <= 22; + src <= 3; + when "0111111" => + dest <= 59; + src <= 59; + when "1000000" => + dest <= 7; + src <= 44; + when "1000001" => + dest <= 59; + src <= 13; + when "1000010" => + dest <= 38; + src <= 34; + when "1000011" => + dest <= 80; + src <= 59; + when "1000100" => + dest <= 30; + src <= 22; + when "1000101" => + dest <= 59; + src <= 15; + when "1000110" => + dest <= 63; + src <= 59; + when "1000111" => + dest <= 59; + src <= 30; + when "1001000" => + dest <= 47; + src <= 59; + when "1001001" => + dest <= 53; + src <= 80; + when "1001010" => + dest <= 33; + src <= 38; + when "1001011" => + dest <= 13; + src <= 7; + when "1001100" => + dest <= 59; + src <= 59; + when "1001101" => + dest <= 43; + src <= 46; + when "1001110" => + dest <= 59; + src <= 71; + when "1001111" => + dest <= 65; + src <= 65; + when "1010000" => + dest <= 51; + src <= 4; + when "1010001" => + dest <= 75; + src <= 59; + when "1010010" => + dest <= 31; + src <= 24; + when "1010011" => + dest <= 6; + src <= 75; + when "1010100" => + dest <= 59; + src <= 67; + when "1010101" => + dest <= 39; + src <= 53; + when "1010110" => + dest <= 79; + src <= 59; + when "1010111" => + dest <= 59; + src <= 43; + when "1011000" => + dest <= 71; + src <= 6; + when "1011001" => + dest <= 49; + src <= 31; + when "1011010" => + dest <= 52; + src <= 59; + when "1011011" => + dest <= 48; + src <= 79; + when "1011100" => + dest <= 4; + src <= 39; + when "1011101" => + dest <= 41; + src <= 69; + when "1011110" => + dest <= 70; + src <= 47; + when "1011111" => + dest <= 66; + src <= 66; + when "1100000" => + dest <= 40; + src <= 70; + when "1100001" => + dest <= 78; + src <= 77; + when "1100010" => + dest <= 32; + src <= 33; + when "1100011" => + dest <= 62; + src <= 48; + when "1100100" => + dest <= 76; + src <= 52; + when "1100101" => + dest <= 59; + src <= 78; + when "1100110" => + dest <= 5; + src <= 40; + when "1100111" => + dest <= 59; + src <= 5; + when "1101000" => + dest <= 69; + src <= 56; + when "1101001" => + dest <= 61; + src <= 42; + when "1101010" => + dest <= 67; + src <= 59; + when "1101011" => + dest <= 59; + src <= 32; + when "1101100" => + dest <= 57; + src <= 49; + when "1101101" => + dest <= 59; + src <= 57; + when "1101110" => + dest <= 59; + src <= 51; + when "1101111" => + dest <= 18; + src <= 59; + when "1110000" => + dest <= 10; + src <= 61; + when others => + dest <= 59; + src <= 59; + + end case; + end process; + + end generate NI_NUM59; + + NI_NUM60 : if NI_NUM = 60 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 57; + src <= 60; + when "0000001" => + dest <= 61; + src <= 60; + when "0000010" => + dest <= 60; + src <= 60; + when "0000011" => + dest <= 20; + src <= 60; + when "0000100" => + dest <= 15; + src <= 59; + when "0000101" => + dest <= 60; + src <= 54; + when "0000110" => + dest <= 10; + src <= 60; + when "0000111" => + dest <= 60; + src <= 60; + when "0001000" => + dest <= 28; + src <= 11; + when "0001001" => + dest <= 69; + src <= 19; + when "0001010" => + dest <= 60; + src <= 24; + when "0001011" => + dest <= 18; + src <= 60; + when "0001100" => + dest <= 56; + src <= 51; + when "0001101" => + dest <= 60; + src <= 10; + when "0001110" => + dest <= 2; + src <= 60; + when "0001111" => + dest <= 24; + src <= 60; + when "0010000" => + dest <= 59; + src <= 20; + when "0010001" => + dest <= 12; + src <= 2; + when "0010010" => + dest <= 9; + src <= 55; + when "0010011" => + dest <= 43; + src <= 61; + when "0010100" => + dest <= 60; + src <= 12; + when "0010101" => + dest <= 29; + src <= 15; + when "0010110" => + dest <= 1; + src <= 60; + when "0010111" => + dest <= 60; + src <= 28; + when "0011000" => + dest <= 21; + src <= 77; + when "0011001" => + dest <= 60; + src <= 60; + when "0011010" => + dest <= 55; + src <= 18; + when "0011011" => + dest <= 60; + src <= 21; + when "0011100" => + dest <= 37; + src <= 60; + when "0011101" => + dest <= 74; + src <= 60; + when "0011110" => + dest <= 60; + src <= 1; + when "0011111" => + dest <= 27; + src <= 29; + when "0100000" => + dest <= 3; + src <= 56; + when "0100001" => + dest <= 60; + src <= 9; + when "0100010" => + dest <= 26; + src <= 60; + when "0100011" => + dest <= 13; + src <= 60; + when "0100100" => + dest <= 60; + src <= 74; + when "0100101" => + dest <= 60; + src <= 37; + when "0100110" => + dest <= 51; + src <= 60; + when "0100111" => + dest <= 38; + src <= 3; + when "0101000" => + dest <= 0; + src <= 27; + when "0101001" => + dest <= 78; + src <= 69; + when "0101010" => + dest <= 30; + src <= 13; + when "0101011" => + dest <= 60; + src <= 26; + when "0101100" => + dest <= 17; + src <= 60; + when "0101101" => + dest <= 22; + src <= 42; + when "0101110" => + dest <= 73; + src <= 60; + when "0101111" => + dest <= 60; + src <= 73; + when "0110000" => + dest <= 60; + src <= 30; + when "0110001" => + dest <= 46; + src <= 60; + when "0110010" => + dest <= 47; + src <= 0; + when "0110011" => + dest <= 60; + src <= 60; + when "0110100" => + dest <= 36; + src <= 22; + when "0110101" => + dest <= 60; + src <= 17; + when "0110110" => + dest <= 65; + src <= 38; + when "0110111" => + dest <= 35; + src <= 60; + when "0111000" => + dest <= 60; + src <= 65; + when "0111001" => + dest <= 75; + src <= 64; + when "0111010" => + dest <= 25; + src <= 60; + when "0111011" => + dest <= 4; + src <= 75; + when "0111100" => + dest <= 60; + src <= 60; + when "0111101" => + dest <= 16; + src <= 46; + when "0111110" => + dest <= 23; + src <= 4; + when "0111111" => + dest <= 60; + src <= 60; + when "1000000" => + dest <= 8; + src <= 36; + when "1000001" => + dest <= 60; + src <= 14; + when "1000010" => + dest <= 39; + src <= 35; + when "1000011" => + dest <= 72; + src <= 60; + when "1000100" => + dest <= 31; + src <= 23; + when "1000101" => + dest <= 60; + src <= 16; + when "1000110" => + dest <= 64; + src <= 60; + when "1000111" => + dest <= 60; + src <= 31; + when "1001000" => + dest <= 48; + src <= 60; + when "1001001" => + dest <= 45; + src <= 72; + when "1001010" => + dest <= 34; + src <= 39; + when "1001011" => + dest <= 14; + src <= 8; + when "1001100" => + dest <= 60; + src <= 60; + when "1001101" => + dest <= 44; + src <= 47; + when "1001110" => + dest <= 60; + src <= 63; + when "1001111" => + dest <= 66; + src <= 66; + when "1010000" => + dest <= 52; + src <= 5; + when "1010001" => + dest <= 76; + src <= 60; + when "1010010" => + dest <= 32; + src <= 25; + when "1010011" => + dest <= 7; + src <= 76; + when "1010100" => + dest <= 60; + src <= 68; + when "1010101" => + dest <= 40; + src <= 45; + when "1010110" => + dest <= 80; + src <= 60; + when "1010111" => + dest <= 60; + src <= 44; + when "1011000" => + dest <= 63; + src <= 7; + when "1011001" => + dest <= 50; + src <= 32; + when "1011010" => + dest <= 53; + src <= 60; + when "1011011" => + dest <= 49; + src <= 80; + when "1011100" => + dest <= 5; + src <= 40; + when "1011101" => + dest <= 42; + src <= 70; + when "1011110" => + dest <= 71; + src <= 48; + when "1011111" => + dest <= 67; + src <= 67; + when "1100000" => + dest <= 41; + src <= 71; + when "1100001" => + dest <= 79; + src <= 78; + when "1100010" => + dest <= 33; + src <= 34; + when "1100011" => + dest <= 54; + src <= 49; + when "1100100" => + dest <= 77; + src <= 53; + when "1100101" => + dest <= 60; + src <= 79; + when "1100110" => + dest <= 6; + src <= 41; + when "1100111" => + dest <= 60; + src <= 6; + when "1101000" => + dest <= 70; + src <= 57; + when "1101001" => + dest <= 62; + src <= 43; + when "1101010" => + dest <= 68; + src <= 60; + when "1101011" => + dest <= 60; + src <= 33; + when "1101100" => + dest <= 58; + src <= 50; + when "1101101" => + dest <= 60; + src <= 58; + when "1101110" => + dest <= 60; + src <= 52; + when "1101111" => + dest <= 19; + src <= 60; + when "1110000" => + dest <= 11; + src <= 62; + when others => + dest <= 60; + src <= 60; + + end case; + end process; + + end generate NI_NUM60; + + NI_NUM61 : if NI_NUM = 61 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 58; + src <= 61; + when "0000001" => + dest <= 62; + src <= 61; + when "0000010" => + dest <= 61; + src <= 61; + when "0000011" => + dest <= 21; + src <= 61; + when "0000100" => + dest <= 16; + src <= 60; + when "0000101" => + dest <= 61; + src <= 55; + when "0000110" => + dest <= 11; + src <= 61; + when "0000111" => + dest <= 61; + src <= 61; + when "0001000" => + dest <= 29; + src <= 12; + when "0001001" => + dest <= 70; + src <= 20; + when "0001010" => + dest <= 61; + src <= 25; + when "0001011" => + dest <= 19; + src <= 61; + when "0001100" => + dest <= 57; + src <= 52; + when "0001101" => + dest <= 61; + src <= 11; + when "0001110" => + dest <= 3; + src <= 61; + when "0001111" => + dest <= 25; + src <= 61; + when "0010000" => + dest <= 60; + src <= 21; + when "0010001" => + dest <= 13; + src <= 3; + when "0010010" => + dest <= 10; + src <= 56; + when "0010011" => + dest <= 44; + src <= 62; + when "0010100" => + dest <= 61; + src <= 13; + when "0010101" => + dest <= 30; + src <= 16; + when "0010110" => + dest <= 2; + src <= 61; + when "0010111" => + dest <= 61; + src <= 29; + when "0011000" => + dest <= 22; + src <= 78; + when "0011001" => + dest <= 61; + src <= 61; + when "0011010" => + dest <= 56; + src <= 19; + when "0011011" => + dest <= 61; + src <= 22; + when "0011100" => + dest <= 38; + src <= 61; + when "0011101" => + dest <= 75; + src <= 61; + when "0011110" => + dest <= 61; + src <= 2; + when "0011111" => + dest <= 28; + src <= 30; + when "0100000" => + dest <= 4; + src <= 57; + when "0100001" => + dest <= 61; + src <= 10; + when "0100010" => + dest <= 18; + src <= 61; + when "0100011" => + dest <= 14; + src <= 61; + when "0100100" => + dest <= 61; + src <= 75; + when "0100101" => + dest <= 61; + src <= 38; + when "0100110" => + dest <= 52; + src <= 61; + when "0100111" => + dest <= 39; + src <= 4; + when "0101000" => + dest <= 1; + src <= 28; + when "0101001" => + dest <= 79; + src <= 70; + when "0101010" => + dest <= 31; + src <= 14; + when "0101011" => + dest <= 61; + src <= 18; + when "0101100" => + dest <= 9; + src <= 61; + when "0101101" => + dest <= 23; + src <= 43; + when "0101110" => + dest <= 74; + src <= 61; + when "0101111" => + dest <= 61; + src <= 74; + when "0110000" => + dest <= 61; + src <= 31; + when "0110001" => + dest <= 47; + src <= 61; + when "0110010" => + dest <= 48; + src <= 1; + when "0110011" => + dest <= 61; + src <= 61; + when "0110100" => + dest <= 37; + src <= 23; + when "0110101" => + dest <= 61; + src <= 9; + when "0110110" => + dest <= 66; + src <= 39; + when "0110111" => + dest <= 27; + src <= 61; + when "0111000" => + dest <= 61; + src <= 66; + when "0111001" => + dest <= 76; + src <= 65; + when "0111010" => + dest <= 26; + src <= 61; + when "0111011" => + dest <= 5; + src <= 76; + when "0111100" => + dest <= 61; + src <= 61; + when "0111101" => + dest <= 17; + src <= 47; + when "0111110" => + dest <= 24; + src <= 5; + when "0111111" => + dest <= 61; + src <= 61; + when "1000000" => + dest <= 0; + src <= 37; + when "1000001" => + dest <= 61; + src <= 15; + when "1000010" => + dest <= 40; + src <= 27; + when "1000011" => + dest <= 73; + src <= 61; + when "1000100" => + dest <= 32; + src <= 24; + when "1000101" => + dest <= 61; + src <= 17; + when "1000110" => + dest <= 65; + src <= 61; + when "1000111" => + dest <= 61; + src <= 32; + when "1001000" => + dest <= 49; + src <= 61; + when "1001001" => + dest <= 46; + src <= 73; + when "1001010" => + dest <= 35; + src <= 40; + when "1001011" => + dest <= 15; + src <= 0; + when "1001100" => + dest <= 61; + src <= 61; + when "1001101" => + dest <= 36; + src <= 48; + when "1001110" => + dest <= 61; + src <= 64; + when "1001111" => + dest <= 67; + src <= 67; + when "1010000" => + dest <= 53; + src <= 6; + when "1010001" => + dest <= 77; + src <= 61; + when "1010010" => + dest <= 33; + src <= 26; + when "1010011" => + dest <= 8; + src <= 77; + when "1010100" => + dest <= 61; + src <= 69; + when "1010101" => + dest <= 41; + src <= 46; + when "1010110" => + dest <= 72; + src <= 61; + when "1010111" => + dest <= 61; + src <= 36; + when "1011000" => + dest <= 64; + src <= 8; + when "1011001" => + dest <= 51; + src <= 33; + when "1011010" => + dest <= 45; + src <= 61; + when "1011011" => + dest <= 50; + src <= 72; + when "1011100" => + dest <= 6; + src <= 41; + when "1011101" => + dest <= 43; + src <= 71; + when "1011110" => + dest <= 63; + src <= 49; + when "1011111" => + dest <= 68; + src <= 68; + when "1100000" => + dest <= 42; + src <= 63; + when "1100001" => + dest <= 80; + src <= 79; + when "1100010" => + dest <= 34; + src <= 35; + when "1100011" => + dest <= 55; + src <= 50; + when "1100100" => + dest <= 78; + src <= 45; + when "1100101" => + dest <= 61; + src <= 80; + when "1100110" => + dest <= 7; + src <= 42; + when "1100111" => + dest <= 61; + src <= 7; + when "1101000" => + dest <= 71; + src <= 58; + when "1101001" => + dest <= 54; + src <= 44; + when "1101010" => + dest <= 69; + src <= 61; + when "1101011" => + dest <= 61; + src <= 34; + when "1101100" => + dest <= 59; + src <= 51; + when "1101101" => + dest <= 61; + src <= 59; + when "1101110" => + dest <= 61; + src <= 53; + when "1101111" => + dest <= 20; + src <= 61; + when "1110000" => + dest <= 12; + src <= 54; + when others => + dest <= 61; + src <= 61; + + end case; + end process; + + end generate NI_NUM61; + + NI_NUM62 : if NI_NUM = 62 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 59; + src <= 62; + when "0000001" => + dest <= 54; + src <= 62; + when "0000010" => + dest <= 62; + src <= 62; + when "0000011" => + dest <= 22; + src <= 62; + when "0000100" => + dest <= 17; + src <= 61; + when "0000101" => + dest <= 62; + src <= 56; + when "0000110" => + dest <= 12; + src <= 62; + when "0000111" => + dest <= 62; + src <= 62; + when "0001000" => + dest <= 30; + src <= 13; + when "0001001" => + dest <= 71; + src <= 21; + when "0001010" => + dest <= 62; + src <= 26; + when "0001011" => + dest <= 20; + src <= 62; + when "0001100" => + dest <= 58; + src <= 53; + when "0001101" => + dest <= 62; + src <= 12; + when "0001110" => + dest <= 4; + src <= 62; + when "0001111" => + dest <= 26; + src <= 62; + when "0010000" => + dest <= 61; + src <= 22; + when "0010001" => + dest <= 14; + src <= 4; + when "0010010" => + dest <= 11; + src <= 57; + when "0010011" => + dest <= 36; + src <= 54; + when "0010100" => + dest <= 62; + src <= 14; + when "0010101" => + dest <= 31; + src <= 17; + when "0010110" => + dest <= 3; + src <= 62; + when "0010111" => + dest <= 62; + src <= 30; + when "0011000" => + dest <= 23; + src <= 79; + when "0011001" => + dest <= 62; + src <= 62; + when "0011010" => + dest <= 57; + src <= 20; + when "0011011" => + dest <= 62; + src <= 23; + when "0011100" => + dest <= 39; + src <= 62; + when "0011101" => + dest <= 76; + src <= 62; + when "0011110" => + dest <= 62; + src <= 3; + when "0011111" => + dest <= 29; + src <= 31; + when "0100000" => + dest <= 5; + src <= 58; + when "0100001" => + dest <= 62; + src <= 11; + when "0100010" => + dest <= 19; + src <= 62; + when "0100011" => + dest <= 15; + src <= 62; + when "0100100" => + dest <= 62; + src <= 76; + when "0100101" => + dest <= 62; + src <= 39; + when "0100110" => + dest <= 53; + src <= 62; + when "0100111" => + dest <= 40; + src <= 5; + when "0101000" => + dest <= 2; + src <= 29; + when "0101001" => + dest <= 80; + src <= 71; + when "0101010" => + dest <= 32; + src <= 15; + when "0101011" => + dest <= 62; + src <= 19; + when "0101100" => + dest <= 10; + src <= 62; + when "0101101" => + dest <= 24; + src <= 44; + when "0101110" => + dest <= 75; + src <= 62; + when "0101111" => + dest <= 62; + src <= 75; + when "0110000" => + dest <= 62; + src <= 32; + when "0110001" => + dest <= 48; + src <= 62; + when "0110010" => + dest <= 49; + src <= 2; + when "0110011" => + dest <= 62; + src <= 62; + when "0110100" => + dest <= 38; + src <= 24; + when "0110101" => + dest <= 62; + src <= 10; + when "0110110" => + dest <= 67; + src <= 40; + when "0110111" => + dest <= 28; + src <= 62; + when "0111000" => + dest <= 62; + src <= 67; + when "0111001" => + dest <= 77; + src <= 66; + when "0111010" => + dest <= 18; + src <= 62; + when "0111011" => + dest <= 6; + src <= 77; + when "0111100" => + dest <= 62; + src <= 62; + when "0111101" => + dest <= 9; + src <= 48; + when "0111110" => + dest <= 25; + src <= 6; + when "0111111" => + dest <= 62; + src <= 62; + when "1000000" => + dest <= 1; + src <= 38; + when "1000001" => + dest <= 62; + src <= 16; + when "1000010" => + dest <= 41; + src <= 28; + when "1000011" => + dest <= 74; + src <= 62; + when "1000100" => + dest <= 33; + src <= 25; + when "1000101" => + dest <= 62; + src <= 9; + when "1000110" => + dest <= 66; + src <= 62; + when "1000111" => + dest <= 62; + src <= 33; + when "1001000" => + dest <= 50; + src <= 62; + when "1001001" => + dest <= 47; + src <= 74; + when "1001010" => + dest <= 27; + src <= 41; + when "1001011" => + dest <= 16; + src <= 1; + when "1001100" => + dest <= 62; + src <= 62; + when "1001101" => + dest <= 37; + src <= 49; + when "1001110" => + dest <= 62; + src <= 65; + when "1001111" => + dest <= 68; + src <= 68; + when "1010000" => + dest <= 45; + src <= 7; + when "1010001" => + dest <= 78; + src <= 62; + when "1010010" => + dest <= 34; + src <= 18; + when "1010011" => + dest <= 0; + src <= 78; + when "1010100" => + dest <= 62; + src <= 70; + when "1010101" => + dest <= 42; + src <= 47; + when "1010110" => + dest <= 73; + src <= 62; + when "1010111" => + dest <= 62; + src <= 37; + when "1011000" => + dest <= 65; + src <= 0; + when "1011001" => + dest <= 52; + src <= 34; + when "1011010" => + dest <= 46; + src <= 62; + when "1011011" => + dest <= 51; + src <= 73; + when "1011100" => + dest <= 7; + src <= 42; + when "1011101" => + dest <= 44; + src <= 63; + when "1011110" => + dest <= 64; + src <= 50; + when "1011111" => + dest <= 69; + src <= 69; + when "1100000" => + dest <= 43; + src <= 64; + when "1100001" => + dest <= 72; + src <= 80; + when "1100010" => + dest <= 35; + src <= 27; + when "1100011" => + dest <= 56; + src <= 51; + when "1100100" => + dest <= 79; + src <= 46; + when "1100101" => + dest <= 62; + src <= 72; + when "1100110" => + dest <= 8; + src <= 43; + when "1100111" => + dest <= 62; + src <= 8; + when "1101000" => + dest <= 63; + src <= 59; + when "1101001" => + dest <= 55; + src <= 36; + when "1101010" => + dest <= 70; + src <= 62; + when "1101011" => + dest <= 62; + src <= 35; + when "1101100" => + dest <= 60; + src <= 52; + when "1101101" => + dest <= 62; + src <= 60; + when "1101110" => + dest <= 62; + src <= 45; + when "1101111" => + dest <= 21; + src <= 62; + when "1110000" => + dest <= 13; + src <= 55; + when others => + dest <= 62; + src <= 62; + + end case; + end process; + + end generate NI_NUM62; + + NI_NUM63 : if NI_NUM = 63 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 69; + src <= 63; + when "0000001" => + dest <= 64; + src <= 63; + when "0000010" => + dest <= 63; + src <= 63; + when "0000011" => + dest <= 32; + src <= 63; + when "0000100" => + dest <= 18; + src <= 71; + when "0000101" => + dest <= 63; + src <= 66; + when "0000110" => + dest <= 22; + src <= 63; + when "0000111" => + dest <= 63; + src <= 63; + when "0001000" => + dest <= 40; + src <= 23; + when "0001001" => + dest <= 72; + src <= 31; + when "0001010" => + dest <= 63; + src <= 27; + when "0001011" => + dest <= 30; + src <= 63; + when "0001100" => + dest <= 68; + src <= 54; + when "0001101" => + dest <= 63; + src <= 22; + when "0001110" => + dest <= 14; + src <= 63; + when "0001111" => + dest <= 27; + src <= 63; + when "0010000" => + dest <= 71; + src <= 32; + when "0010001" => + dest <= 24; + src <= 14; + when "0010010" => + dest <= 21; + src <= 67; + when "0010011" => + dest <= 46; + src <= 64; + when "0010100" => + dest <= 63; + src <= 24; + when "0010101" => + dest <= 41; + src <= 18; + when "0010110" => + dest <= 13; + src <= 63; + when "0010111" => + dest <= 63; + src <= 40; + when "0011000" => + dest <= 33; + src <= 8; + when "0011001" => + dest <= 63; + src <= 63; + when "0011010" => + dest <= 67; + src <= 30; + when "0011011" => + dest <= 63; + src <= 33; + when "0011100" => + dest <= 49; + src <= 63; + when "0011101" => + dest <= 5; + src <= 63; + when "0011110" => + dest <= 63; + src <= 13; + when "0011111" => + dest <= 39; + src <= 41; + when "0100000" => + dest <= 15; + src <= 68; + when "0100001" => + dest <= 63; + src <= 21; + when "0100010" => + dest <= 29; + src <= 63; + when "0100011" => + dest <= 25; + src <= 63; + when "0100100" => + dest <= 63; + src <= 5; + when "0100101" => + dest <= 63; + src <= 49; + when "0100110" => + dest <= 54; + src <= 63; + when "0100111" => + dest <= 50; + src <= 15; + when "0101000" => + dest <= 12; + src <= 39; + when "0101001" => + dest <= 0; + src <= 72; + when "0101010" => + dest <= 42; + src <= 25; + when "0101011" => + dest <= 63; + src <= 29; + when "0101100" => + dest <= 20; + src <= 63; + when "0101101" => + dest <= 34; + src <= 45; + when "0101110" => + dest <= 4; + src <= 63; + when "0101111" => + dest <= 63; + src <= 4; + when "0110000" => + dest <= 63; + src <= 42; + when "0110001" => + dest <= 58; + src <= 63; + when "0110010" => + dest <= 59; + src <= 12; + when "0110011" => + dest <= 63; + src <= 63; + when "0110100" => + dest <= 48; + src <= 34; + when "0110101" => + dest <= 63; + src <= 20; + when "0110110" => + dest <= 77; + src <= 50; + when "0110111" => + dest <= 38; + src <= 63; + when "0111000" => + dest <= 63; + src <= 77; + when "0111001" => + dest <= 6; + src <= 76; + when "0111010" => + dest <= 28; + src <= 63; + when "0111011" => + dest <= 16; + src <= 6; + when "0111100" => + dest <= 63; + src <= 63; + when "0111101" => + dest <= 19; + src <= 58; + when "0111110" => + dest <= 35; + src <= 16; + when "0111111" => + dest <= 63; + src <= 63; + when "1000000" => + dest <= 11; + src <= 48; + when "1000001" => + dest <= 63; + src <= 26; + when "1000010" => + dest <= 51; + src <= 38; + when "1000011" => + dest <= 3; + src <= 63; + when "1000100" => + dest <= 43; + src <= 35; + when "1000101" => + dest <= 63; + src <= 19; + when "1000110" => + dest <= 76; + src <= 63; + when "1000111" => + dest <= 63; + src <= 43; + when "1001000" => + dest <= 60; + src <= 63; + when "1001001" => + dest <= 57; + src <= 3; + when "1001010" => + dest <= 37; + src <= 51; + when "1001011" => + dest <= 26; + src <= 11; + when "1001100" => + dest <= 63; + src <= 63; + when "1001101" => + dest <= 47; + src <= 59; + when "1001110" => + dest <= 63; + src <= 75; + when "1001111" => + dest <= 78; + src <= 78; + when "1010000" => + dest <= 55; + src <= 17; + when "1010001" => + dest <= 7; + src <= 63; + when "1010010" => + dest <= 44; + src <= 28; + when "1010011" => + dest <= 10; + src <= 7; + when "1010100" => + dest <= 63; + src <= 80; + when "1010101" => + dest <= 52; + src <= 57; + when "1010110" => + dest <= 2; + src <= 63; + when "1010111" => + dest <= 63; + src <= 47; + when "1011000" => + dest <= 75; + src <= 10; + when "1011001" => + dest <= 62; + src <= 44; + when "1011010" => + dest <= 56; + src <= 63; + when "1011011" => + dest <= 61; + src <= 2; + when "1011100" => + dest <= 17; + src <= 52; + when "1011101" => + dest <= 45; + src <= 73; + when "1011110" => + dest <= 74; + src <= 60; + when "1011111" => + dest <= 79; + src <= 79; + when "1100000" => + dest <= 53; + src <= 74; + when "1100001" => + dest <= 1; + src <= 0; + when "1100010" => + dest <= 36; + src <= 37; + when "1100011" => + dest <= 66; + src <= 61; + when "1100100" => + dest <= 8; + src <= 56; + when "1100101" => + dest <= 63; + src <= 1; + when "1100110" => + dest <= 9; + src <= 53; + when "1100111" => + dest <= 63; + src <= 9; + when "1101000" => + dest <= 73; + src <= 69; + when "1101001" => + dest <= 65; + src <= 46; + when "1101010" => + dest <= 80; + src <= 63; + when "1101011" => + dest <= 63; + src <= 36; + when "1101100" => + dest <= 70; + src <= 62; + when "1101101" => + dest <= 63; + src <= 70; + when "1101110" => + dest <= 63; + src <= 55; + when "1101111" => + dest <= 31; + src <= 63; + when "1110000" => + dest <= 23; + src <= 65; + when others => + dest <= 63; + src <= 63; + + end case; + end process; + + end generate NI_NUM63; + + NI_NUM64 : if NI_NUM = 64 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 70; + src <= 64; + when "0000001" => + dest <= 65; + src <= 64; + when "0000010" => + dest <= 64; + src <= 64; + when "0000011" => + dest <= 33; + src <= 64; + when "0000100" => + dest <= 19; + src <= 63; + when "0000101" => + dest <= 64; + src <= 67; + when "0000110" => + dest <= 23; + src <= 64; + when "0000111" => + dest <= 64; + src <= 64; + when "0001000" => + dest <= 41; + src <= 24; + when "0001001" => + dest <= 73; + src <= 32; + when "0001010" => + dest <= 64; + src <= 28; + when "0001011" => + dest <= 31; + src <= 64; + when "0001100" => + dest <= 69; + src <= 55; + when "0001101" => + dest <= 64; + src <= 23; + when "0001110" => + dest <= 15; + src <= 64; + when "0001111" => + dest <= 28; + src <= 64; + when "0010000" => + dest <= 63; + src <= 33; + when "0010001" => + dest <= 25; + src <= 15; + when "0010010" => + dest <= 22; + src <= 68; + when "0010011" => + dest <= 47; + src <= 65; + when "0010100" => + dest <= 64; + src <= 25; + when "0010101" => + dest <= 42; + src <= 19; + when "0010110" => + dest <= 14; + src <= 64; + when "0010111" => + dest <= 64; + src <= 41; + when "0011000" => + dest <= 34; + src <= 0; + when "0011001" => + dest <= 64; + src <= 64; + when "0011010" => + dest <= 68; + src <= 31; + when "0011011" => + dest <= 64; + src <= 34; + when "0011100" => + dest <= 50; + src <= 64; + when "0011101" => + dest <= 6; + src <= 64; + when "0011110" => + dest <= 64; + src <= 14; + when "0011111" => + dest <= 40; + src <= 42; + when "0100000" => + dest <= 16; + src <= 69; + when "0100001" => + dest <= 64; + src <= 22; + when "0100010" => + dest <= 30; + src <= 64; + when "0100011" => + dest <= 26; + src <= 64; + when "0100100" => + dest <= 64; + src <= 6; + when "0100101" => + dest <= 64; + src <= 50; + when "0100110" => + dest <= 55; + src <= 64; + when "0100111" => + dest <= 51; + src <= 16; + when "0101000" => + dest <= 13; + src <= 40; + when "0101001" => + dest <= 1; + src <= 73; + when "0101010" => + dest <= 43; + src <= 26; + when "0101011" => + dest <= 64; + src <= 30; + when "0101100" => + dest <= 21; + src <= 64; + when "0101101" => + dest <= 35; + src <= 46; + when "0101110" => + dest <= 5; + src <= 64; + when "0101111" => + dest <= 64; + src <= 5; + when "0110000" => + dest <= 64; + src <= 43; + when "0110001" => + dest <= 59; + src <= 64; + when "0110010" => + dest <= 60; + src <= 13; + when "0110011" => + dest <= 64; + src <= 64; + when "0110100" => + dest <= 49; + src <= 35; + when "0110101" => + dest <= 64; + src <= 21; + when "0110110" => + dest <= 78; + src <= 51; + when "0110111" => + dest <= 39; + src <= 64; + when "0111000" => + dest <= 64; + src <= 78; + when "0111001" => + dest <= 7; + src <= 77; + when "0111010" => + dest <= 29; + src <= 64; + when "0111011" => + dest <= 17; + src <= 7; + when "0111100" => + dest <= 64; + src <= 64; + when "0111101" => + dest <= 20; + src <= 59; + when "0111110" => + dest <= 27; + src <= 17; + when "0111111" => + dest <= 64; + src <= 64; + when "1000000" => + dest <= 12; + src <= 49; + when "1000001" => + dest <= 64; + src <= 18; + when "1000010" => + dest <= 52; + src <= 39; + when "1000011" => + dest <= 4; + src <= 64; + when "1000100" => + dest <= 44; + src <= 27; + when "1000101" => + dest <= 64; + src <= 20; + when "1000110" => + dest <= 77; + src <= 64; + when "1000111" => + dest <= 64; + src <= 44; + when "1001000" => + dest <= 61; + src <= 64; + when "1001001" => + dest <= 58; + src <= 4; + when "1001010" => + dest <= 38; + src <= 52; + when "1001011" => + dest <= 18; + src <= 12; + when "1001100" => + dest <= 64; + src <= 64; + when "1001101" => + dest <= 48; + src <= 60; + when "1001110" => + dest <= 64; + src <= 76; + when "1001111" => + dest <= 79; + src <= 79; + when "1010000" => + dest <= 56; + src <= 9; + when "1010001" => + dest <= 8; + src <= 64; + when "1010010" => + dest <= 36; + src <= 29; + when "1010011" => + dest <= 11; + src <= 8; + when "1010100" => + dest <= 64; + src <= 72; + when "1010101" => + dest <= 53; + src <= 58; + when "1010110" => + dest <= 3; + src <= 64; + when "1010111" => + dest <= 64; + src <= 48; + when "1011000" => + dest <= 76; + src <= 11; + when "1011001" => + dest <= 54; + src <= 36; + when "1011010" => + dest <= 57; + src <= 64; + when "1011011" => + dest <= 62; + src <= 3; + when "1011100" => + dest <= 9; + src <= 53; + when "1011101" => + dest <= 46; + src <= 74; + when "1011110" => + dest <= 75; + src <= 61; + when "1011111" => + dest <= 80; + src <= 80; + when "1100000" => + dest <= 45; + src <= 75; + when "1100001" => + dest <= 2; + src <= 1; + when "1100010" => + dest <= 37; + src <= 38; + when "1100011" => + dest <= 67; + src <= 62; + when "1100100" => + dest <= 0; + src <= 57; + when "1100101" => + dest <= 64; + src <= 2; + when "1100110" => + dest <= 10; + src <= 45; + when "1100111" => + dest <= 64; + src <= 10; + when "1101000" => + dest <= 74; + src <= 70; + when "1101001" => + dest <= 66; + src <= 47; + when "1101010" => + dest <= 72; + src <= 64; + when "1101011" => + dest <= 64; + src <= 37; + when "1101100" => + dest <= 71; + src <= 54; + when "1101101" => + dest <= 64; + src <= 71; + when "1101110" => + dest <= 64; + src <= 56; + when "1101111" => + dest <= 32; + src <= 64; + when "1110000" => + dest <= 24; + src <= 66; + when others => + dest <= 64; + src <= 64; + + end case; + end process; + + end generate NI_NUM64; + + NI_NUM65 : if NI_NUM = 65 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 71; + src <= 65; + when "0000001" => + dest <= 66; + src <= 65; + when "0000010" => + dest <= 65; + src <= 65; + when "0000011" => + dest <= 34; + src <= 65; + when "0000100" => + dest <= 20; + src <= 64; + when "0000101" => + dest <= 65; + src <= 68; + when "0000110" => + dest <= 24; + src <= 65; + when "0000111" => + dest <= 65; + src <= 65; + when "0001000" => + dest <= 42; + src <= 25; + when "0001001" => + dest <= 74; + src <= 33; + when "0001010" => + dest <= 65; + src <= 29; + when "0001011" => + dest <= 32; + src <= 65; + when "0001100" => + dest <= 70; + src <= 56; + when "0001101" => + dest <= 65; + src <= 24; + when "0001110" => + dest <= 16; + src <= 65; + when "0001111" => + dest <= 29; + src <= 65; + when "0010000" => + dest <= 64; + src <= 34; + when "0010001" => + dest <= 26; + src <= 16; + when "0010010" => + dest <= 23; + src <= 69; + when "0010011" => + dest <= 48; + src <= 66; + when "0010100" => + dest <= 65; + src <= 26; + when "0010101" => + dest <= 43; + src <= 20; + when "0010110" => + dest <= 15; + src <= 65; + when "0010111" => + dest <= 65; + src <= 42; + when "0011000" => + dest <= 35; + src <= 1; + when "0011001" => + dest <= 65; + src <= 65; + when "0011010" => + dest <= 69; + src <= 32; + when "0011011" => + dest <= 65; + src <= 35; + when "0011100" => + dest <= 51; + src <= 65; + when "0011101" => + dest <= 7; + src <= 65; + when "0011110" => + dest <= 65; + src <= 15; + when "0011111" => + dest <= 41; + src <= 43; + when "0100000" => + dest <= 17; + src <= 70; + when "0100001" => + dest <= 65; + src <= 23; + when "0100010" => + dest <= 31; + src <= 65; + when "0100011" => + dest <= 18; + src <= 65; + when "0100100" => + dest <= 65; + src <= 7; + when "0100101" => + dest <= 65; + src <= 51; + when "0100110" => + dest <= 56; + src <= 65; + when "0100111" => + dest <= 52; + src <= 17; + when "0101000" => + dest <= 14; + src <= 41; + when "0101001" => + dest <= 2; + src <= 74; + when "0101010" => + dest <= 44; + src <= 18; + when "0101011" => + dest <= 65; + src <= 31; + when "0101100" => + dest <= 22; + src <= 65; + when "0101101" => + dest <= 27; + src <= 47; + when "0101110" => + dest <= 6; + src <= 65; + when "0101111" => + dest <= 65; + src <= 6; + when "0110000" => + dest <= 65; + src <= 44; + when "0110001" => + dest <= 60; + src <= 65; + when "0110010" => + dest <= 61; + src <= 14; + when "0110011" => + dest <= 65; + src <= 65; + when "0110100" => + dest <= 50; + src <= 27; + when "0110101" => + dest <= 65; + src <= 22; + when "0110110" => + dest <= 79; + src <= 52; + when "0110111" => + dest <= 40; + src <= 65; + when "0111000" => + dest <= 65; + src <= 79; + when "0111001" => + dest <= 8; + src <= 78; + when "0111010" => + dest <= 30; + src <= 65; + when "0111011" => + dest <= 9; + src <= 8; + when "0111100" => + dest <= 65; + src <= 65; + when "0111101" => + dest <= 21; + src <= 60; + when "0111110" => + dest <= 28; + src <= 9; + when "0111111" => + dest <= 65; + src <= 65; + when "1000000" => + dest <= 13; + src <= 50; + when "1000001" => + dest <= 65; + src <= 19; + when "1000010" => + dest <= 53; + src <= 40; + when "1000011" => + dest <= 5; + src <= 65; + when "1000100" => + dest <= 36; + src <= 28; + when "1000101" => + dest <= 65; + src <= 21; + when "1000110" => + dest <= 78; + src <= 65; + when "1000111" => + dest <= 65; + src <= 36; + when "1001000" => + dest <= 62; + src <= 65; + when "1001001" => + dest <= 59; + src <= 5; + when "1001010" => + dest <= 39; + src <= 53; + when "1001011" => + dest <= 19; + src <= 13; + when "1001100" => + dest <= 65; + src <= 65; + when "1001101" => + dest <= 49; + src <= 61; + when "1001110" => + dest <= 65; + src <= 77; + when "1001111" => + dest <= 80; + src <= 80; + when "1010000" => + dest <= 57; + src <= 10; + when "1010001" => + dest <= 0; + src <= 65; + when "1010010" => + dest <= 37; + src <= 30; + when "1010011" => + dest <= 12; + src <= 0; + when "1010100" => + dest <= 65; + src <= 73; + when "1010101" => + dest <= 45; + src <= 59; + when "1010110" => + dest <= 4; + src <= 65; + when "1010111" => + dest <= 65; + src <= 49; + when "1011000" => + dest <= 77; + src <= 12; + when "1011001" => + dest <= 55; + src <= 37; + when "1011010" => + dest <= 58; + src <= 65; + when "1011011" => + dest <= 54; + src <= 4; + when "1011100" => + dest <= 10; + src <= 45; + when "1011101" => + dest <= 47; + src <= 75; + when "1011110" => + dest <= 76; + src <= 62; + when "1011111" => + dest <= 72; + src <= 72; + when "1100000" => + dest <= 46; + src <= 76; + when "1100001" => + dest <= 3; + src <= 2; + when "1100010" => + dest <= 38; + src <= 39; + when "1100011" => + dest <= 68; + src <= 54; + when "1100100" => + dest <= 1; + src <= 58; + when "1100101" => + dest <= 65; + src <= 3; + when "1100110" => + dest <= 11; + src <= 46; + when "1100111" => + dest <= 65; + src <= 11; + when "1101000" => + dest <= 75; + src <= 71; + when "1101001" => + dest <= 67; + src <= 48; + when "1101010" => + dest <= 73; + src <= 65; + when "1101011" => + dest <= 65; + src <= 38; + when "1101100" => + dest <= 63; + src <= 55; + when "1101101" => + dest <= 65; + src <= 63; + when "1101110" => + dest <= 65; + src <= 57; + when "1101111" => + dest <= 33; + src <= 65; + when "1110000" => + dest <= 25; + src <= 67; + when others => + dest <= 65; + src <= 65; + + end case; + end process; + + end generate NI_NUM65; + + NI_NUM66 : if NI_NUM = 66 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 63; + src <= 66; + when "0000001" => + dest <= 67; + src <= 66; + when "0000010" => + dest <= 66; + src <= 66; + when "0000011" => + dest <= 35; + src <= 66; + when "0000100" => + dest <= 21; + src <= 65; + when "0000101" => + dest <= 66; + src <= 69; + when "0000110" => + dest <= 25; + src <= 66; + when "0000111" => + dest <= 66; + src <= 66; + when "0001000" => + dest <= 43; + src <= 26; + when "0001001" => + dest <= 75; + src <= 34; + when "0001010" => + dest <= 66; + src <= 30; + when "0001011" => + dest <= 33; + src <= 66; + when "0001100" => + dest <= 71; + src <= 57; + when "0001101" => + dest <= 66; + src <= 25; + when "0001110" => + dest <= 17; + src <= 66; + when "0001111" => + dest <= 30; + src <= 66; + when "0010000" => + dest <= 65; + src <= 35; + when "0010001" => + dest <= 18; + src <= 17; + when "0010010" => + dest <= 24; + src <= 70; + when "0010011" => + dest <= 49; + src <= 67; + when "0010100" => + dest <= 66; + src <= 18; + when "0010101" => + dest <= 44; + src <= 21; + when "0010110" => + dest <= 16; + src <= 66; + when "0010111" => + dest <= 66; + src <= 43; + when "0011000" => + dest <= 27; + src <= 2; + when "0011001" => + dest <= 66; + src <= 66; + when "0011010" => + dest <= 70; + src <= 33; + when "0011011" => + dest <= 66; + src <= 27; + when "0011100" => + dest <= 52; + src <= 66; + when "0011101" => + dest <= 8; + src <= 66; + when "0011110" => + dest <= 66; + src <= 16; + when "0011111" => + dest <= 42; + src <= 44; + when "0100000" => + dest <= 9; + src <= 71; + when "0100001" => + dest <= 66; + src <= 24; + when "0100010" => + dest <= 32; + src <= 66; + when "0100011" => + dest <= 19; + src <= 66; + when "0100100" => + dest <= 66; + src <= 8; + when "0100101" => + dest <= 66; + src <= 52; + when "0100110" => + dest <= 57; + src <= 66; + when "0100111" => + dest <= 53; + src <= 9; + when "0101000" => + dest <= 15; + src <= 42; + when "0101001" => + dest <= 3; + src <= 75; + when "0101010" => + dest <= 36; + src <= 19; + when "0101011" => + dest <= 66; + src <= 32; + when "0101100" => + dest <= 23; + src <= 66; + when "0101101" => + dest <= 28; + src <= 48; + when "0101110" => + dest <= 7; + src <= 66; + when "0101111" => + dest <= 66; + src <= 7; + when "0110000" => + dest <= 66; + src <= 36; + when "0110001" => + dest <= 61; + src <= 66; + when "0110010" => + dest <= 62; + src <= 15; + when "0110011" => + dest <= 66; + src <= 66; + when "0110100" => + dest <= 51; + src <= 28; + when "0110101" => + dest <= 66; + src <= 23; + when "0110110" => + dest <= 80; + src <= 53; + when "0110111" => + dest <= 41; + src <= 66; + when "0111000" => + dest <= 66; + src <= 80; + when "0111001" => + dest <= 0; + src <= 79; + when "0111010" => + dest <= 31; + src <= 66; + when "0111011" => + dest <= 10; + src <= 0; + when "0111100" => + dest <= 66; + src <= 66; + when "0111101" => + dest <= 22; + src <= 61; + when "0111110" => + dest <= 29; + src <= 10; + when "0111111" => + dest <= 66; + src <= 66; + when "1000000" => + dest <= 14; + src <= 51; + when "1000001" => + dest <= 66; + src <= 20; + when "1000010" => + dest <= 45; + src <= 41; + when "1000011" => + dest <= 6; + src <= 66; + when "1000100" => + dest <= 37; + src <= 29; + when "1000101" => + dest <= 66; + src <= 22; + when "1000110" => + dest <= 79; + src <= 66; + when "1000111" => + dest <= 66; + src <= 37; + when "1001000" => + dest <= 54; + src <= 66; + when "1001001" => + dest <= 60; + src <= 6; + when "1001010" => + dest <= 40; + src <= 45; + when "1001011" => + dest <= 20; + src <= 14; + when "1001100" => + dest <= 66; + src <= 66; + when "1001101" => + dest <= 50; + src <= 62; + when "1001110" => + dest <= 66; + src <= 78; + when "1001111" => + dest <= 72; + src <= 72; + when "1010000" => + dest <= 58; + src <= 11; + when "1010001" => + dest <= 1; + src <= 66; + when "1010010" => + dest <= 38; + src <= 31; + when "1010011" => + dest <= 13; + src <= 1; + when "1010100" => + dest <= 66; + src <= 74; + when "1010101" => + dest <= 46; + src <= 60; + when "1010110" => + dest <= 5; + src <= 66; + when "1010111" => + dest <= 66; + src <= 50; + when "1011000" => + dest <= 78; + src <= 13; + when "1011001" => + dest <= 56; + src <= 38; + when "1011010" => + dest <= 59; + src <= 66; + when "1011011" => + dest <= 55; + src <= 5; + when "1011100" => + dest <= 11; + src <= 46; + when "1011101" => + dest <= 48; + src <= 76; + when "1011110" => + dest <= 77; + src <= 54; + when "1011111" => + dest <= 73; + src <= 73; + when "1100000" => + dest <= 47; + src <= 77; + when "1100001" => + dest <= 4; + src <= 3; + when "1100010" => + dest <= 39; + src <= 40; + when "1100011" => + dest <= 69; + src <= 55; + when "1100100" => + dest <= 2; + src <= 59; + when "1100101" => + dest <= 66; + src <= 4; + when "1100110" => + dest <= 12; + src <= 47; + when "1100111" => + dest <= 66; + src <= 12; + when "1101000" => + dest <= 76; + src <= 63; + when "1101001" => + dest <= 68; + src <= 49; + when "1101010" => + dest <= 74; + src <= 66; + when "1101011" => + dest <= 66; + src <= 39; + when "1101100" => + dest <= 64; + src <= 56; + when "1101101" => + dest <= 66; + src <= 64; + when "1101110" => + dest <= 66; + src <= 58; + when "1101111" => + dest <= 34; + src <= 66; + when "1110000" => + dest <= 26; + src <= 68; + when others => + dest <= 66; + src <= 66; + + end case; + end process; + + end generate NI_NUM66; + + NI_NUM67 : if NI_NUM = 67 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 64; + src <= 67; + when "0000001" => + dest <= 68; + src <= 67; + when "0000010" => + dest <= 67; + src <= 67; + when "0000011" => + dest <= 27; + src <= 67; + when "0000100" => + dest <= 22; + src <= 66; + when "0000101" => + dest <= 67; + src <= 70; + when "0000110" => + dest <= 26; + src <= 67; + when "0000111" => + dest <= 67; + src <= 67; + when "0001000" => + dest <= 44; + src <= 18; + when "0001001" => + dest <= 76; + src <= 35; + when "0001010" => + dest <= 67; + src <= 31; + when "0001011" => + dest <= 34; + src <= 67; + when "0001100" => + dest <= 63; + src <= 58; + when "0001101" => + dest <= 67; + src <= 26; + when "0001110" => + dest <= 9; + src <= 67; + when "0001111" => + dest <= 31; + src <= 67; + when "0010000" => + dest <= 66; + src <= 27; + when "0010001" => + dest <= 19; + src <= 9; + when "0010010" => + dest <= 25; + src <= 71; + when "0010011" => + dest <= 50; + src <= 68; + when "0010100" => + dest <= 67; + src <= 19; + when "0010101" => + dest <= 36; + src <= 22; + when "0010110" => + dest <= 17; + src <= 67; + when "0010111" => + dest <= 67; + src <= 44; + when "0011000" => + dest <= 28; + src <= 3; + when "0011001" => + dest <= 67; + src <= 67; + when "0011010" => + dest <= 71; + src <= 34; + when "0011011" => + dest <= 67; + src <= 28; + when "0011100" => + dest <= 53; + src <= 67; + when "0011101" => + dest <= 0; + src <= 67; + when "0011110" => + dest <= 67; + src <= 17; + when "0011111" => + dest <= 43; + src <= 36; + when "0100000" => + dest <= 10; + src <= 63; + when "0100001" => + dest <= 67; + src <= 25; + when "0100010" => + dest <= 33; + src <= 67; + when "0100011" => + dest <= 20; + src <= 67; + when "0100100" => + dest <= 67; + src <= 0; + when "0100101" => + dest <= 67; + src <= 53; + when "0100110" => + dest <= 58; + src <= 67; + when "0100111" => + dest <= 45; + src <= 10; + when "0101000" => + dest <= 16; + src <= 43; + when "0101001" => + dest <= 4; + src <= 76; + when "0101010" => + dest <= 37; + src <= 20; + when "0101011" => + dest <= 67; + src <= 33; + when "0101100" => + dest <= 24; + src <= 67; + when "0101101" => + dest <= 29; + src <= 49; + when "0101110" => + dest <= 8; + src <= 67; + when "0101111" => + dest <= 67; + src <= 8; + when "0110000" => + dest <= 67; + src <= 37; + when "0110001" => + dest <= 62; + src <= 67; + when "0110010" => + dest <= 54; + src <= 16; + when "0110011" => + dest <= 67; + src <= 67; + when "0110100" => + dest <= 52; + src <= 29; + when "0110101" => + dest <= 67; + src <= 24; + when "0110110" => + dest <= 72; + src <= 45; + when "0110111" => + dest <= 42; + src <= 67; + when "0111000" => + dest <= 67; + src <= 72; + when "0111001" => + dest <= 1; + src <= 80; + when "0111010" => + dest <= 32; + src <= 67; + when "0111011" => + dest <= 11; + src <= 1; + when "0111100" => + dest <= 67; + src <= 67; + when "0111101" => + dest <= 23; + src <= 62; + when "0111110" => + dest <= 30; + src <= 11; + when "0111111" => + dest <= 67; + src <= 67; + when "1000000" => + dest <= 15; + src <= 52; + when "1000001" => + dest <= 67; + src <= 21; + when "1000010" => + dest <= 46; + src <= 42; + when "1000011" => + dest <= 7; + src <= 67; + when "1000100" => + dest <= 38; + src <= 30; + when "1000101" => + dest <= 67; + src <= 23; + when "1000110" => + dest <= 80; + src <= 67; + when "1000111" => + dest <= 67; + src <= 38; + when "1001000" => + dest <= 55; + src <= 67; + when "1001001" => + dest <= 61; + src <= 7; + when "1001010" => + dest <= 41; + src <= 46; + when "1001011" => + dest <= 21; + src <= 15; + when "1001100" => + dest <= 67; + src <= 67; + when "1001101" => + dest <= 51; + src <= 54; + when "1001110" => + dest <= 67; + src <= 79; + when "1001111" => + dest <= 73; + src <= 73; + when "1010000" => + dest <= 59; + src <= 12; + when "1010001" => + dest <= 2; + src <= 67; + when "1010010" => + dest <= 39; + src <= 32; + when "1010011" => + dest <= 14; + src <= 2; + when "1010100" => + dest <= 67; + src <= 75; + when "1010101" => + dest <= 47; + src <= 61; + when "1010110" => + dest <= 6; + src <= 67; + when "1010111" => + dest <= 67; + src <= 51; + when "1011000" => + dest <= 79; + src <= 14; + when "1011001" => + dest <= 57; + src <= 39; + when "1011010" => + dest <= 60; + src <= 67; + when "1011011" => + dest <= 56; + src <= 6; + when "1011100" => + dest <= 12; + src <= 47; + when "1011101" => + dest <= 49; + src <= 77; + when "1011110" => + dest <= 78; + src <= 55; + when "1011111" => + dest <= 74; + src <= 74; + when "1100000" => + dest <= 48; + src <= 78; + when "1100001" => + dest <= 5; + src <= 4; + when "1100010" => + dest <= 40; + src <= 41; + when "1100011" => + dest <= 70; + src <= 56; + when "1100100" => + dest <= 3; + src <= 60; + when "1100101" => + dest <= 67; + src <= 5; + when "1100110" => + dest <= 13; + src <= 48; + when "1100111" => + dest <= 67; + src <= 13; + when "1101000" => + dest <= 77; + src <= 64; + when "1101001" => + dest <= 69; + src <= 50; + when "1101010" => + dest <= 75; + src <= 67; + when "1101011" => + dest <= 67; + src <= 40; + when "1101100" => + dest <= 65; + src <= 57; + when "1101101" => + dest <= 67; + src <= 65; + when "1101110" => + dest <= 67; + src <= 59; + when "1101111" => + dest <= 35; + src <= 67; + when "1110000" => + dest <= 18; + src <= 69; + when others => + dest <= 67; + src <= 67; + + end case; + end process; + + end generate NI_NUM67; + + NI_NUM68 : if NI_NUM = 68 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 65; + src <= 68; + when "0000001" => + dest <= 69; + src <= 68; + when "0000010" => + dest <= 68; + src <= 68; + when "0000011" => + dest <= 28; + src <= 68; + when "0000100" => + dest <= 23; + src <= 67; + when "0000101" => + dest <= 68; + src <= 71; + when "0000110" => + dest <= 18; + src <= 68; + when "0000111" => + dest <= 68; + src <= 68; + when "0001000" => + dest <= 36; + src <= 19; + when "0001001" => + dest <= 77; + src <= 27; + when "0001010" => + dest <= 68; + src <= 32; + when "0001011" => + dest <= 35; + src <= 68; + when "0001100" => + dest <= 64; + src <= 59; + when "0001101" => + dest <= 68; + src <= 18; + when "0001110" => + dest <= 10; + src <= 68; + when "0001111" => + dest <= 32; + src <= 68; + when "0010000" => + dest <= 67; + src <= 28; + when "0010001" => + dest <= 20; + src <= 10; + when "0010010" => + dest <= 26; + src <= 63; + when "0010011" => + dest <= 51; + src <= 69; + when "0010100" => + dest <= 68; + src <= 20; + when "0010101" => + dest <= 37; + src <= 23; + when "0010110" => + dest <= 9; + src <= 68; + when "0010111" => + dest <= 68; + src <= 36; + when "0011000" => + dest <= 29; + src <= 4; + when "0011001" => + dest <= 68; + src <= 68; + when "0011010" => + dest <= 63; + src <= 35; + when "0011011" => + dest <= 68; + src <= 29; + when "0011100" => + dest <= 45; + src <= 68; + when "0011101" => + dest <= 1; + src <= 68; + when "0011110" => + dest <= 68; + src <= 9; + when "0011111" => + dest <= 44; + src <= 37; + when "0100000" => + dest <= 11; + src <= 64; + when "0100001" => + dest <= 68; + src <= 26; + when "0100010" => + dest <= 34; + src <= 68; + when "0100011" => + dest <= 21; + src <= 68; + when "0100100" => + dest <= 68; + src <= 1; + when "0100101" => + dest <= 68; + src <= 45; + when "0100110" => + dest <= 59; + src <= 68; + when "0100111" => + dest <= 46; + src <= 11; + when "0101000" => + dest <= 17; + src <= 44; + when "0101001" => + dest <= 5; + src <= 77; + when "0101010" => + dest <= 38; + src <= 21; + when "0101011" => + dest <= 68; + src <= 34; + when "0101100" => + dest <= 25; + src <= 68; + when "0101101" => + dest <= 30; + src <= 50; + when "0101110" => + dest <= 0; + src <= 68; + when "0101111" => + dest <= 68; + src <= 0; + when "0110000" => + dest <= 68; + src <= 38; + when "0110001" => + dest <= 54; + src <= 68; + when "0110010" => + dest <= 55; + src <= 17; + when "0110011" => + dest <= 68; + src <= 68; + when "0110100" => + dest <= 53; + src <= 30; + when "0110101" => + dest <= 68; + src <= 25; + when "0110110" => + dest <= 73; + src <= 46; + when "0110111" => + dest <= 43; + src <= 68; + when "0111000" => + dest <= 68; + src <= 73; + when "0111001" => + dest <= 2; + src <= 72; + when "0111010" => + dest <= 33; + src <= 68; + when "0111011" => + dest <= 12; + src <= 2; + when "0111100" => + dest <= 68; + src <= 68; + when "0111101" => + dest <= 24; + src <= 54; + when "0111110" => + dest <= 31; + src <= 12; + when "0111111" => + dest <= 68; + src <= 68; + when "1000000" => + dest <= 16; + src <= 53; + when "1000001" => + dest <= 68; + src <= 22; + when "1000010" => + dest <= 47; + src <= 43; + when "1000011" => + dest <= 8; + src <= 68; + when "1000100" => + dest <= 39; + src <= 31; + when "1000101" => + dest <= 68; + src <= 24; + when "1000110" => + dest <= 72; + src <= 68; + when "1000111" => + dest <= 68; + src <= 39; + when "1001000" => + dest <= 56; + src <= 68; + when "1001001" => + dest <= 62; + src <= 8; + when "1001010" => + dest <= 42; + src <= 47; + when "1001011" => + dest <= 22; + src <= 16; + when "1001100" => + dest <= 68; + src <= 68; + when "1001101" => + dest <= 52; + src <= 55; + when "1001110" => + dest <= 68; + src <= 80; + when "1001111" => + dest <= 74; + src <= 74; + when "1010000" => + dest <= 60; + src <= 13; + when "1010001" => + dest <= 3; + src <= 68; + when "1010010" => + dest <= 40; + src <= 33; + when "1010011" => + dest <= 15; + src <= 3; + when "1010100" => + dest <= 68; + src <= 76; + when "1010101" => + dest <= 48; + src <= 62; + when "1010110" => + dest <= 7; + src <= 68; + when "1010111" => + dest <= 68; + src <= 52; + when "1011000" => + dest <= 80; + src <= 15; + when "1011001" => + dest <= 58; + src <= 40; + when "1011010" => + dest <= 61; + src <= 68; + when "1011011" => + dest <= 57; + src <= 7; + when "1011100" => + dest <= 13; + src <= 48; + when "1011101" => + dest <= 50; + src <= 78; + when "1011110" => + dest <= 79; + src <= 56; + when "1011111" => + dest <= 75; + src <= 75; + when "1100000" => + dest <= 49; + src <= 79; + when "1100001" => + dest <= 6; + src <= 5; + when "1100010" => + dest <= 41; + src <= 42; + when "1100011" => + dest <= 71; + src <= 57; + when "1100100" => + dest <= 4; + src <= 61; + when "1100101" => + dest <= 68; + src <= 6; + when "1100110" => + dest <= 14; + src <= 49; + when "1100111" => + dest <= 68; + src <= 14; + when "1101000" => + dest <= 78; + src <= 65; + when "1101001" => + dest <= 70; + src <= 51; + when "1101010" => + dest <= 76; + src <= 68; + when "1101011" => + dest <= 68; + src <= 41; + when "1101100" => + dest <= 66; + src <= 58; + when "1101101" => + dest <= 68; + src <= 66; + when "1101110" => + dest <= 68; + src <= 60; + when "1101111" => + dest <= 27; + src <= 68; + when "1110000" => + dest <= 19; + src <= 70; + when others => + dest <= 68; + src <= 68; + + end case; + end process; + + end generate NI_NUM68; + + NI_NUM69 : if NI_NUM = 69 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 66; + src <= 69; + when "0000001" => + dest <= 70; + src <= 69; + when "0000010" => + dest <= 69; + src <= 69; + when "0000011" => + dest <= 29; + src <= 69; + when "0000100" => + dest <= 24; + src <= 68; + when "0000101" => + dest <= 69; + src <= 63; + when "0000110" => + dest <= 19; + src <= 69; + when "0000111" => + dest <= 69; + src <= 69; + when "0001000" => + dest <= 37; + src <= 20; + when "0001001" => + dest <= 78; + src <= 28; + when "0001010" => + dest <= 69; + src <= 33; + when "0001011" => + dest <= 27; + src <= 69; + when "0001100" => + dest <= 65; + src <= 60; + when "0001101" => + dest <= 69; + src <= 19; + when "0001110" => + dest <= 11; + src <= 69; + when "0001111" => + dest <= 33; + src <= 69; + when "0010000" => + dest <= 68; + src <= 29; + when "0010001" => + dest <= 21; + src <= 11; + when "0010010" => + dest <= 18; + src <= 64; + when "0010011" => + dest <= 52; + src <= 70; + when "0010100" => + dest <= 69; + src <= 21; + when "0010101" => + dest <= 38; + src <= 24; + when "0010110" => + dest <= 10; + src <= 69; + when "0010111" => + dest <= 69; + src <= 37; + when "0011000" => + dest <= 30; + src <= 5; + when "0011001" => + dest <= 69; + src <= 69; + when "0011010" => + dest <= 64; + src <= 27; + when "0011011" => + dest <= 69; + src <= 30; + when "0011100" => + dest <= 46; + src <= 69; + when "0011101" => + dest <= 2; + src <= 69; + when "0011110" => + dest <= 69; + src <= 10; + when "0011111" => + dest <= 36; + src <= 38; + when "0100000" => + dest <= 12; + src <= 65; + when "0100001" => + dest <= 69; + src <= 18; + when "0100010" => + dest <= 35; + src <= 69; + when "0100011" => + dest <= 22; + src <= 69; + when "0100100" => + dest <= 69; + src <= 2; + when "0100101" => + dest <= 69; + src <= 46; + when "0100110" => + dest <= 60; + src <= 69; + when "0100111" => + dest <= 47; + src <= 12; + when "0101000" => + dest <= 9; + src <= 36; + when "0101001" => + dest <= 6; + src <= 78; + when "0101010" => + dest <= 39; + src <= 22; + when "0101011" => + dest <= 69; + src <= 35; + when "0101100" => + dest <= 26; + src <= 69; + when "0101101" => + dest <= 31; + src <= 51; + when "0101110" => + dest <= 1; + src <= 69; + when "0101111" => + dest <= 69; + src <= 1; + when "0110000" => + dest <= 69; + src <= 39; + when "0110001" => + dest <= 55; + src <= 69; + when "0110010" => + dest <= 56; + src <= 9; + when "0110011" => + dest <= 69; + src <= 69; + when "0110100" => + dest <= 45; + src <= 31; + when "0110101" => + dest <= 69; + src <= 26; + when "0110110" => + dest <= 74; + src <= 47; + when "0110111" => + dest <= 44; + src <= 69; + when "0111000" => + dest <= 69; + src <= 74; + when "0111001" => + dest <= 3; + src <= 73; + when "0111010" => + dest <= 34; + src <= 69; + when "0111011" => + dest <= 13; + src <= 3; + when "0111100" => + dest <= 69; + src <= 69; + when "0111101" => + dest <= 25; + src <= 55; + when "0111110" => + dest <= 32; + src <= 13; + when "0111111" => + dest <= 69; + src <= 69; + when "1000000" => + dest <= 17; + src <= 45; + when "1000001" => + dest <= 69; + src <= 23; + when "1000010" => + dest <= 48; + src <= 44; + when "1000011" => + dest <= 0; + src <= 69; + when "1000100" => + dest <= 40; + src <= 32; + when "1000101" => + dest <= 69; + src <= 25; + when "1000110" => + dest <= 73; + src <= 69; + when "1000111" => + dest <= 69; + src <= 40; + when "1001000" => + dest <= 57; + src <= 69; + when "1001001" => + dest <= 54; + src <= 0; + when "1001010" => + dest <= 43; + src <= 48; + when "1001011" => + dest <= 23; + src <= 17; + when "1001100" => + dest <= 69; + src <= 69; + when "1001101" => + dest <= 53; + src <= 56; + when "1001110" => + dest <= 69; + src <= 72; + when "1001111" => + dest <= 75; + src <= 75; + when "1010000" => + dest <= 61; + src <= 14; + when "1010001" => + dest <= 4; + src <= 69; + when "1010010" => + dest <= 41; + src <= 34; + when "1010011" => + dest <= 16; + src <= 4; + when "1010100" => + dest <= 69; + src <= 77; + when "1010101" => + dest <= 49; + src <= 54; + when "1010110" => + dest <= 8; + src <= 69; + when "1010111" => + dest <= 69; + src <= 53; + when "1011000" => + dest <= 72; + src <= 16; + when "1011001" => + dest <= 59; + src <= 41; + when "1011010" => + dest <= 62; + src <= 69; + when "1011011" => + dest <= 58; + src <= 8; + when "1011100" => + dest <= 14; + src <= 49; + when "1011101" => + dest <= 51; + src <= 79; + when "1011110" => + dest <= 80; + src <= 57; + when "1011111" => + dest <= 76; + src <= 76; + when "1100000" => + dest <= 50; + src <= 80; + when "1100001" => + dest <= 7; + src <= 6; + when "1100010" => + dest <= 42; + src <= 43; + when "1100011" => + dest <= 63; + src <= 58; + when "1100100" => + dest <= 5; + src <= 62; + when "1100101" => + dest <= 69; + src <= 7; + when "1100110" => + dest <= 15; + src <= 50; + when "1100111" => + dest <= 69; + src <= 15; + when "1101000" => + dest <= 79; + src <= 66; + when "1101001" => + dest <= 71; + src <= 52; + when "1101010" => + dest <= 77; + src <= 69; + when "1101011" => + dest <= 69; + src <= 42; + when "1101100" => + dest <= 67; + src <= 59; + when "1101101" => + dest <= 69; + src <= 67; + when "1101110" => + dest <= 69; + src <= 61; + when "1101111" => + dest <= 28; + src <= 69; + when "1110000" => + dest <= 20; + src <= 71; + when others => + dest <= 69; + src <= 69; + + end case; + end process; + + end generate NI_NUM69; + + NI_NUM70 : if NI_NUM = 70 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 67; + src <= 70; + when "0000001" => + dest <= 71; + src <= 70; + when "0000010" => + dest <= 70; + src <= 70; + when "0000011" => + dest <= 30; + src <= 70; + when "0000100" => + dest <= 25; + src <= 69; + when "0000101" => + dest <= 70; + src <= 64; + when "0000110" => + dest <= 20; + src <= 70; + when "0000111" => + dest <= 70; + src <= 70; + when "0001000" => + dest <= 38; + src <= 21; + when "0001001" => + dest <= 79; + src <= 29; + when "0001010" => + dest <= 70; + src <= 34; + when "0001011" => + dest <= 28; + src <= 70; + when "0001100" => + dest <= 66; + src <= 61; + when "0001101" => + dest <= 70; + src <= 20; + when "0001110" => + dest <= 12; + src <= 70; + when "0001111" => + dest <= 34; + src <= 70; + when "0010000" => + dest <= 69; + src <= 30; + when "0010001" => + dest <= 22; + src <= 12; + when "0010010" => + dest <= 19; + src <= 65; + when "0010011" => + dest <= 53; + src <= 71; + when "0010100" => + dest <= 70; + src <= 22; + when "0010101" => + dest <= 39; + src <= 25; + when "0010110" => + dest <= 11; + src <= 70; + when "0010111" => + dest <= 70; + src <= 38; + when "0011000" => + dest <= 31; + src <= 6; + when "0011001" => + dest <= 70; + src <= 70; + when "0011010" => + dest <= 65; + src <= 28; + when "0011011" => + dest <= 70; + src <= 31; + when "0011100" => + dest <= 47; + src <= 70; + when "0011101" => + dest <= 3; + src <= 70; + when "0011110" => + dest <= 70; + src <= 11; + when "0011111" => + dest <= 37; + src <= 39; + when "0100000" => + dest <= 13; + src <= 66; + when "0100001" => + dest <= 70; + src <= 19; + when "0100010" => + dest <= 27; + src <= 70; + when "0100011" => + dest <= 23; + src <= 70; + when "0100100" => + dest <= 70; + src <= 3; + when "0100101" => + dest <= 70; + src <= 47; + when "0100110" => + dest <= 61; + src <= 70; + when "0100111" => + dest <= 48; + src <= 13; + when "0101000" => + dest <= 10; + src <= 37; + when "0101001" => + dest <= 7; + src <= 79; + when "0101010" => + dest <= 40; + src <= 23; + when "0101011" => + dest <= 70; + src <= 27; + when "0101100" => + dest <= 18; + src <= 70; + when "0101101" => + dest <= 32; + src <= 52; + when "0101110" => + dest <= 2; + src <= 70; + when "0101111" => + dest <= 70; + src <= 2; + when "0110000" => + dest <= 70; + src <= 40; + when "0110001" => + dest <= 56; + src <= 70; + when "0110010" => + dest <= 57; + src <= 10; + when "0110011" => + dest <= 70; + src <= 70; + when "0110100" => + dest <= 46; + src <= 32; + when "0110101" => + dest <= 70; + src <= 18; + when "0110110" => + dest <= 75; + src <= 48; + when "0110111" => + dest <= 36; + src <= 70; + when "0111000" => + dest <= 70; + src <= 75; + when "0111001" => + dest <= 4; + src <= 74; + when "0111010" => + dest <= 35; + src <= 70; + when "0111011" => + dest <= 14; + src <= 4; + when "0111100" => + dest <= 70; + src <= 70; + when "0111101" => + dest <= 26; + src <= 56; + when "0111110" => + dest <= 33; + src <= 14; + when "0111111" => + dest <= 70; + src <= 70; + when "1000000" => + dest <= 9; + src <= 46; + when "1000001" => + dest <= 70; + src <= 24; + when "1000010" => + dest <= 49; + src <= 36; + when "1000011" => + dest <= 1; + src <= 70; + when "1000100" => + dest <= 41; + src <= 33; + when "1000101" => + dest <= 70; + src <= 26; + when "1000110" => + dest <= 74; + src <= 70; + when "1000111" => + dest <= 70; + src <= 41; + when "1001000" => + dest <= 58; + src <= 70; + when "1001001" => + dest <= 55; + src <= 1; + when "1001010" => + dest <= 44; + src <= 49; + when "1001011" => + dest <= 24; + src <= 9; + when "1001100" => + dest <= 70; + src <= 70; + when "1001101" => + dest <= 45; + src <= 57; + when "1001110" => + dest <= 70; + src <= 73; + when "1001111" => + dest <= 76; + src <= 76; + when "1010000" => + dest <= 62; + src <= 15; + when "1010001" => + dest <= 5; + src <= 70; + when "1010010" => + dest <= 42; + src <= 35; + when "1010011" => + dest <= 17; + src <= 5; + when "1010100" => + dest <= 70; + src <= 78; + when "1010101" => + dest <= 50; + src <= 55; + when "1010110" => + dest <= 0; + src <= 70; + when "1010111" => + dest <= 70; + src <= 45; + when "1011000" => + dest <= 73; + src <= 17; + when "1011001" => + dest <= 60; + src <= 42; + when "1011010" => + dest <= 54; + src <= 70; + when "1011011" => + dest <= 59; + src <= 0; + when "1011100" => + dest <= 15; + src <= 50; + when "1011101" => + dest <= 52; + src <= 80; + when "1011110" => + dest <= 72; + src <= 58; + when "1011111" => + dest <= 77; + src <= 77; + when "1100000" => + dest <= 51; + src <= 72; + when "1100001" => + dest <= 8; + src <= 7; + when "1100010" => + dest <= 43; + src <= 44; + when "1100011" => + dest <= 64; + src <= 59; + when "1100100" => + dest <= 6; + src <= 54; + when "1100101" => + dest <= 70; + src <= 8; + when "1100110" => + dest <= 16; + src <= 51; + when "1100111" => + dest <= 70; + src <= 16; + when "1101000" => + dest <= 80; + src <= 67; + when "1101001" => + dest <= 63; + src <= 53; + when "1101010" => + dest <= 78; + src <= 70; + when "1101011" => + dest <= 70; + src <= 43; + when "1101100" => + dest <= 68; + src <= 60; + when "1101101" => + dest <= 70; + src <= 68; + when "1101110" => + dest <= 70; + src <= 62; + when "1101111" => + dest <= 29; + src <= 70; + when "1110000" => + dest <= 21; + src <= 63; + when others => + dest <= 70; + src <= 70; + + end case; + end process; + + end generate NI_NUM70; + + NI_NUM71 : if NI_NUM = 71 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 68; + src <= 71; + when "0000001" => + dest <= 63; + src <= 71; + when "0000010" => + dest <= 71; + src <= 71; + when "0000011" => + dest <= 31; + src <= 71; + when "0000100" => + dest <= 26; + src <= 70; + when "0000101" => + dest <= 71; + src <= 65; + when "0000110" => + dest <= 21; + src <= 71; + when "0000111" => + dest <= 71; + src <= 71; + when "0001000" => + dest <= 39; + src <= 22; + when "0001001" => + dest <= 80; + src <= 30; + when "0001010" => + dest <= 71; + src <= 35; + when "0001011" => + dest <= 29; + src <= 71; + when "0001100" => + dest <= 67; + src <= 62; + when "0001101" => + dest <= 71; + src <= 21; + when "0001110" => + dest <= 13; + src <= 71; + when "0001111" => + dest <= 35; + src <= 71; + when "0010000" => + dest <= 70; + src <= 31; + when "0010001" => + dest <= 23; + src <= 13; + when "0010010" => + dest <= 20; + src <= 66; + when "0010011" => + dest <= 45; + src <= 63; + when "0010100" => + dest <= 71; + src <= 23; + when "0010101" => + dest <= 40; + src <= 26; + when "0010110" => + dest <= 12; + src <= 71; + when "0010111" => + dest <= 71; + src <= 39; + when "0011000" => + dest <= 32; + src <= 7; + when "0011001" => + dest <= 71; + src <= 71; + when "0011010" => + dest <= 66; + src <= 29; + when "0011011" => + dest <= 71; + src <= 32; + when "0011100" => + dest <= 48; + src <= 71; + when "0011101" => + dest <= 4; + src <= 71; + when "0011110" => + dest <= 71; + src <= 12; + when "0011111" => + dest <= 38; + src <= 40; + when "0100000" => + dest <= 14; + src <= 67; + when "0100001" => + dest <= 71; + src <= 20; + when "0100010" => + dest <= 28; + src <= 71; + when "0100011" => + dest <= 24; + src <= 71; + when "0100100" => + dest <= 71; + src <= 4; + when "0100101" => + dest <= 71; + src <= 48; + when "0100110" => + dest <= 62; + src <= 71; + when "0100111" => + dest <= 49; + src <= 14; + when "0101000" => + dest <= 11; + src <= 38; + when "0101001" => + dest <= 8; + src <= 80; + when "0101010" => + dest <= 41; + src <= 24; + when "0101011" => + dest <= 71; + src <= 28; + when "0101100" => + dest <= 19; + src <= 71; + when "0101101" => + dest <= 33; + src <= 53; + when "0101110" => + dest <= 3; + src <= 71; + when "0101111" => + dest <= 71; + src <= 3; + when "0110000" => + dest <= 71; + src <= 41; + when "0110001" => + dest <= 57; + src <= 71; + when "0110010" => + dest <= 58; + src <= 11; + when "0110011" => + dest <= 71; + src <= 71; + when "0110100" => + dest <= 47; + src <= 33; + when "0110101" => + dest <= 71; + src <= 19; + when "0110110" => + dest <= 76; + src <= 49; + when "0110111" => + dest <= 37; + src <= 71; + when "0111000" => + dest <= 71; + src <= 76; + when "0111001" => + dest <= 5; + src <= 75; + when "0111010" => + dest <= 27; + src <= 71; + when "0111011" => + dest <= 15; + src <= 5; + when "0111100" => + dest <= 71; + src <= 71; + when "0111101" => + dest <= 18; + src <= 57; + when "0111110" => + dest <= 34; + src <= 15; + when "0111111" => + dest <= 71; + src <= 71; + when "1000000" => + dest <= 10; + src <= 47; + when "1000001" => + dest <= 71; + src <= 25; + when "1000010" => + dest <= 50; + src <= 37; + when "1000011" => + dest <= 2; + src <= 71; + when "1000100" => + dest <= 42; + src <= 34; + when "1000101" => + dest <= 71; + src <= 18; + when "1000110" => + dest <= 75; + src <= 71; + when "1000111" => + dest <= 71; + src <= 42; + when "1001000" => + dest <= 59; + src <= 71; + when "1001001" => + dest <= 56; + src <= 2; + when "1001010" => + dest <= 36; + src <= 50; + when "1001011" => + dest <= 25; + src <= 10; + when "1001100" => + dest <= 71; + src <= 71; + when "1001101" => + dest <= 46; + src <= 58; + when "1001110" => + dest <= 71; + src <= 74; + when "1001111" => + dest <= 77; + src <= 77; + when "1010000" => + dest <= 54; + src <= 16; + when "1010001" => + dest <= 6; + src <= 71; + when "1010010" => + dest <= 43; + src <= 27; + when "1010011" => + dest <= 9; + src <= 6; + when "1010100" => + dest <= 71; + src <= 79; + when "1010101" => + dest <= 51; + src <= 56; + when "1010110" => + dest <= 1; + src <= 71; + when "1010111" => + dest <= 71; + src <= 46; + when "1011000" => + dest <= 74; + src <= 9; + when "1011001" => + dest <= 61; + src <= 43; + when "1011010" => + dest <= 55; + src <= 71; + when "1011011" => + dest <= 60; + src <= 1; + when "1011100" => + dest <= 16; + src <= 51; + when "1011101" => + dest <= 53; + src <= 72; + when "1011110" => + dest <= 73; + src <= 59; + when "1011111" => + dest <= 78; + src <= 78; + when "1100000" => + dest <= 52; + src <= 73; + when "1100001" => + dest <= 0; + src <= 8; + when "1100010" => + dest <= 44; + src <= 36; + when "1100011" => + dest <= 65; + src <= 60; + when "1100100" => + dest <= 7; + src <= 55; + when "1100101" => + dest <= 71; + src <= 0; + when "1100110" => + dest <= 17; + src <= 52; + when "1100111" => + dest <= 71; + src <= 17; + when "1101000" => + dest <= 72; + src <= 68; + when "1101001" => + dest <= 64; + src <= 45; + when "1101010" => + dest <= 79; + src <= 71; + when "1101011" => + dest <= 71; + src <= 44; + when "1101100" => + dest <= 69; + src <= 61; + when "1101101" => + dest <= 71; + src <= 69; + when "1101110" => + dest <= 71; + src <= 54; + when "1101111" => + dest <= 30; + src <= 71; + when "1110000" => + dest <= 22; + src <= 64; + when others => + dest <= 71; + src <= 71; + + end case; + end process; + + end generate NI_NUM71; + + NI_NUM72 : if NI_NUM = 72 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 78; + src <= 72; + when "0000001" => + dest <= 73; + src <= 72; + when "0000010" => + dest <= 72; + src <= 72; + when "0000011" => + dest <= 41; + src <= 72; + when "0000100" => + dest <= 27; + src <= 80; + when "0000101" => + dest <= 72; + src <= 75; + when "0000110" => + dest <= 31; + src <= 72; + when "0000111" => + dest <= 72; + src <= 72; + when "0001000" => + dest <= 49; + src <= 32; + when "0001001" => + dest <= 0; + src <= 40; + when "0001010" => + dest <= 72; + src <= 36; + when "0001011" => + dest <= 39; + src <= 72; + when "0001100" => + dest <= 77; + src <= 63; + when "0001101" => + dest <= 72; + src <= 31; + when "0001110" => + dest <= 23; + src <= 72; + when "0001111" => + dest <= 36; + src <= 72; + when "0010000" => + dest <= 80; + src <= 41; + when "0010001" => + dest <= 33; + src <= 23; + when "0010010" => + dest <= 30; + src <= 76; + when "0010011" => + dest <= 55; + src <= 73; + when "0010100" => + dest <= 72; + src <= 33; + when "0010101" => + dest <= 50; + src <= 27; + when "0010110" => + dest <= 22; + src <= 72; + when "0010111" => + dest <= 72; + src <= 49; + when "0011000" => + dest <= 42; + src <= 17; + when "0011001" => + dest <= 72; + src <= 72; + when "0011010" => + dest <= 76; + src <= 39; + when "0011011" => + dest <= 72; + src <= 42; + when "0011100" => + dest <= 58; + src <= 72; + when "0011101" => + dest <= 14; + src <= 72; + when "0011110" => + dest <= 72; + src <= 22; + when "0011111" => + dest <= 48; + src <= 50; + when "0100000" => + dest <= 24; + src <= 77; + when "0100001" => + dest <= 72; + src <= 30; + when "0100010" => + dest <= 38; + src <= 72; + when "0100011" => + dest <= 34; + src <= 72; + when "0100100" => + dest <= 72; + src <= 14; + when "0100101" => + dest <= 72; + src <= 58; + when "0100110" => + dest <= 63; + src <= 72; + when "0100111" => + dest <= 59; + src <= 24; + when "0101000" => + dest <= 21; + src <= 48; + when "0101001" => + dest <= 9; + src <= 0; + when "0101010" => + dest <= 51; + src <= 34; + when "0101011" => + dest <= 72; + src <= 38; + when "0101100" => + dest <= 29; + src <= 72; + when "0101101" => + dest <= 43; + src <= 54; + when "0101110" => + dest <= 13; + src <= 72; + when "0101111" => + dest <= 72; + src <= 13; + when "0110000" => + dest <= 72; + src <= 51; + when "0110001" => + dest <= 67; + src <= 72; + when "0110010" => + dest <= 68; + src <= 21; + when "0110011" => + dest <= 72; + src <= 72; + when "0110100" => + dest <= 57; + src <= 43; + when "0110101" => + dest <= 72; + src <= 29; + when "0110110" => + dest <= 5; + src <= 59; + when "0110111" => + dest <= 47; + src <= 72; + when "0111000" => + dest <= 72; + src <= 5; + when "0111001" => + dest <= 15; + src <= 4; + when "0111010" => + dest <= 37; + src <= 72; + when "0111011" => + dest <= 25; + src <= 15; + when "0111100" => + dest <= 72; + src <= 72; + when "0111101" => + dest <= 28; + src <= 67; + when "0111110" => + dest <= 44; + src <= 25; + when "0111111" => + dest <= 72; + src <= 72; + when "1000000" => + dest <= 20; + src <= 57; + when "1000001" => + dest <= 72; + src <= 35; + when "1000010" => + dest <= 60; + src <= 47; + when "1000011" => + dest <= 12; + src <= 72; + when "1000100" => + dest <= 52; + src <= 44; + when "1000101" => + dest <= 72; + src <= 28; + when "1000110" => + dest <= 4; + src <= 72; + when "1000111" => + dest <= 72; + src <= 52; + when "1001000" => + dest <= 69; + src <= 72; + when "1001001" => + dest <= 66; + src <= 12; + when "1001010" => + dest <= 46; + src <= 60; + when "1001011" => + dest <= 35; + src <= 20; + when "1001100" => + dest <= 72; + src <= 72; + when "1001101" => + dest <= 56; + src <= 68; + when "1001110" => + dest <= 72; + src <= 3; + when "1001111" => + dest <= 6; + src <= 6; + when "1010000" => + dest <= 64; + src <= 26; + when "1010001" => + dest <= 16; + src <= 72; + when "1010010" => + dest <= 53; + src <= 37; + when "1010011" => + dest <= 19; + src <= 16; + when "1010100" => + dest <= 72; + src <= 8; + when "1010101" => + dest <= 61; + src <= 66; + when "1010110" => + dest <= 11; + src <= 72; + when "1010111" => + dest <= 72; + src <= 56; + when "1011000" => + dest <= 3; + src <= 19; + when "1011001" => + dest <= 71; + src <= 53; + when "1011010" => + dest <= 65; + src <= 72; + when "1011011" => + dest <= 70; + src <= 11; + when "1011100" => + dest <= 26; + src <= 61; + when "1011101" => + dest <= 54; + src <= 1; + when "1011110" => + dest <= 2; + src <= 69; + when "1011111" => + dest <= 7; + src <= 7; + when "1100000" => + dest <= 62; + src <= 2; + when "1100001" => + dest <= 10; + src <= 9; + when "1100010" => + dest <= 45; + src <= 46; + when "1100011" => + dest <= 75; + src <= 70; + when "1100100" => + dest <= 17; + src <= 65; + when "1100101" => + dest <= 72; + src <= 10; + when "1100110" => + dest <= 18; + src <= 62; + when "1100111" => + dest <= 72; + src <= 18; + when "1101000" => + dest <= 1; + src <= 78; + when "1101001" => + dest <= 74; + src <= 55; + when "1101010" => + dest <= 8; + src <= 72; + when "1101011" => + dest <= 72; + src <= 45; + when "1101100" => + dest <= 79; + src <= 71; + when "1101101" => + dest <= 72; + src <= 79; + when "1101110" => + dest <= 72; + src <= 64; + when "1101111" => + dest <= 40; + src <= 72; + when "1110000" => + dest <= 32; + src <= 74; + when others => + dest <= 72; + src <= 72; + + end case; + end process; + + end generate NI_NUM72; + + NI_NUM73 : if NI_NUM = 73 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 79; + src <= 73; + when "0000001" => + dest <= 74; + src <= 73; + when "0000010" => + dest <= 73; + src <= 73; + when "0000011" => + dest <= 42; + src <= 73; + when "0000100" => + dest <= 28; + src <= 72; + when "0000101" => + dest <= 73; + src <= 76; + when "0000110" => + dest <= 32; + src <= 73; + when "0000111" => + dest <= 73; + src <= 73; + when "0001000" => + dest <= 50; + src <= 33; + when "0001001" => + dest <= 1; + src <= 41; + when "0001010" => + dest <= 73; + src <= 37; + when "0001011" => + dest <= 40; + src <= 73; + when "0001100" => + dest <= 78; + src <= 64; + when "0001101" => + dest <= 73; + src <= 32; + when "0001110" => + dest <= 24; + src <= 73; + when "0001111" => + dest <= 37; + src <= 73; + when "0010000" => + dest <= 72; + src <= 42; + when "0010001" => + dest <= 34; + src <= 24; + when "0010010" => + dest <= 31; + src <= 77; + when "0010011" => + dest <= 56; + src <= 74; + when "0010100" => + dest <= 73; + src <= 34; + when "0010101" => + dest <= 51; + src <= 28; + when "0010110" => + dest <= 23; + src <= 73; + when "0010111" => + dest <= 73; + src <= 50; + when "0011000" => + dest <= 43; + src <= 9; + when "0011001" => + dest <= 73; + src <= 73; + when "0011010" => + dest <= 77; + src <= 40; + when "0011011" => + dest <= 73; + src <= 43; + when "0011100" => + dest <= 59; + src <= 73; + when "0011101" => + dest <= 15; + src <= 73; + when "0011110" => + dest <= 73; + src <= 23; + when "0011111" => + dest <= 49; + src <= 51; + when "0100000" => + dest <= 25; + src <= 78; + when "0100001" => + dest <= 73; + src <= 31; + when "0100010" => + dest <= 39; + src <= 73; + when "0100011" => + dest <= 35; + src <= 73; + when "0100100" => + dest <= 73; + src <= 15; + when "0100101" => + dest <= 73; + src <= 59; + when "0100110" => + dest <= 64; + src <= 73; + when "0100111" => + dest <= 60; + src <= 25; + when "0101000" => + dest <= 22; + src <= 49; + when "0101001" => + dest <= 10; + src <= 1; + when "0101010" => + dest <= 52; + src <= 35; + when "0101011" => + dest <= 73; + src <= 39; + when "0101100" => + dest <= 30; + src <= 73; + when "0101101" => + dest <= 44; + src <= 55; + when "0101110" => + dest <= 14; + src <= 73; + when "0101111" => + dest <= 73; + src <= 14; + when "0110000" => + dest <= 73; + src <= 52; + when "0110001" => + dest <= 68; + src <= 73; + when "0110010" => + dest <= 69; + src <= 22; + when "0110011" => + dest <= 73; + src <= 73; + when "0110100" => + dest <= 58; + src <= 44; + when "0110101" => + dest <= 73; + src <= 30; + when "0110110" => + dest <= 6; + src <= 60; + when "0110111" => + dest <= 48; + src <= 73; + when "0111000" => + dest <= 73; + src <= 6; + when "0111001" => + dest <= 16; + src <= 5; + when "0111010" => + dest <= 38; + src <= 73; + when "0111011" => + dest <= 26; + src <= 16; + when "0111100" => + dest <= 73; + src <= 73; + when "0111101" => + dest <= 29; + src <= 68; + when "0111110" => + dest <= 36; + src <= 26; + when "0111111" => + dest <= 73; + src <= 73; + when "1000000" => + dest <= 21; + src <= 58; + when "1000001" => + dest <= 73; + src <= 27; + when "1000010" => + dest <= 61; + src <= 48; + when "1000011" => + dest <= 13; + src <= 73; + when "1000100" => + dest <= 53; + src <= 36; + when "1000101" => + dest <= 73; + src <= 29; + when "1000110" => + dest <= 5; + src <= 73; + when "1000111" => + dest <= 73; + src <= 53; + when "1001000" => + dest <= 70; + src <= 73; + when "1001001" => + dest <= 67; + src <= 13; + when "1001010" => + dest <= 47; + src <= 61; + when "1001011" => + dest <= 27; + src <= 21; + when "1001100" => + dest <= 73; + src <= 73; + when "1001101" => + dest <= 57; + src <= 69; + when "1001110" => + dest <= 73; + src <= 4; + when "1001111" => + dest <= 7; + src <= 7; + when "1010000" => + dest <= 65; + src <= 18; + when "1010001" => + dest <= 17; + src <= 73; + when "1010010" => + dest <= 45; + src <= 38; + when "1010011" => + dest <= 20; + src <= 17; + when "1010100" => + dest <= 73; + src <= 0; + when "1010101" => + dest <= 62; + src <= 67; + when "1010110" => + dest <= 12; + src <= 73; + when "1010111" => + dest <= 73; + src <= 57; + when "1011000" => + dest <= 4; + src <= 20; + when "1011001" => + dest <= 63; + src <= 45; + when "1011010" => + dest <= 66; + src <= 73; + when "1011011" => + dest <= 71; + src <= 12; + when "1011100" => + dest <= 18; + src <= 62; + when "1011101" => + dest <= 55; + src <= 2; + when "1011110" => + dest <= 3; + src <= 70; + when "1011111" => + dest <= 8; + src <= 8; + when "1100000" => + dest <= 54; + src <= 3; + when "1100001" => + dest <= 11; + src <= 10; + when "1100010" => + dest <= 46; + src <= 47; + when "1100011" => + dest <= 76; + src <= 71; + when "1100100" => + dest <= 9; + src <= 66; + when "1100101" => + dest <= 73; + src <= 11; + when "1100110" => + dest <= 19; + src <= 54; + when "1100111" => + dest <= 73; + src <= 19; + when "1101000" => + dest <= 2; + src <= 79; + when "1101001" => + dest <= 75; + src <= 56; + when "1101010" => + dest <= 0; + src <= 73; + when "1101011" => + dest <= 73; + src <= 46; + when "1101100" => + dest <= 80; + src <= 63; + when "1101101" => + dest <= 73; + src <= 80; + when "1101110" => + dest <= 73; + src <= 65; + when "1101111" => + dest <= 41; + src <= 73; + when "1110000" => + dest <= 33; + src <= 75; + when others => + dest <= 73; + src <= 73; + + end case; + end process; + + end generate NI_NUM73; + + NI_NUM74 : if NI_NUM = 74 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 80; + src <= 74; + when "0000001" => + dest <= 75; + src <= 74; + when "0000010" => + dest <= 74; + src <= 74; + when "0000011" => + dest <= 43; + src <= 74; + when "0000100" => + dest <= 29; + src <= 73; + when "0000101" => + dest <= 74; + src <= 77; + when "0000110" => + dest <= 33; + src <= 74; + when "0000111" => + dest <= 74; + src <= 74; + when "0001000" => + dest <= 51; + src <= 34; + when "0001001" => + dest <= 2; + src <= 42; + when "0001010" => + dest <= 74; + src <= 38; + when "0001011" => + dest <= 41; + src <= 74; + when "0001100" => + dest <= 79; + src <= 65; + when "0001101" => + dest <= 74; + src <= 33; + when "0001110" => + dest <= 25; + src <= 74; + when "0001111" => + dest <= 38; + src <= 74; + when "0010000" => + dest <= 73; + src <= 43; + when "0010001" => + dest <= 35; + src <= 25; + when "0010010" => + dest <= 32; + src <= 78; + when "0010011" => + dest <= 57; + src <= 75; + when "0010100" => + dest <= 74; + src <= 35; + when "0010101" => + dest <= 52; + src <= 29; + when "0010110" => + dest <= 24; + src <= 74; + when "0010111" => + dest <= 74; + src <= 51; + when "0011000" => + dest <= 44; + src <= 10; + when "0011001" => + dest <= 74; + src <= 74; + when "0011010" => + dest <= 78; + src <= 41; + when "0011011" => + dest <= 74; + src <= 44; + when "0011100" => + dest <= 60; + src <= 74; + when "0011101" => + dest <= 16; + src <= 74; + when "0011110" => + dest <= 74; + src <= 24; + when "0011111" => + dest <= 50; + src <= 52; + when "0100000" => + dest <= 26; + src <= 79; + when "0100001" => + dest <= 74; + src <= 32; + when "0100010" => + dest <= 40; + src <= 74; + when "0100011" => + dest <= 27; + src <= 74; + when "0100100" => + dest <= 74; + src <= 16; + when "0100101" => + dest <= 74; + src <= 60; + when "0100110" => + dest <= 65; + src <= 74; + when "0100111" => + dest <= 61; + src <= 26; + when "0101000" => + dest <= 23; + src <= 50; + when "0101001" => + dest <= 11; + src <= 2; + when "0101010" => + dest <= 53; + src <= 27; + when "0101011" => + dest <= 74; + src <= 40; + when "0101100" => + dest <= 31; + src <= 74; + when "0101101" => + dest <= 36; + src <= 56; + when "0101110" => + dest <= 15; + src <= 74; + when "0101111" => + dest <= 74; + src <= 15; + when "0110000" => + dest <= 74; + src <= 53; + when "0110001" => + dest <= 69; + src <= 74; + when "0110010" => + dest <= 70; + src <= 23; + when "0110011" => + dest <= 74; + src <= 74; + when "0110100" => + dest <= 59; + src <= 36; + when "0110101" => + dest <= 74; + src <= 31; + when "0110110" => + dest <= 7; + src <= 61; + when "0110111" => + dest <= 49; + src <= 74; + when "0111000" => + dest <= 74; + src <= 7; + when "0111001" => + dest <= 17; + src <= 6; + when "0111010" => + dest <= 39; + src <= 74; + when "0111011" => + dest <= 18; + src <= 17; + when "0111100" => + dest <= 74; + src <= 74; + when "0111101" => + dest <= 30; + src <= 69; + when "0111110" => + dest <= 37; + src <= 18; + when "0111111" => + dest <= 74; + src <= 74; + when "1000000" => + dest <= 22; + src <= 59; + when "1000001" => + dest <= 74; + src <= 28; + when "1000010" => + dest <= 62; + src <= 49; + when "1000011" => + dest <= 14; + src <= 74; + when "1000100" => + dest <= 45; + src <= 37; + when "1000101" => + dest <= 74; + src <= 30; + when "1000110" => + dest <= 6; + src <= 74; + when "1000111" => + dest <= 74; + src <= 45; + when "1001000" => + dest <= 71; + src <= 74; + when "1001001" => + dest <= 68; + src <= 14; + when "1001010" => + dest <= 48; + src <= 62; + when "1001011" => + dest <= 28; + src <= 22; + when "1001100" => + dest <= 74; + src <= 74; + when "1001101" => + dest <= 58; + src <= 70; + when "1001110" => + dest <= 74; + src <= 5; + when "1001111" => + dest <= 8; + src <= 8; + when "1010000" => + dest <= 66; + src <= 19; + when "1010001" => + dest <= 9; + src <= 74; + when "1010010" => + dest <= 46; + src <= 39; + when "1010011" => + dest <= 21; + src <= 9; + when "1010100" => + dest <= 74; + src <= 1; + when "1010101" => + dest <= 54; + src <= 68; + when "1010110" => + dest <= 13; + src <= 74; + when "1010111" => + dest <= 74; + src <= 58; + when "1011000" => + dest <= 5; + src <= 21; + when "1011001" => + dest <= 64; + src <= 46; + when "1011010" => + dest <= 67; + src <= 74; + when "1011011" => + dest <= 63; + src <= 13; + when "1011100" => + dest <= 19; + src <= 54; + when "1011101" => + dest <= 56; + src <= 3; + when "1011110" => + dest <= 4; + src <= 71; + when "1011111" => + dest <= 0; + src <= 0; + when "1100000" => + dest <= 55; + src <= 4; + when "1100001" => + dest <= 12; + src <= 11; + when "1100010" => + dest <= 47; + src <= 48; + when "1100011" => + dest <= 77; + src <= 63; + when "1100100" => + dest <= 10; + src <= 67; + when "1100101" => + dest <= 74; + src <= 12; + when "1100110" => + dest <= 20; + src <= 55; + when "1100111" => + dest <= 74; + src <= 20; + when "1101000" => + dest <= 3; + src <= 80; + when "1101001" => + dest <= 76; + src <= 57; + when "1101010" => + dest <= 1; + src <= 74; + when "1101011" => + dest <= 74; + src <= 47; + when "1101100" => + dest <= 72; + src <= 64; + when "1101101" => + dest <= 74; + src <= 72; + when "1101110" => + dest <= 74; + src <= 66; + when "1101111" => + dest <= 42; + src <= 74; + when "1110000" => + dest <= 34; + src <= 76; + when others => + dest <= 74; + src <= 74; + + end case; + end process; + + end generate NI_NUM74; + + NI_NUM75 : if NI_NUM = 75 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 72; + src <= 75; + when "0000001" => + dest <= 76; + src <= 75; + when "0000010" => + dest <= 75; + src <= 75; + when "0000011" => + dest <= 44; + src <= 75; + when "0000100" => + dest <= 30; + src <= 74; + when "0000101" => + dest <= 75; + src <= 78; + when "0000110" => + dest <= 34; + src <= 75; + when "0000111" => + dest <= 75; + src <= 75; + when "0001000" => + dest <= 52; + src <= 35; + when "0001001" => + dest <= 3; + src <= 43; + when "0001010" => + dest <= 75; + src <= 39; + when "0001011" => + dest <= 42; + src <= 75; + when "0001100" => + dest <= 80; + src <= 66; + when "0001101" => + dest <= 75; + src <= 34; + when "0001110" => + dest <= 26; + src <= 75; + when "0001111" => + dest <= 39; + src <= 75; + when "0010000" => + dest <= 74; + src <= 44; + when "0010001" => + dest <= 27; + src <= 26; + when "0010010" => + dest <= 33; + src <= 79; + when "0010011" => + dest <= 58; + src <= 76; + when "0010100" => + dest <= 75; + src <= 27; + when "0010101" => + dest <= 53; + src <= 30; + when "0010110" => + dest <= 25; + src <= 75; + when "0010111" => + dest <= 75; + src <= 52; + when "0011000" => + dest <= 36; + src <= 11; + when "0011001" => + dest <= 75; + src <= 75; + when "0011010" => + dest <= 79; + src <= 42; + when "0011011" => + dest <= 75; + src <= 36; + when "0011100" => + dest <= 61; + src <= 75; + when "0011101" => + dest <= 17; + src <= 75; + when "0011110" => + dest <= 75; + src <= 25; + when "0011111" => + dest <= 51; + src <= 53; + when "0100000" => + dest <= 18; + src <= 80; + when "0100001" => + dest <= 75; + src <= 33; + when "0100010" => + dest <= 41; + src <= 75; + when "0100011" => + dest <= 28; + src <= 75; + when "0100100" => + dest <= 75; + src <= 17; + when "0100101" => + dest <= 75; + src <= 61; + when "0100110" => + dest <= 66; + src <= 75; + when "0100111" => + dest <= 62; + src <= 18; + when "0101000" => + dest <= 24; + src <= 51; + when "0101001" => + dest <= 12; + src <= 3; + when "0101010" => + dest <= 45; + src <= 28; + when "0101011" => + dest <= 75; + src <= 41; + when "0101100" => + dest <= 32; + src <= 75; + when "0101101" => + dest <= 37; + src <= 57; + when "0101110" => + dest <= 16; + src <= 75; + when "0101111" => + dest <= 75; + src <= 16; + when "0110000" => + dest <= 75; + src <= 45; + when "0110001" => + dest <= 70; + src <= 75; + when "0110010" => + dest <= 71; + src <= 24; + when "0110011" => + dest <= 75; + src <= 75; + when "0110100" => + dest <= 60; + src <= 37; + when "0110101" => + dest <= 75; + src <= 32; + when "0110110" => + dest <= 8; + src <= 62; + when "0110111" => + dest <= 50; + src <= 75; + when "0111000" => + dest <= 75; + src <= 8; + when "0111001" => + dest <= 9; + src <= 7; + when "0111010" => + dest <= 40; + src <= 75; + when "0111011" => + dest <= 19; + src <= 9; + when "0111100" => + dest <= 75; + src <= 75; + when "0111101" => + dest <= 31; + src <= 70; + when "0111110" => + dest <= 38; + src <= 19; + when "0111111" => + dest <= 75; + src <= 75; + when "1000000" => + dest <= 23; + src <= 60; + when "1000001" => + dest <= 75; + src <= 29; + when "1000010" => + dest <= 54; + src <= 50; + when "1000011" => + dest <= 15; + src <= 75; + when "1000100" => + dest <= 46; + src <= 38; + when "1000101" => + dest <= 75; + src <= 31; + when "1000110" => + dest <= 7; + src <= 75; + when "1000111" => + dest <= 75; + src <= 46; + when "1001000" => + dest <= 63; + src <= 75; + when "1001001" => + dest <= 69; + src <= 15; + when "1001010" => + dest <= 49; + src <= 54; + when "1001011" => + dest <= 29; + src <= 23; + when "1001100" => + dest <= 75; + src <= 75; + when "1001101" => + dest <= 59; + src <= 71; + when "1001110" => + dest <= 75; + src <= 6; + when "1001111" => + dest <= 0; + src <= 0; + when "1010000" => + dest <= 67; + src <= 20; + when "1010001" => + dest <= 10; + src <= 75; + when "1010010" => + dest <= 47; + src <= 40; + when "1010011" => + dest <= 22; + src <= 10; + when "1010100" => + dest <= 75; + src <= 2; + when "1010101" => + dest <= 55; + src <= 69; + when "1010110" => + dest <= 14; + src <= 75; + when "1010111" => + dest <= 75; + src <= 59; + when "1011000" => + dest <= 6; + src <= 22; + when "1011001" => + dest <= 65; + src <= 47; + when "1011010" => + dest <= 68; + src <= 75; + when "1011011" => + dest <= 64; + src <= 14; + when "1011100" => + dest <= 20; + src <= 55; + when "1011101" => + dest <= 57; + src <= 4; + when "1011110" => + dest <= 5; + src <= 63; + when "1011111" => + dest <= 1; + src <= 1; + when "1100000" => + dest <= 56; + src <= 5; + when "1100001" => + dest <= 13; + src <= 12; + when "1100010" => + dest <= 48; + src <= 49; + when "1100011" => + dest <= 78; + src <= 64; + when "1100100" => + dest <= 11; + src <= 68; + when "1100101" => + dest <= 75; + src <= 13; + when "1100110" => + dest <= 21; + src <= 56; + when "1100111" => + dest <= 75; + src <= 21; + when "1101000" => + dest <= 4; + src <= 72; + when "1101001" => + dest <= 77; + src <= 58; + when "1101010" => + dest <= 2; + src <= 75; + when "1101011" => + dest <= 75; + src <= 48; + when "1101100" => + dest <= 73; + src <= 65; + when "1101101" => + dest <= 75; + src <= 73; + when "1101110" => + dest <= 75; + src <= 67; + when "1101111" => + dest <= 43; + src <= 75; + when "1110000" => + dest <= 35; + src <= 77; + when others => + dest <= 75; + src <= 75; + + end case; + end process; + + end generate NI_NUM75; + + NI_NUM76 : if NI_NUM = 76 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 73; + src <= 76; + when "0000001" => + dest <= 77; + src <= 76; + when "0000010" => + dest <= 76; + src <= 76; + when "0000011" => + dest <= 36; + src <= 76; + when "0000100" => + dest <= 31; + src <= 75; + when "0000101" => + dest <= 76; + src <= 79; + when "0000110" => + dest <= 35; + src <= 76; + when "0000111" => + dest <= 76; + src <= 76; + when "0001000" => + dest <= 53; + src <= 27; + when "0001001" => + dest <= 4; + src <= 44; + when "0001010" => + dest <= 76; + src <= 40; + when "0001011" => + dest <= 43; + src <= 76; + when "0001100" => + dest <= 72; + src <= 67; + when "0001101" => + dest <= 76; + src <= 35; + when "0001110" => + dest <= 18; + src <= 76; + when "0001111" => + dest <= 40; + src <= 76; + when "0010000" => + dest <= 75; + src <= 36; + when "0010001" => + dest <= 28; + src <= 18; + when "0010010" => + dest <= 34; + src <= 80; + when "0010011" => + dest <= 59; + src <= 77; + when "0010100" => + dest <= 76; + src <= 28; + when "0010101" => + dest <= 45; + src <= 31; + when "0010110" => + dest <= 26; + src <= 76; + when "0010111" => + dest <= 76; + src <= 53; + when "0011000" => + dest <= 37; + src <= 12; + when "0011001" => + dest <= 76; + src <= 76; + when "0011010" => + dest <= 80; + src <= 43; + when "0011011" => + dest <= 76; + src <= 37; + when "0011100" => + dest <= 62; + src <= 76; + when "0011101" => + dest <= 9; + src <= 76; + when "0011110" => + dest <= 76; + src <= 26; + when "0011111" => + dest <= 52; + src <= 45; + when "0100000" => + dest <= 19; + src <= 72; + when "0100001" => + dest <= 76; + src <= 34; + when "0100010" => + dest <= 42; + src <= 76; + when "0100011" => + dest <= 29; + src <= 76; + when "0100100" => + dest <= 76; + src <= 9; + when "0100101" => + dest <= 76; + src <= 62; + when "0100110" => + dest <= 67; + src <= 76; + when "0100111" => + dest <= 54; + src <= 19; + when "0101000" => + dest <= 25; + src <= 52; + when "0101001" => + dest <= 13; + src <= 4; + when "0101010" => + dest <= 46; + src <= 29; + when "0101011" => + dest <= 76; + src <= 42; + when "0101100" => + dest <= 33; + src <= 76; + when "0101101" => + dest <= 38; + src <= 58; + when "0101110" => + dest <= 17; + src <= 76; + when "0101111" => + dest <= 76; + src <= 17; + when "0110000" => + dest <= 76; + src <= 46; + when "0110001" => + dest <= 71; + src <= 76; + when "0110010" => + dest <= 63; + src <= 25; + when "0110011" => + dest <= 76; + src <= 76; + when "0110100" => + dest <= 61; + src <= 38; + when "0110101" => + dest <= 76; + src <= 33; + when "0110110" => + dest <= 0; + src <= 54; + when "0110111" => + dest <= 51; + src <= 76; + when "0111000" => + dest <= 76; + src <= 0; + when "0111001" => + dest <= 10; + src <= 8; + when "0111010" => + dest <= 41; + src <= 76; + when "0111011" => + dest <= 20; + src <= 10; + when "0111100" => + dest <= 76; + src <= 76; + when "0111101" => + dest <= 32; + src <= 71; + when "0111110" => + dest <= 39; + src <= 20; + when "0111111" => + dest <= 76; + src <= 76; + when "1000000" => + dest <= 24; + src <= 61; + when "1000001" => + dest <= 76; + src <= 30; + when "1000010" => + dest <= 55; + src <= 51; + when "1000011" => + dest <= 16; + src <= 76; + when "1000100" => + dest <= 47; + src <= 39; + when "1000101" => + dest <= 76; + src <= 32; + when "1000110" => + dest <= 8; + src <= 76; + when "1000111" => + dest <= 76; + src <= 47; + when "1001000" => + dest <= 64; + src <= 76; + when "1001001" => + dest <= 70; + src <= 16; + when "1001010" => + dest <= 50; + src <= 55; + when "1001011" => + dest <= 30; + src <= 24; + when "1001100" => + dest <= 76; + src <= 76; + when "1001101" => + dest <= 60; + src <= 63; + when "1001110" => + dest <= 76; + src <= 7; + when "1001111" => + dest <= 1; + src <= 1; + when "1010000" => + dest <= 68; + src <= 21; + when "1010001" => + dest <= 11; + src <= 76; + when "1010010" => + dest <= 48; + src <= 41; + when "1010011" => + dest <= 23; + src <= 11; + when "1010100" => + dest <= 76; + src <= 3; + when "1010101" => + dest <= 56; + src <= 70; + when "1010110" => + dest <= 15; + src <= 76; + when "1010111" => + dest <= 76; + src <= 60; + when "1011000" => + dest <= 7; + src <= 23; + when "1011001" => + dest <= 66; + src <= 48; + when "1011010" => + dest <= 69; + src <= 76; + when "1011011" => + dest <= 65; + src <= 15; + when "1011100" => + dest <= 21; + src <= 56; + when "1011101" => + dest <= 58; + src <= 5; + when "1011110" => + dest <= 6; + src <= 64; + when "1011111" => + dest <= 2; + src <= 2; + when "1100000" => + dest <= 57; + src <= 6; + when "1100001" => + dest <= 14; + src <= 13; + when "1100010" => + dest <= 49; + src <= 50; + when "1100011" => + dest <= 79; + src <= 65; + when "1100100" => + dest <= 12; + src <= 69; + when "1100101" => + dest <= 76; + src <= 14; + when "1100110" => + dest <= 22; + src <= 57; + when "1100111" => + dest <= 76; + src <= 22; + when "1101000" => + dest <= 5; + src <= 73; + when "1101001" => + dest <= 78; + src <= 59; + when "1101010" => + dest <= 3; + src <= 76; + when "1101011" => + dest <= 76; + src <= 49; + when "1101100" => + dest <= 74; + src <= 66; + when "1101101" => + dest <= 76; + src <= 74; + when "1101110" => + dest <= 76; + src <= 68; + when "1101111" => + dest <= 44; + src <= 76; + when "1110000" => + dest <= 27; + src <= 78; + when others => + dest <= 76; + src <= 76; + + end case; + end process; + + end generate NI_NUM76; + + NI_NUM77 : if NI_NUM = 77 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 74; + src <= 77; + when "0000001" => + dest <= 78; + src <= 77; + when "0000010" => + dest <= 77; + src <= 77; + when "0000011" => + dest <= 37; + src <= 77; + when "0000100" => + dest <= 32; + src <= 76; + when "0000101" => + dest <= 77; + src <= 80; + when "0000110" => + dest <= 27; + src <= 77; + when "0000111" => + dest <= 77; + src <= 77; + when "0001000" => + dest <= 45; + src <= 28; + when "0001001" => + dest <= 5; + src <= 36; + when "0001010" => + dest <= 77; + src <= 41; + when "0001011" => + dest <= 44; + src <= 77; + when "0001100" => + dest <= 73; + src <= 68; + when "0001101" => + dest <= 77; + src <= 27; + when "0001110" => + dest <= 19; + src <= 77; + when "0001111" => + dest <= 41; + src <= 77; + when "0010000" => + dest <= 76; + src <= 37; + when "0010001" => + dest <= 29; + src <= 19; + when "0010010" => + dest <= 35; + src <= 72; + when "0010011" => + dest <= 60; + src <= 78; + when "0010100" => + dest <= 77; + src <= 29; + when "0010101" => + dest <= 46; + src <= 32; + when "0010110" => + dest <= 18; + src <= 77; + when "0010111" => + dest <= 77; + src <= 45; + when "0011000" => + dest <= 38; + src <= 13; + when "0011001" => + dest <= 77; + src <= 77; + when "0011010" => + dest <= 72; + src <= 44; + when "0011011" => + dest <= 77; + src <= 38; + when "0011100" => + dest <= 54; + src <= 77; + when "0011101" => + dest <= 10; + src <= 77; + when "0011110" => + dest <= 77; + src <= 18; + when "0011111" => + dest <= 53; + src <= 46; + when "0100000" => + dest <= 20; + src <= 73; + when "0100001" => + dest <= 77; + src <= 35; + when "0100010" => + dest <= 43; + src <= 77; + when "0100011" => + dest <= 30; + src <= 77; + when "0100100" => + dest <= 77; + src <= 10; + when "0100101" => + dest <= 77; + src <= 54; + when "0100110" => + dest <= 68; + src <= 77; + when "0100111" => + dest <= 55; + src <= 20; + when "0101000" => + dest <= 26; + src <= 53; + when "0101001" => + dest <= 14; + src <= 5; + when "0101010" => + dest <= 47; + src <= 30; + when "0101011" => + dest <= 77; + src <= 43; + when "0101100" => + dest <= 34; + src <= 77; + when "0101101" => + dest <= 39; + src <= 59; + when "0101110" => + dest <= 9; + src <= 77; + when "0101111" => + dest <= 77; + src <= 9; + when "0110000" => + dest <= 77; + src <= 47; + when "0110001" => + dest <= 63; + src <= 77; + when "0110010" => + dest <= 64; + src <= 26; + when "0110011" => + dest <= 77; + src <= 77; + when "0110100" => + dest <= 62; + src <= 39; + when "0110101" => + dest <= 77; + src <= 34; + when "0110110" => + dest <= 1; + src <= 55; + when "0110111" => + dest <= 52; + src <= 77; + when "0111000" => + dest <= 77; + src <= 1; + when "0111001" => + dest <= 11; + src <= 0; + when "0111010" => + dest <= 42; + src <= 77; + when "0111011" => + dest <= 21; + src <= 11; + when "0111100" => + dest <= 77; + src <= 77; + when "0111101" => + dest <= 33; + src <= 63; + when "0111110" => + dest <= 40; + src <= 21; + when "0111111" => + dest <= 77; + src <= 77; + when "1000000" => + dest <= 25; + src <= 62; + when "1000001" => + dest <= 77; + src <= 31; + when "1000010" => + dest <= 56; + src <= 52; + when "1000011" => + dest <= 17; + src <= 77; + when "1000100" => + dest <= 48; + src <= 40; + when "1000101" => + dest <= 77; + src <= 33; + when "1000110" => + dest <= 0; + src <= 77; + when "1000111" => + dest <= 77; + src <= 48; + when "1001000" => + dest <= 65; + src <= 77; + when "1001001" => + dest <= 71; + src <= 17; + when "1001010" => + dest <= 51; + src <= 56; + when "1001011" => + dest <= 31; + src <= 25; + when "1001100" => + dest <= 77; + src <= 77; + when "1001101" => + dest <= 61; + src <= 64; + when "1001110" => + dest <= 77; + src <= 8; + when "1001111" => + dest <= 2; + src <= 2; + when "1010000" => + dest <= 69; + src <= 22; + when "1010001" => + dest <= 12; + src <= 77; + when "1010010" => + dest <= 49; + src <= 42; + when "1010011" => + dest <= 24; + src <= 12; + when "1010100" => + dest <= 77; + src <= 4; + when "1010101" => + dest <= 57; + src <= 71; + when "1010110" => + dest <= 16; + src <= 77; + when "1010111" => + dest <= 77; + src <= 61; + when "1011000" => + dest <= 8; + src <= 24; + when "1011001" => + dest <= 67; + src <= 49; + when "1011010" => + dest <= 70; + src <= 77; + when "1011011" => + dest <= 66; + src <= 16; + when "1011100" => + dest <= 22; + src <= 57; + when "1011101" => + dest <= 59; + src <= 6; + when "1011110" => + dest <= 7; + src <= 65; + when "1011111" => + dest <= 3; + src <= 3; + when "1100000" => + dest <= 58; + src <= 7; + when "1100001" => + dest <= 15; + src <= 14; + when "1100010" => + dest <= 50; + src <= 51; + when "1100011" => + dest <= 80; + src <= 66; + when "1100100" => + dest <= 13; + src <= 70; + when "1100101" => + dest <= 77; + src <= 15; + when "1100110" => + dest <= 23; + src <= 58; + when "1100111" => + dest <= 77; + src <= 23; + when "1101000" => + dest <= 6; + src <= 74; + when "1101001" => + dest <= 79; + src <= 60; + when "1101010" => + dest <= 4; + src <= 77; + when "1101011" => + dest <= 77; + src <= 50; + when "1101100" => + dest <= 75; + src <= 67; + when "1101101" => + dest <= 77; + src <= 75; + when "1101110" => + dest <= 77; + src <= 69; + when "1101111" => + dest <= 36; + src <= 77; + when "1110000" => + dest <= 28; + src <= 79; + when others => + dest <= 77; + src <= 77; + + end case; + end process; + + end generate NI_NUM77; + + NI_NUM78 : if NI_NUM = 78 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 75; + src <= 78; + when "0000001" => + dest <= 79; + src <= 78; + when "0000010" => + dest <= 78; + src <= 78; + when "0000011" => + dest <= 38; + src <= 78; + when "0000100" => + dest <= 33; + src <= 77; + when "0000101" => + dest <= 78; + src <= 72; + when "0000110" => + dest <= 28; + src <= 78; + when "0000111" => + dest <= 78; + src <= 78; + when "0001000" => + dest <= 46; + src <= 29; + when "0001001" => + dest <= 6; + src <= 37; + when "0001010" => + dest <= 78; + src <= 42; + when "0001011" => + dest <= 36; + src <= 78; + when "0001100" => + dest <= 74; + src <= 69; + when "0001101" => + dest <= 78; + src <= 28; + when "0001110" => + dest <= 20; + src <= 78; + when "0001111" => + dest <= 42; + src <= 78; + when "0010000" => + dest <= 77; + src <= 38; + when "0010001" => + dest <= 30; + src <= 20; + when "0010010" => + dest <= 27; + src <= 73; + when "0010011" => + dest <= 61; + src <= 79; + when "0010100" => + dest <= 78; + src <= 30; + when "0010101" => + dest <= 47; + src <= 33; + when "0010110" => + dest <= 19; + src <= 78; + when "0010111" => + dest <= 78; + src <= 46; + when "0011000" => + dest <= 39; + src <= 14; + when "0011001" => + dest <= 78; + src <= 78; + when "0011010" => + dest <= 73; + src <= 36; + when "0011011" => + dest <= 78; + src <= 39; + when "0011100" => + dest <= 55; + src <= 78; + when "0011101" => + dest <= 11; + src <= 78; + when "0011110" => + dest <= 78; + src <= 19; + when "0011111" => + dest <= 45; + src <= 47; + when "0100000" => + dest <= 21; + src <= 74; + when "0100001" => + dest <= 78; + src <= 27; + when "0100010" => + dest <= 44; + src <= 78; + when "0100011" => + dest <= 31; + src <= 78; + when "0100100" => + dest <= 78; + src <= 11; + when "0100101" => + dest <= 78; + src <= 55; + when "0100110" => + dest <= 69; + src <= 78; + when "0100111" => + dest <= 56; + src <= 21; + when "0101000" => + dest <= 18; + src <= 45; + when "0101001" => + dest <= 15; + src <= 6; + when "0101010" => + dest <= 48; + src <= 31; + when "0101011" => + dest <= 78; + src <= 44; + when "0101100" => + dest <= 35; + src <= 78; + when "0101101" => + dest <= 40; + src <= 60; + when "0101110" => + dest <= 10; + src <= 78; + when "0101111" => + dest <= 78; + src <= 10; + when "0110000" => + dest <= 78; + src <= 48; + when "0110001" => + dest <= 64; + src <= 78; + when "0110010" => + dest <= 65; + src <= 18; + when "0110011" => + dest <= 78; + src <= 78; + when "0110100" => + dest <= 54; + src <= 40; + when "0110101" => + dest <= 78; + src <= 35; + when "0110110" => + dest <= 2; + src <= 56; + when "0110111" => + dest <= 53; + src <= 78; + when "0111000" => + dest <= 78; + src <= 2; + when "0111001" => + dest <= 12; + src <= 1; + when "0111010" => + dest <= 43; + src <= 78; + when "0111011" => + dest <= 22; + src <= 12; + when "0111100" => + dest <= 78; + src <= 78; + when "0111101" => + dest <= 34; + src <= 64; + when "0111110" => + dest <= 41; + src <= 22; + when "0111111" => + dest <= 78; + src <= 78; + when "1000000" => + dest <= 26; + src <= 54; + when "1000001" => + dest <= 78; + src <= 32; + when "1000010" => + dest <= 57; + src <= 53; + when "1000011" => + dest <= 9; + src <= 78; + when "1000100" => + dest <= 49; + src <= 41; + when "1000101" => + dest <= 78; + src <= 34; + when "1000110" => + dest <= 1; + src <= 78; + when "1000111" => + dest <= 78; + src <= 49; + when "1001000" => + dest <= 66; + src <= 78; + when "1001001" => + dest <= 63; + src <= 9; + when "1001010" => + dest <= 52; + src <= 57; + when "1001011" => + dest <= 32; + src <= 26; + when "1001100" => + dest <= 78; + src <= 78; + when "1001101" => + dest <= 62; + src <= 65; + when "1001110" => + dest <= 78; + src <= 0; + when "1001111" => + dest <= 3; + src <= 3; + when "1010000" => + dest <= 70; + src <= 23; + when "1010001" => + dest <= 13; + src <= 78; + when "1010010" => + dest <= 50; + src <= 43; + when "1010011" => + dest <= 25; + src <= 13; + when "1010100" => + dest <= 78; + src <= 5; + when "1010101" => + dest <= 58; + src <= 63; + when "1010110" => + dest <= 17; + src <= 78; + when "1010111" => + dest <= 78; + src <= 62; + when "1011000" => + dest <= 0; + src <= 25; + when "1011001" => + dest <= 68; + src <= 50; + when "1011010" => + dest <= 71; + src <= 78; + when "1011011" => + dest <= 67; + src <= 17; + when "1011100" => + dest <= 23; + src <= 58; + when "1011101" => + dest <= 60; + src <= 7; + when "1011110" => + dest <= 8; + src <= 66; + when "1011111" => + dest <= 4; + src <= 4; + when "1100000" => + dest <= 59; + src <= 8; + when "1100001" => + dest <= 16; + src <= 15; + when "1100010" => + dest <= 51; + src <= 52; + when "1100011" => + dest <= 72; + src <= 67; + when "1100100" => + dest <= 14; + src <= 71; + when "1100101" => + dest <= 78; + src <= 16; + when "1100110" => + dest <= 24; + src <= 59; + when "1100111" => + dest <= 78; + src <= 24; + when "1101000" => + dest <= 7; + src <= 75; + when "1101001" => + dest <= 80; + src <= 61; + when "1101010" => + dest <= 5; + src <= 78; + when "1101011" => + dest <= 78; + src <= 51; + when "1101100" => + dest <= 76; + src <= 68; + when "1101101" => + dest <= 78; + src <= 76; + when "1101110" => + dest <= 78; + src <= 70; + when "1101111" => + dest <= 37; + src <= 78; + when "1110000" => + dest <= 29; + src <= 80; + when others => + dest <= 78; + src <= 78; + + end case; + end process; + + end generate NI_NUM78; + + NI_NUM79 : if NI_NUM = 79 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 76; + src <= 79; + when "0000001" => + dest <= 80; + src <= 79; + when "0000010" => + dest <= 79; + src <= 79; + when "0000011" => + dest <= 39; + src <= 79; + when "0000100" => + dest <= 34; + src <= 78; + when "0000101" => + dest <= 79; + src <= 73; + when "0000110" => + dest <= 29; + src <= 79; + when "0000111" => + dest <= 79; + src <= 79; + when "0001000" => + dest <= 47; + src <= 30; + when "0001001" => + dest <= 7; + src <= 38; + when "0001010" => + dest <= 79; + src <= 43; + when "0001011" => + dest <= 37; + src <= 79; + when "0001100" => + dest <= 75; + src <= 70; + when "0001101" => + dest <= 79; + src <= 29; + when "0001110" => + dest <= 21; + src <= 79; + when "0001111" => + dest <= 43; + src <= 79; + when "0010000" => + dest <= 78; + src <= 39; + when "0010001" => + dest <= 31; + src <= 21; + when "0010010" => + dest <= 28; + src <= 74; + when "0010011" => + dest <= 62; + src <= 80; + when "0010100" => + dest <= 79; + src <= 31; + when "0010101" => + dest <= 48; + src <= 34; + when "0010110" => + dest <= 20; + src <= 79; + when "0010111" => + dest <= 79; + src <= 47; + when "0011000" => + dest <= 40; + src <= 15; + when "0011001" => + dest <= 79; + src <= 79; + when "0011010" => + dest <= 74; + src <= 37; + when "0011011" => + dest <= 79; + src <= 40; + when "0011100" => + dest <= 56; + src <= 79; + when "0011101" => + dest <= 12; + src <= 79; + when "0011110" => + dest <= 79; + src <= 20; + when "0011111" => + dest <= 46; + src <= 48; + when "0100000" => + dest <= 22; + src <= 75; + when "0100001" => + dest <= 79; + src <= 28; + when "0100010" => + dest <= 36; + src <= 79; + when "0100011" => + dest <= 32; + src <= 79; + when "0100100" => + dest <= 79; + src <= 12; + when "0100101" => + dest <= 79; + src <= 56; + when "0100110" => + dest <= 70; + src <= 79; + when "0100111" => + dest <= 57; + src <= 22; + when "0101000" => + dest <= 19; + src <= 46; + when "0101001" => + dest <= 16; + src <= 7; + when "0101010" => + dest <= 49; + src <= 32; + when "0101011" => + dest <= 79; + src <= 36; + when "0101100" => + dest <= 27; + src <= 79; + when "0101101" => + dest <= 41; + src <= 61; + when "0101110" => + dest <= 11; + src <= 79; + when "0101111" => + dest <= 79; + src <= 11; + when "0110000" => + dest <= 79; + src <= 49; + when "0110001" => + dest <= 65; + src <= 79; + when "0110010" => + dest <= 66; + src <= 19; + when "0110011" => + dest <= 79; + src <= 79; + when "0110100" => + dest <= 55; + src <= 41; + when "0110101" => + dest <= 79; + src <= 27; + when "0110110" => + dest <= 3; + src <= 57; + when "0110111" => + dest <= 45; + src <= 79; + when "0111000" => + dest <= 79; + src <= 3; + when "0111001" => + dest <= 13; + src <= 2; + when "0111010" => + dest <= 44; + src <= 79; + when "0111011" => + dest <= 23; + src <= 13; + when "0111100" => + dest <= 79; + src <= 79; + when "0111101" => + dest <= 35; + src <= 65; + when "0111110" => + dest <= 42; + src <= 23; + when "0111111" => + dest <= 79; + src <= 79; + when "1000000" => + dest <= 18; + src <= 55; + when "1000001" => + dest <= 79; + src <= 33; + when "1000010" => + dest <= 58; + src <= 45; + when "1000011" => + dest <= 10; + src <= 79; + when "1000100" => + dest <= 50; + src <= 42; + when "1000101" => + dest <= 79; + src <= 35; + when "1000110" => + dest <= 2; + src <= 79; + when "1000111" => + dest <= 79; + src <= 50; + when "1001000" => + dest <= 67; + src <= 79; + when "1001001" => + dest <= 64; + src <= 10; + when "1001010" => + dest <= 53; + src <= 58; + when "1001011" => + dest <= 33; + src <= 18; + when "1001100" => + dest <= 79; + src <= 79; + when "1001101" => + dest <= 54; + src <= 66; + when "1001110" => + dest <= 79; + src <= 1; + when "1001111" => + dest <= 4; + src <= 4; + when "1010000" => + dest <= 71; + src <= 24; + when "1010001" => + dest <= 14; + src <= 79; + when "1010010" => + dest <= 51; + src <= 44; + when "1010011" => + dest <= 26; + src <= 14; + when "1010100" => + dest <= 79; + src <= 6; + when "1010101" => + dest <= 59; + src <= 64; + when "1010110" => + dest <= 9; + src <= 79; + when "1010111" => + dest <= 79; + src <= 54; + when "1011000" => + dest <= 1; + src <= 26; + when "1011001" => + dest <= 69; + src <= 51; + when "1011010" => + dest <= 63; + src <= 79; + when "1011011" => + dest <= 68; + src <= 9; + when "1011100" => + dest <= 24; + src <= 59; + when "1011101" => + dest <= 61; + src <= 8; + when "1011110" => + dest <= 0; + src <= 67; + when "1011111" => + dest <= 5; + src <= 5; + when "1100000" => + dest <= 60; + src <= 0; + when "1100001" => + dest <= 17; + src <= 16; + when "1100010" => + dest <= 52; + src <= 53; + when "1100011" => + dest <= 73; + src <= 68; + when "1100100" => + dest <= 15; + src <= 63; + when "1100101" => + dest <= 79; + src <= 17; + when "1100110" => + dest <= 25; + src <= 60; + when "1100111" => + dest <= 79; + src <= 25; + when "1101000" => + dest <= 8; + src <= 76; + when "1101001" => + dest <= 72; + src <= 62; + when "1101010" => + dest <= 6; + src <= 79; + when "1101011" => + dest <= 79; + src <= 52; + when "1101100" => + dest <= 77; + src <= 69; + when "1101101" => + dest <= 79; + src <= 77; + when "1101110" => + dest <= 79; + src <= 71; + when "1101111" => + dest <= 38; + src <= 79; + when "1110000" => + dest <= 30; + src <= 72; + when others => + dest <= 79; + src <= 79; + + end case; + end process; + + end generate NI_NUM79; + + NI_NUM80 : if NI_NUM = 80 generate + process(count) begin + + case count is + + when "0000000" => + dest <= 77; + src <= 80; + when "0000001" => + dest <= 72; + src <= 80; + when "0000010" => + dest <= 80; + src <= 80; + when "0000011" => + dest <= 40; + src <= 80; + when "0000100" => + dest <= 35; + src <= 79; + when "0000101" => + dest <= 80; + src <= 74; + when "0000110" => + dest <= 30; + src <= 80; + when "0000111" => + dest <= 80; + src <= 80; + when "0001000" => + dest <= 48; + src <= 31; + when "0001001" => + dest <= 8; + src <= 39; + when "0001010" => + dest <= 80; + src <= 44; + when "0001011" => + dest <= 38; + src <= 80; + when "0001100" => + dest <= 76; + src <= 71; + when "0001101" => + dest <= 80; + src <= 30; + when "0001110" => + dest <= 22; + src <= 80; + when "0001111" => + dest <= 44; + src <= 80; + when "0010000" => + dest <= 79; + src <= 40; + when "0010001" => + dest <= 32; + src <= 22; + when "0010010" => + dest <= 29; + src <= 75; + when "0010011" => + dest <= 54; + src <= 72; + when "0010100" => + dest <= 80; + src <= 32; + when "0010101" => + dest <= 49; + src <= 35; + when "0010110" => + dest <= 21; + src <= 80; + when "0010111" => + dest <= 80; + src <= 48; + when "0011000" => + dest <= 41; + src <= 16; + when "0011001" => + dest <= 80; + src <= 80; + when "0011010" => + dest <= 75; + src <= 38; + when "0011011" => + dest <= 80; + src <= 41; + when "0011100" => + dest <= 57; + src <= 80; + when "0011101" => + dest <= 13; + src <= 80; + when "0011110" => + dest <= 80; + src <= 21; + when "0011111" => + dest <= 47; + src <= 49; + when "0100000" => + dest <= 23; + src <= 76; + when "0100001" => + dest <= 80; + src <= 29; + when "0100010" => + dest <= 37; + src <= 80; + when "0100011" => + dest <= 33; + src <= 80; + when "0100100" => + dest <= 80; + src <= 13; + when "0100101" => + dest <= 80; + src <= 57; + when "0100110" => + dest <= 71; + src <= 80; + when "0100111" => + dest <= 58; + src <= 23; + when "0101000" => + dest <= 20; + src <= 47; + when "0101001" => + dest <= 17; + src <= 8; + when "0101010" => + dest <= 50; + src <= 33; + when "0101011" => + dest <= 80; + src <= 37; + when "0101100" => + dest <= 28; + src <= 80; + when "0101101" => + dest <= 42; + src <= 62; + when "0101110" => + dest <= 12; + src <= 80; + when "0101111" => + dest <= 80; + src <= 12; + when "0110000" => + dest <= 80; + src <= 50; + when "0110001" => + dest <= 66; + src <= 80; + when "0110010" => + dest <= 67; + src <= 20; + when "0110011" => + dest <= 80; + src <= 80; + when "0110100" => + dest <= 56; + src <= 42; + when "0110101" => + dest <= 80; + src <= 28; + when "0110110" => + dest <= 4; + src <= 58; + when "0110111" => + dest <= 46; + src <= 80; + when "0111000" => + dest <= 80; + src <= 4; + when "0111001" => + dest <= 14; + src <= 3; + when "0111010" => + dest <= 36; + src <= 80; + when "0111011" => + dest <= 24; + src <= 14; + when "0111100" => + dest <= 80; + src <= 80; + when "0111101" => + dest <= 27; + src <= 66; + when "0111110" => + dest <= 43; + src <= 24; + when "0111111" => + dest <= 80; + src <= 80; + when "1000000" => + dest <= 19; + src <= 56; + when "1000001" => + dest <= 80; + src <= 34; + when "1000010" => + dest <= 59; + src <= 46; + when "1000011" => + dest <= 11; + src <= 80; + when "1000100" => + dest <= 51; + src <= 43; + when "1000101" => + dest <= 80; + src <= 27; + when "1000110" => + dest <= 3; + src <= 80; + when "1000111" => + dest <= 80; + src <= 51; + when "1001000" => + dest <= 68; + src <= 80; + when "1001001" => + dest <= 65; + src <= 11; + when "1001010" => + dest <= 45; + src <= 59; + when "1001011" => + dest <= 34; + src <= 19; + when "1001100" => + dest <= 80; + src <= 80; + when "1001101" => + dest <= 55; + src <= 67; + when "1001110" => + dest <= 80; + src <= 2; + when "1001111" => + dest <= 5; + src <= 5; + when "1010000" => + dest <= 63; + src <= 25; + when "1010001" => + dest <= 15; + src <= 80; + when "1010010" => + dest <= 52; + src <= 36; + when "1010011" => + dest <= 18; + src <= 15; + when "1010100" => + dest <= 80; + src <= 7; + when "1010101" => + dest <= 60; + src <= 65; + when "1010110" => + dest <= 10; + src <= 80; + when "1010111" => + dest <= 80; + src <= 55; + when "1011000" => + dest <= 2; + src <= 18; + when "1011001" => + dest <= 70; + src <= 52; + when "1011010" => + dest <= 64; + src <= 80; + when "1011011" => + dest <= 69; + src <= 10; + when "1011100" => + dest <= 25; + src <= 60; + when "1011101" => + dest <= 62; + src <= 0; + when "1011110" => + dest <= 1; + src <= 68; + when "1011111" => + dest <= 6; + src <= 6; + when "1100000" => + dest <= 61; + src <= 1; + when "1100001" => + dest <= 9; + src <= 17; + when "1100010" => + dest <= 53; + src <= 45; + when "1100011" => + dest <= 74; + src <= 69; + when "1100100" => + dest <= 16; + src <= 64; + when "1100101" => + dest <= 80; + src <= 9; + when "1100110" => + dest <= 26; + src <= 61; + when "1100111" => + dest <= 80; + src <= 26; + when "1101000" => + dest <= 0; + src <= 77; + when "1101001" => + dest <= 73; + src <= 54; + when "1101010" => + dest <= 7; + src <= 80; + when "1101011" => + dest <= 80; + src <= 53; + when "1101100" => + dest <= 78; + src <= 70; + when "1101101" => + dest <= 80; + src <= 78; + when "1101110" => + dest <= 80; + src <= 63; + when "1101111" => + dest <= 39; + src <= 80; + when "1110000" => + dest <= 31; + src <= 73; + when others => + dest <= 80; + src <= 80; + + end case; + end process; + + end generate NI_NUM80; + +end data; diff --git a/noc/vhdl/generated/bt9x9/router_ST.vhd b/noc/vhdl/generated/bt9x9/router_ST.vhd deleted file mode 100644 index b0e221c..0000000 --- a/noc/vhdl/generated/bt9x9/router_ST.vhd +++ /dev/null @@ -1,709 +0,0 @@ -------------------------------------------------------------- --- router_ST.vhd --- This is an auto generated file, do not edit by hand. -------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -use work.leros_types.all; -use work.noc_types.all; - -entity router_ST is - port ( - count : in unsigned(6 downto 0); - sels : out select_signals - ); -end router_ST; - -architecture data of router_ST is -begin -- data - -process(count) begin - - case count is - - when "0000000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "0000001" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "0000010" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "0000011" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0000100" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0000101" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "0000110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "0000111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0001000" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0001001" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "0001010" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0001011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "0001100" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0001101" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "0001110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "0001111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0010000" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "0010001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0010010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "0010011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 1; - when "0010100" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0010101" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 2; - when "0010110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0010111" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "0011000" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0011001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0011010" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "0011011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0011100" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0011101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0011110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "0011111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "0100000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0100001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "0100010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "0100011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0100100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0100101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 1; - when "0100110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0100111" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0101000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "0101001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 2; - when "0101010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "0101011" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 3; - sels(4) <= 1; - when "0101100" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0101101" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0101110" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0101111" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "0110000" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0110001" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0110010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "0110011" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 0; - when "0110100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0110101" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "0110110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0110111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0111000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 3; - when "0111001" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "0111010" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "0111011" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 3; - when "0111100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "0111101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 1; - when "0111110" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "0111111" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 0; - when "1000000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "1000001" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "1000010" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "1000011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "1000100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "1000101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "1000110" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 0; - when "1000111" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "1001000" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "1001001" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "1001010" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "1001011" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 1; - when "1001100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "1001101" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "1001110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "1001111" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "1010000" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "1010001" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 0; - when "1010010" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "1010011" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 3; - when "1010100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "1010101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "1010110" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "1010111" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 1; - when "1011000" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "1011001" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "1011010" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "1011011" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "1011100" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 0; - when "1011101" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "1011110" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 3; - sels(4) <= 0; - when "1011111" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "1100000" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "1100001" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 2; - when "1100010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "1100011" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "1100100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 1; - when "1100101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "1100110" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "1100111" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 2; - when "1101000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 1; - sels(4) <= 3; - when "1101001" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "1101010" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 0; - when "1101011" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "1101100" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "1101101" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 3; - when "1101110" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "1101111" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "1110000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when others => sels <= (others => 0); - - end case; -end process; - -end data; diff --git a/noc/vhdl/generated/bt9x9/router_ST_81.vhd b/noc/vhdl/generated/bt9x9/router_ST_81.vhd new file mode 100644 index 0000000..50f5490 --- /dev/null +++ b/noc/vhdl/generated/bt9x9/router_ST_81.vhd @@ -0,0 +1,711 @@ +------------------------------------------------------------- +-- router_ST_81.vhd +-- This is an auto generated file, do not edit by hand. +------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.noc_types.all; + +entity router_ST_81 is + generic ( + NI_NUM : natural + ); + port ( + count : in unsigned(6 downto 0); + sels : out select_signals + ); +end router_ST_81; + +architecture data of router_ST_81 is +begin -- data + +process(count) begin + + case count is + + when "0000000" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= D; + when "0000001" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= L; + sels(W) <= D; + sels(L) <= D; + when "0000010" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= N; + sels(W) <= L; + sels(L) <= D; + when "0000011" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0000100" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0000101" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "0000110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= D; + when "0000111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0001000" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0001001" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "0001010" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "0001011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= D; + when "0001100" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= W; + sels(W) <= E; + sels(L) <= N; + when "0001101" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "0001110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= L; + sels(L) <= D; + when "0001111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0010000" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "0010001" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0010010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= L; + sels(L) <= E; + when "0010011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= E; + when "0010100" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0010101" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= S; + when "0010110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0010111" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= N; + sels(L) <= E; + when "0011000" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0011001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0011010" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "0011011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "0011100" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "0011101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0011110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "0011111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "0100000" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0100001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "0100010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= D; + when "0100011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0100100" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0100101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= E; + when "0100110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0100111" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0101000" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "0101001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= S; + when "0101010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= E; + sels(L) <= W; + when "0101011" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= L; + sels(W) <= S; + sels(L) <= E; + when "0101100" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0101101" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= W; + sels(W) <= E; + sels(L) <= N; + when "0101110" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0101111" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "0110000" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= E; + sels(L) <= N; + when "0110001" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0110010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "0110011" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= D; + when "0110100" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= E; + sels(L) <= N; + when "0110101" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "0110110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "0110111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= D; + when "0111000" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= L; + sels(W) <= E; + sels(L) <= W; + when "0111001" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "0111010" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= D; + when "0111011" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= L; + sels(W) <= E; + sels(L) <= W; + when "0111100" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "0111101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= E; + when "0111110" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "0111111" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= E; + sels(L) <= D; + when "1000000" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= N; + sels(L) <= E; + when "1000001" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "1000010" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "1000011" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "1000100" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= W; + sels(W) <= S; + sels(L) <= N; + when "1000101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "1000110" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= D; + when "1000111" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "1001000" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "1001001" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "1001010" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "1001011" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= E; + when "1001100" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "1001101" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= E; + sels(L) <= N; + when "1001110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "1001111" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= N; + sels(W) <= D; + sels(L) <= W; + when "1010000" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= N; + sels(W) <= D; + sels(L) <= W; + when "1010001" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= L; + sels(W) <= N; + sels(L) <= D; + when "1010010" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "1010011" => + sels(N) <= D; + sels(E) <= S; + sels(S) <= L; + sels(W) <= E; + sels(L) <= W; + when "1010100" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "1010101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= N; + sels(L) <= E; + when "1010110" => + sels(N) <= S; + sels(E) <= D; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "1010111" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= N; + sels(W) <= S; + sels(L) <= E; + when "1011000" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "1011001" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= N; + when "1011010" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= W; + sels(W) <= E; + sels(L) <= D; + when "1011011" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "1011100" => + sels(N) <= L; + sels(E) <= W; + sels(S) <= D; + sels(W) <= S; + sels(L) <= N; + when "1011101" => + sels(N) <= L; + sels(E) <= S; + sels(S) <= W; + sels(W) <= D; + sels(L) <= E; + when "1011110" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= L; + sels(W) <= S; + sels(L) <= N; + when "1011111" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= N; + sels(W) <= E; + sels(L) <= W; + when "1100000" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "1100001" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= L; + sels(W) <= N; + sels(L) <= S; + when "1100010" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= W; + sels(W) <= N; + sels(L) <= E; + when "1100011" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= D; + sels(W) <= E; + sels(L) <= N; + when "1100100" => + sels(N) <= L; + sels(E) <= D; + sels(S) <= W; + sels(W) <= S; + sels(L) <= E; + when "1100101" => + sels(N) <= S; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "1100110" => + sels(N) <= S; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= N; + when "1100111" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= N; + sels(W) <= D; + sels(L) <= S; + when "1101000" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= L; + sels(W) <= N; + sels(L) <= W; + when "1101001" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= N; + sels(W) <= D; + sels(L) <= E; + when "1101010" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= N; + sels(W) <= D; + sels(L) <= D; + when "1101011" => + sels(N) <= D; + sels(E) <= L; + sels(S) <= W; + sels(W) <= D; + sels(L) <= N; + when "1101100" => + sels(N) <= D; + sels(E) <= W; + sels(S) <= L; + sels(W) <= D; + sels(L) <= N; + when "1101101" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= N; + sels(L) <= W; + when "1101110" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= L; + sels(L) <= E; + when "1101111" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= E; + sels(L) <= D; + when "1110000" => + sels(N) <= D; + sels(E) <= D; + sels(S) <= D; + sels(W) <= D; + sels(L) <= E; + when others => sels <= (others => D); + + end case; +end process; + +end data; diff --git a/noc/vhdl/ni_ST.vhd b/noc/vhdl/ni_ST.vhd index 77fac1d..14087cf 100644 --- a/noc/vhdl/ni_ST.vhd +++ b/noc/vhdl/ni_ST.vhd @@ -10,898 +10,56 @@ use work.leros_types.all; use work.noc_types.all; entity ni_ST is - generic ( - NI_NUM : natural); - port ( - count : in unsigned(4 downto 0); - dest : out integer range 0 to 9; - src : out integer range 0 to 9 - ); + generic ( + NI_NUM : natural); + port ( + count : in unsigned(4 downto 0); + dest : out integer range 0 to 9; + src : out integer range 0 to 9 + ); end ni_ST; architecture data of ni_ST is -begin -- data - - NI_NUM0 : if NI_NUM = 0 generate - process(count) begin - - case count is - - when "00000" => - dest <= 8; - src <= 0; - when "00001" => - dest <= 0; - src <= 0; - when "00010" => - dest <= 0; - src <= 0; - when "00011" => - dest <= 0; - src <= 0; - when "00100" => - dest <= 0; - src <= 0; - when "00101" => - dest <= 4; - src <= 6; - when "00110" => - dest <= 5; - src <= 0; - when "00111" => - dest <= 0; - src <= 0; - when "01000" => - dest <= 0; - src <= 0; - when "01001" => - dest <= 2; - src <= 0; - when "01010" => - dest <= 7; - src <= 0; - when "01011" => - dest <= 0; - src <= 0; - when "01100" => - dest <= 0; - src <= 8; - when "01101" => - dest <= 0; - src <= 0; - when "01110" => - dest <= 0; - src <= 0; - when "01111" => - dest <= 0; - src <= 0; - when "10000" => - dest <= 0; - src <= 0; - when "10001" => - dest <= 3; - src <= 5; - when "10010" => - dest <= 0; - src <= 0; - when "10011" => - dest <= 6; - src <= 7; - when "10100" => - dest <= 0; - src <= 0; - when "10101" => - dest <= 0; - src <= 0; - when "10110" => - dest <= 0; - src <= 4; - when "10111" => - dest <= 0; - src <= 0; - when "11000" => - dest <= 1; - src <= 2; - when "11001" => - dest <= 0; - src <= 0; - when "11010" => - dest <= 0; - src <= 3; - when "11011" => - dest <= 0; - src <= 1; - when others => - dest <= 0; - src <= 0; - - end case; - end process; - - end generate NI_NUM0; - - NI_NUM1 : if NI_NUM = 1 generate - process(count) begin - - case count is - - when "00000" => - dest <= 1; - src <= 1; - when "00001" => - dest <= 1; - src <= 1; - when "00010" => - dest <= 1; - src <= 1; - when "00011" => - dest <= 1; - src <= 3; - when "00100" => - dest <= 1; - src <= 1; - when "00101" => - dest <= 5; - src <= 7; - when "00110" => - dest <= 1; - src <= 1; - when "00111" => - dest <= 1; - src <= 1; - when "01000" => - dest <= 1; - src <= 1; - when "01001" => - dest <= 1; - src <= 1; - when "01010" => - dest <= 8; - src <= 1; - when "01011" => - dest <= 1; - src <= 1; - when "01100" => - dest <= 6; - src <= 1; - when "01101" => - dest <= 1; - src <= 1; - when "01110" => - dest <= 1; - src <= 6; - when "01111" => - dest <= 1; - src <= 1; - when "10000" => - dest <= 1; - src <= 1; - when "10001" => - dest <= 4; - src <= 1; - when "10010" => - dest <= 3; - src <= 1; - when "10011" => - dest <= 7; - src <= 8; - when "10100" => - dest <= 1; - src <= 1; - when "10101" => - dest <= 1; - src <= 1; - when "10110" => - dest <= 1; - src <= 5; - when "10111" => - dest <= 1; - src <= 1; - when "11000" => - dest <= 2; - src <= 1; - when "11001" => - dest <= 1; - src <= 0; - when "11010" => - dest <= 0; - src <= 4; - when "11011" => - dest <= 1; - src <= 2; - when others => - dest <= 1; - src <= 1; - - end case; - end process; - - end generate NI_NUM1; - - NI_NUM2 : if NI_NUM = 2 generate - process(count) begin - - case count is - - when "00000" => - dest <= 2; - src <= 2; - when "00001" => - dest <= 2; - src <= 2; - when "00010" => - dest <= 6; - src <= 2; - when "00011" => - dest <= 2; - src <= 4; - when "00100" => - dest <= 2; - src <= 2; - when "00101" => - dest <= 2; - src <= 8; - when "00110" => - dest <= 2; - src <= 2; - when "00111" => - dest <= 3; - src <= 2; - when "01000" => - dest <= 2; - src <= 6; - when "01001" => - dest <= 2; - src <= 2; - when "01010" => - dest <= 2; - src <= 2; - when "01011" => - dest <= 2; - src <= 0; - when "01100" => - dest <= 7; - src <= 2; - when "01101" => - dest <= 2; - src <= 2; - when "01110" => - dest <= 2; - src <= 7; - when "01111" => - dest <= 2; - src <= 2; - when "10000" => - dest <= 2; - src <= 3; - when "10001" => - dest <= 5; - src <= 2; - when "10010" => - dest <= 4; - src <= 2; - when "10011" => - dest <= 8; - src <= 2; - when "10100" => - dest <= 2; - src <= 2; - when "10101" => - dest <= 2; - src <= 2; - when "10110" => - dest <= 0; - src <= 2; - when "10111" => - dest <= 2; - src <= 2; - when "11000" => - dest <= 2; - src <= 2; - when "11001" => - dest <= 2; - src <= 1; - when "11010" => - dest <= 1; - src <= 5; - when "11011" => - dest <= 2; - src <= 2; - when others => - dest <= 2; - src <= 2; - - end case; - end process; - - end generate NI_NUM2; - - NI_NUM3 : if NI_NUM = 3 generate - process(count) begin - - case count is - - when "00000" => - dest <= 3; - src <= 3; - when "00001" => - dest <= 1; - src <= 3; - when "00010" => - dest <= 3; - src <= 3; - when "00011" => - dest <= 3; - src <= 3; - when "00100" => - dest <= 3; - src <= 3; - when "00101" => - dest <= 7; - src <= 3; - when "00110" => - dest <= 8; - src <= 3; - when "00111" => - dest <= 3; - src <= 3; - when "01000" => - dest <= 3; - src <= 3; - when "01001" => - dest <= 5; - src <= 3; - when "01010" => - dest <= 3; - src <= 2; - when "01011" => - dest <= 3; - src <= 3; - when "01100" => - dest <= 3; - src <= 3; - when "01101" => - dest <= 2; - src <= 3; - when "01110" => - dest <= 3; - src <= 3; - when "01111" => - dest <= 3; - src <= 3; - when "10000" => - dest <= 3; - src <= 3; - when "10001" => - dest <= 6; - src <= 8; - when "10010" => - dest <= 3; - src <= 0; - when "10011" => - dest <= 3; - src <= 3; - when "10100" => - dest <= 3; - src <= 1; - when "10101" => - dest <= 3; - src <= 3; - when "10110" => - dest <= 3; - src <= 7; - when "10111" => - dest <= 3; - src <= 3; - when "11000" => - dest <= 4; - src <= 5; - when "11001" => - dest <= 0; - src <= 3; - when "11010" => - dest <= 3; - src <= 6; - when "11011" => - dest <= 3; - src <= 4; - when others => - dest <= 3; - src <= 3; - - end case; - end process; - - end generate NI_NUM3; - - NI_NUM4 : if NI_NUM = 4 generate - process(count) begin - - case count is - - when "00000" => - dest <= 4; - src <= 4; - when "00001" => - dest <= 2; - src <= 4; - when "00010" => - dest <= 4; - src <= 4; - when "00011" => - dest <= 4; - src <= 6; - when "00100" => - dest <= 4; - src <= 4; - when "00101" => - dest <= 8; - src <= 4; - when "00110" => - dest <= 4; - src <= 4; - when "00111" => - dest <= 4; - src <= 0; - when "01000" => - dest <= 4; - src <= 4; - when "01001" => - dest <= 4; - src <= 4; - when "01010" => - dest <= 4; - src <= 4; - when "01011" => - dest <= 4; - src <= 4; - when "01100" => - dest <= 4; - src <= 4; - when "01101" => - dest <= 4; - src <= 4; - when "01110" => - dest <= 4; - src <= 4; - when "01111" => - dest <= 4; - src <= 4; - when "10000" => - dest <= 4; - src <= 4; - when "10001" => - dest <= 7; - src <= 4; - when "10010" => - dest <= 6; - src <= 1; - when "10011" => - dest <= 4; - src <= 4; - when "10100" => - dest <= 0; - src <= 2; - when "10101" => - dest <= 4; - src <= 4; - when "10110" => - dest <= 4; - src <= 8; - when "10111" => - dest <= 4; - src <= 4; - when "11000" => - dest <= 5; - src <= 4; - when "11001" => - dest <= 1; - src <= 3; - when "11010" => - dest <= 3; - src <= 7; - when "11011" => - dest <= 4; - src <= 5; - when others => - dest <= 4; - src <= 4; - - end case; - end process; - - end generate NI_NUM4; - - NI_NUM5 : if NI_NUM = 5 generate - process(count) begin - - case count is - - when "00000" => - dest <= 5; - src <= 5; - when "00001" => - dest <= 5; - src <= 5; - when "00010" => - dest <= 5; - src <= 5; - when "00011" => - dest <= 5; - src <= 7; - when "00100" => - dest <= 5; - src <= 5; - when "00101" => - dest <= 5; - src <= 5; - when "00110" => - dest <= 5; - src <= 5; - when "00111" => - dest <= 6; - src <= 1; - when "01000" => - dest <= 5; - src <= 5; - when "01001" => - dest <= 5; - src <= 0; - when "01010" => - dest <= 5; - src <= 5; - when "01011" => - dest <= 5; - src <= 3; - when "01100" => - dest <= 5; - src <= 5; - when "01101" => - dest <= 5; - src <= 5; - when "01110" => - dest <= 0; - src <= 5; - when "01111" => - dest <= 5; - src <= 5; - when "10000" => - dest <= 5; - src <= 6; - when "10001" => - dest <= 8; - src <= 5; - when "10010" => - dest <= 7; - src <= 2; - when "10011" => - dest <= 5; - src <= 5; - when "10100" => - dest <= 1; - src <= 5; - when "10101" => - dest <= 5; - src <= 5; - when "10110" => - dest <= 3; - src <= 5; - when "10111" => - dest <= 5; - src <= 5; - when "11000" => - dest <= 5; - src <= 5; - when "11001" => - dest <= 2; - src <= 4; - when "11010" => - dest <= 4; - src <= 8; - when "11011" => - dest <= 5; - src <= 5; - when others => - dest <= 5; - src <= 5; - - end case; - end process; - - end generate NI_NUM5; - - NI_NUM6 : if NI_NUM = 6 generate - process(count) begin - - case count is - - when "00000" => - dest <= 6; - src <= 6; - when "00001" => - dest <= 4; - src <= 6; - when "00010" => - dest <= 6; - src <= 6; - when "00011" => - dest <= 0; - src <= 6; - when "00100" => - dest <= 2; - src <= 6; - when "00101" => - dest <= 6; - src <= 6; - when "00110" => - dest <= 6; - src <= 2; - when "00111" => - dest <= 6; - src <= 6; - when "01000" => - dest <= 6; - src <= 6; - when "01001" => - dest <= 8; - src <= 6; - when "01010" => - dest <= 6; - src <= 5; - when "01011" => - dest <= 1; - src <= 6; - when "01100" => - dest <= 6; - src <= 6; - when "01101" => - dest <= 5; - src <= 6; - when "01110" => - dest <= 6; - src <= 6; - when "01111" => - dest <= 6; - src <= 1; - when "10000" => - dest <= 6; - src <= 6; - when "10001" => - dest <= 6; - src <= 6; - when "10010" => - dest <= 6; - src <= 3; - when "10011" => - dest <= 6; - src <= 6; - when "10100" => - dest <= 6; - src <= 4; - when "10101" => - dest <= 6; - src <= 0; - when "10110" => - dest <= 6; - src <= 6; - when "10111" => - dest <= 6; - src <= 6; - when "11000" => - dest <= 7; - src <= 8; - when "11001" => - dest <= 3; - src <= 6; - when "11010" => - dest <= 6; - src <= 6; - when "11011" => - dest <= 6; - src <= 7; - when others => - dest <= 6; - src <= 6; - - end case; - end process; - - end generate NI_NUM6; - - NI_NUM7 : if NI_NUM = 7 generate - process(count) begin - - case count is - - when "00000" => - dest <= 7; - src <= 7; - when "00001" => - dest <= 5; - src <= 7; - when "00010" => - dest <= 7; - src <= 7; - when "00011" => - dest <= 1; - src <= 7; - when "00100" => - dest <= 7; - src <= 7; - when "00101" => - dest <= 7; - src <= 7; - when "00110" => - dest <= 7; - src <= 7; - when "00111" => - dest <= 7; - src <= 3; - when "01000" => - dest <= 7; - src <= 7; - when "01001" => - dest <= 7; - src <= 7; - when "01010" => - dest <= 7; - src <= 7; - when "01011" => - dest <= 2; - src <= 7; - when "01100" => - dest <= 7; - src <= 7; - when "01101" => - dest <= 7; - src <= 0; - when "01110" => - dest <= 7; - src <= 7; - when "01111" => - dest <= 7; - src <= 2; - when "10000" => - dest <= 0; - src <= 7; - when "10001" => - dest <= 7; - src <= 7; - when "10010" => - dest <= 7; - src <= 4; - when "10011" => - dest <= 7; - src <= 7; - when "10100" => - dest <= 3; - src <= 5; - when "10101" => - dest <= 7; - src <= 1; - when "10110" => - dest <= 7; - src <= 7; - when "10111" => - dest <= 7; - src <= 7; - when "11000" => - dest <= 8; - src <= 7; - when "11001" => - dest <= 4; - src <= 6; - when "11010" => - dest <= 6; - src <= 7; - when "11011" => - dest <= 7; - src <= 8; - when others => - dest <= 7; - src <= 7; - - end case; - end process; - - end generate NI_NUM7; - - NI_NUM8 : if NI_NUM = 8 generate - process(count) begin - - case count is - - when "00000" => - dest <= 8; - src <= 8; - when "00001" => - dest <= 8; - src <= 8; - when "00010" => - dest <= 8; - src <= 8; - when "00011" => - dest <= 2; - src <= 8; - when "00100" => - dest <= 8; - src <= 0; - when "00101" => - dest <= 8; - src <= 8; - when "00110" => - dest <= 8; - src <= 8; - when "00111" => - dest <= 8; - src <= 4; - when "01000" => - dest <= 0; - src <= 8; - when "01001" => - dest <= 8; - src <= 3; - when "01010" => - dest <= 8; - src <= 8; - when "01011" => - dest <= 8; - src <= 6; - when "01100" => - dest <= 8; - src <= 8; - when "01101" => - dest <= 8; - src <= 1; - when "01110" => - dest <= 3; - src <= 8; - when "01111" => - dest <= 8; - src <= 8; - when "10000" => - dest <= 1; - src <= 8; - when "10001" => - dest <= 8; - src <= 8; - when "10010" => - dest <= 8; - src <= 5; - when "10011" => - dest <= 8; - src <= 8; - when "10100" => - dest <= 4; - src <= 8; - when "10101" => - dest <= 8; - src <= 2; - when "10110" => - dest <= 6; - src <= 8; - when "10111" => - dest <= 8; - src <= 8; - when "11000" => - dest <= 8; - src <= 8; - when "11001" => - dest <= 5; - src <= 7; - when "11010" => - dest <= 7; - src <= 8; - when "11011" => - dest <= 8; - src <= 8; - when others => - dest <= 8; - src <= 8; - - end case; - end process; - - end generate NI_NUM8; +begin -- data + + st3x3 : if TOTAL_NI_NUM = 9 generate + st : entity work.ni_ST_9 + generic map ( + NI_NUM => NI_NUM) + port map ( + count => count, + dest => dest, + src => src); + end generate st3x3; + + st4x4 : if TOTAL_NI_NUM = 16 generate + st : entity work.ni_ST_16 + generic map ( + NI_NUM => NI_NUM) + port map ( + count => count, + dest => dest, + src => src); + end generate st4x4; + + st5x5 : if TOTAL_NI_NUM = 25 generate + st : entity work.ni_ST_25 + generic map ( + NI_NUM => NI_NUM) + port map ( + count => count, + dest => dest, + src => src); + end generate st5x5; + + st6x6 : if TOTAL_NI_NUM = 36 generate + st : entity work.ni_ST_36 + generic map ( + NI_NUM => NI_NUM) + port map ( + count => count, + dest => dest, + src => src); + end generate st6x6; end data; diff --git a/noc/vhdl/router_ST.vhd b/noc/vhdl/router_ST.vhd index d6deaf8..9f1c1e1 100644 --- a/noc/vhdl/router_ST.vhd +++ b/noc/vhdl/router_ST.vhd @@ -6,194 +6,54 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -use work.leros_types.all; use work.noc_types.all; entity router_ST is - port ( - count : in unsigned(4 downto 0); - sels : out select_signals - ); + generic ( + NI_NUM : natural); + port ( + count : in unsigned(4 downto 0); + sels : out select_signals + ); end router_ST; architecture data of router_ST is -begin -- data - -process(count) begin - - case count is - - when "00000" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "00001" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "00010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "00011" => - sels(0) <= 1; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 0; - when "00100" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 3; - sels(4) <= 3; - when "00101" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "00110" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "00111" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "01000" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 3; - sels(4) <= 0; - when "01001" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 2; - sels(4) <= 3; - when "01010" => - sels(0) <= 3; - sels(1) <= 1; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "01011" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 3; - when "01100" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "01101" => - sels(0) <= 1; - sels(1) <= 2; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 3; - when "01110" => - sels(0) <= 0; - sels(1) <= 1; - sels(2) <= 1; - sels(3) <= 3; - sels(4) <= 0; - when "01111" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 1; - when "10000" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 2; - sels(4) <= 0; - when "10001" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 2; - sels(3) <= 0; - sels(4) <= 1; - when "10010" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 2; - when "10011" => - sels(0) <= 3; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 3; - sels(4) <= 1; - when "10100" => - sels(0) <= 1; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 1; - when "10101" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 1; - sels(4) <= 2; - when "10110" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "10111" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 2; - sels(4) <= 0; - when "11000" => - sels(0) <= 0; - sels(1) <= 2; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when "11001" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 1; - sels(3) <= 0; - sels(4) <= 3; - when "11010" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 0; - when "11011" => - sels(0) <= 0; - sels(1) <= 0; - sels(2) <= 0; - sels(3) <= 0; - sels(4) <= 1; - when others => sels <= (others => 0); - - end case; -end process; +begin -- data + + st3x3 : if TOTAL_NI_NUM = 9 generate + st : entity work.router_ST_9 + generic map ( + NI_NUM => NI_NUM) + port map ( + count => count, + sels => sels); + end generate st3x3; + + st4x4 : if TOTAL_NI_NUM = 16 generate + st : entity work.router_ST_16 + generic map ( + NI_NUM => NI_NUM) + port map ( + count => count, + sels => sels); + end generate st4x4; + + st5x5 : if TOTAL_NI_NUM = 25 generate + st : entity work.router_ST_25 + generic map ( + NI_NUM => NI_NUM) + port map ( + count => count, + sels => sels); + end generate st5x5; + + st6x6 : if TOTAL_NI_NUM = 36 generate + st : entity work.router_ST_36 + generic map ( + NI_NUM => NI_NUM) + port map ( + count => count, + sels => sels); + end generate st6x6; end data;