Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Question about using verilator! #1

Closed
seungbinshin opened this issue Mar 18, 2024 · 6 comments
Closed

Question about using verilator! #1

seungbinshin opened this issue Mar 18, 2024 · 6 comments

Comments

@seungbinshin
Copy link

Hello, thankyou for your amazing work.

I'm currently trying to use your verilog code generator for wallace tree and dadda tree.

However, I'm now in problem with installing requirements.

So far, I finished to run ghdl.sh and verilator.sh...however, I don't have any idea what to do from now on. Can you tell me about details for me to run your generator successfully? Thank you.

@taneroksuz
Copy link
Owner

taneroksuz commented Mar 20, 2024 via email

@seungbinshin
Copy link
Author

Hi, I did write some documentation in repository about execution of make file with some options. I did also fix the compilation osvvm library with ghdl, so the script "ghdl.sh" in tools directory must be rerun again. Sorry for the inconvenience. Best regards

________________________________ Von: SeungBin Shin @.> Gesendet: Montag, 18. März 2024 06:21 An: taneroksuz/mult-tree @.> Cc: Subscribed @.> Betreff: [taneroksuz/mult-tree] Question about using verilator! (Issue #1) Hello, thankyou for your amazing work. I'm currently trying to use your verilog code generator for wallace tree and dadda tree. However, I'm now in problem with installing requirements. So far, I finished to run ghdl.sh and verilator.sh...however, I don't have any idea what to do from now on. Can you tell me about details for me to run your generator successfully? Thank you. — Reply to this email directly, view it on GitHub<#1>, or unsubscribehttps://github.com/notifications/unsubscribe-auth/AL54MS7LL3M4D47XD2GE6HDYYZ2VPAVCNFSM6AAAAABE24TFT2VHI2DSMVQWIX3LMV43ASLTON2WKOZSGE4TCMRVHAYDGOI. You are receiving this because you are subscribed to this thread.Message ID: @.>

Hello, Thank you for replying.

Maybe after few works with compiler, I think it will work well from now.

However, I want to talk about some additional options; that changes number of input.

For example, make run_mul WALLACE=1 VERILOG=1 SIZE=32 BITWIDTH=25 MAXTIME=1000 or so on.

I think you might be busy for other works, thus I am curious about what part I should focus on within cpp codes to implement those options.

To tell you why I'm trying to implement those options-

currently I'm diving on custom hardware's mac-tree architecture optimizaiton, and our mac-tree is using wallace tree structure. However, It is generated by other developers wallace-tree generator code.

Our wallace tree is just for adding, thus no partial product is required. Input data number varies, and input's bitwidth varies too, but not squre-shaped.(e.g. 64 input, each of 25bit, x1000 test cases)

To test various options, advanced generator is necessary, therefore I found your code.
Maybe your code generates only for one pair of data, then I need to improve more to handle much more datas.

Again, Thank you for advise and fixing it. If you have any insight for this, please feel free to tell me :)

Sincerely,

@seungbinshin
Copy link
Author

Well, I'm sorry to keep annoying you...
After setting gcc version as 11.4.0 to support #include , I've got error messages as follows :
image

I don't have any Idea for those errors...yes... Thanks :)

@taneroksuz
Copy link
Owner

taneroksuz commented Mar 21, 2024 via email

@seungbinshin
Copy link
Author

Thanks! I'll try other ways. Have a nice day!

@taneroksuz
Copy link
Owner

I've adjusted my source code and it generates now tree multiplier for inputs with different sizes.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants