{"payload":{"header_redesign_enabled":false,"results":[{"id":"429576492","archived":false,"color":"#adb2cb","followers":1,"has_funding_file":false,"hl_name":"touunix/Frequency-divider-VHDL","hl_trunc_description":"Frequency divider VHDL | Dzielnik częstotliwości VHDL","language":"VHDL","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":429576492,"name":"Frequency-divider-VHDL","owner_id":79804729,"owner_login":"touunix","updated_at":"2022-03-27T21:05:02.665Z","has_issues":true}},"sponsorable":false,"topics":["frequency","fpga","simulation","vhdl","divider","frequency-counter","vhdl-code"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":45,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Atouunix%252FFrequency-divider-VHDL%2B%2Blanguage%253AVHDL","metadata":null,"csrf_tokens":{"/touunix/Frequency-divider-VHDL/star":{"post":"y-kNaHt2AgvF_slrn97vkRcz0gGdBsCC-64mHusmAiBzlrAbMVIROOQp19Z1Z_S2qR0FDgZ9-99eHDDOP1oAsg"},"/touunix/Frequency-divider-VHDL/unstar":{"post":"JizfFIICuLGF6W0LWnqFgRgyF8TBZvnUsTMsKKoLYPIL923i9FLI6IoorAXErHtUwxAVs805FjF7wsBds8EViA"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"BWT8y7SjJcKa0QcwuaHcyHcFRDJ-E_Zxln9yfjAb9uI6Otc5VtxjFGwn4vSyOgePQQotJO38UJF5Q9h3Om571g"}}},"title":"Repository search results"}