Skip to content

Commit

Permalink
Warning that unscrambler.v isn't yet operational.
Browse files Browse the repository at this point in the history
  • Loading branch information
travisgoodspeed committed Dec 18, 2011
1 parent c2c367a commit 2279a07
Showing 1 changed file with 2 additions and 0 deletions.
2 changes: 2 additions & 0 deletions verilog/scrambledpip_tb.v
Expand Up @@ -26,6 +26,8 @@ module scrambledpip_tb();

$readmemh("beacon.hex", data);

$display ("WARNING: Scrambling compensator doesn't work yet.");

$display ("time\t clk reset enable in s1 s2 s3");
$monitor ( "%g\t %b %b %b %b %b %b %b",
$time, clock, reset, enable,
Expand Down

0 comments on commit 2279a07

Please sign in to comment.