diff --git a/crates/analyzer/src/analyzer_error.rs b/crates/analyzer/src/analyzer_error.rs index fbafa61c..997ed869 100644 --- a/crates/analyzer/src/analyzer_error.rs +++ b/crates/analyzer/src/analyzer_error.rs @@ -439,6 +439,23 @@ pub enum AnalyzerError { error_location: SourceSpan, }, + #[diagnostic( + severity(Error), + code(unknown_include_way), + help(""), + url( + "https://doc.veryl-lang.org/book/06_appendix/02_semantic_error.html#unknown_include_way" + ) + )] + #[error("\"{name}\" is not valid include way")] + UnknownIncludeWay { + name: String, + #[source_code] + input: NamedSource, + #[label("Error location")] + error_location: SourceSpan, + }, + #[diagnostic( severity(Error), code(unknown_member), @@ -596,6 +613,22 @@ pub enum AnalyzerError { #[label("Error location")] error_location: SourceSpan, }, + + #[diagnostic( + severity(Error), + code(include_failure), + help(""), + url("https://doc.veryl-lang.org/book/06_appendix/02_semantic_error.html#include_failure") + )] + #[error("\"{name}\" can't be read because \"{cause}\"")] + IncludeFailure { + name: String, + cause: String, + #[source_code] + input: NamedSource, + #[label("Error location")] + error_location: SourceSpan, + }, } impl AnalyzerError { @@ -890,6 +923,14 @@ impl AnalyzerError { } } + pub fn unknown_include_way(name: &str, source: &str, token: &TokenRange) -> Self { + AnalyzerError::UnknownIncludeWay { + name: name.to_string(), + input: AnalyzerError::named_source(source, token), + error_location: token.into(), + } + } + pub fn unknown_member(name: &str, member: &str, source: &str, token: &TokenRange) -> Self { AnalyzerError::UnknownMember { name: name.to_string(), @@ -977,4 +1018,13 @@ impl AnalyzerError { error_location: token.into(), } } + + pub fn include_failure(name: &str, cause: &str, source: &str, token: &TokenRange) -> Self { + AnalyzerError::IncludeFailure { + name: name.to_string(), + cause: cause.to_string(), + input: AnalyzerError::named_source(source, token), + error_location: token.into(), + } + } } diff --git a/crates/analyzer/src/handlers.rs b/crates/analyzer/src/handlers.rs index b60fc33f..9b3f8bc7 100644 --- a/crates/analyzer/src/handlers.rs +++ b/crates/analyzer/src/handlers.rs @@ -1,7 +1,7 @@ pub mod check_assignment; pub mod check_attribute; pub mod check_direction; -pub mod check_embed; +pub mod check_embed_include; pub mod check_enum; pub mod check_function; pub mod check_identifier; @@ -15,7 +15,7 @@ pub mod create_symbol_table; pub mod create_type_dag; use check_attribute::*; use check_direction::*; -use check_embed::*; +use check_embed_include::*; use check_enum::*; use check_function::*; use check_identifier::*; @@ -36,7 +36,7 @@ use self::{check_assignment::CheckAssignment, create_type_dag::CreateTypeDag}; pub struct Pass1Handlers<'a> { check_attribute: CheckAttribute<'a>, check_direction: CheckDirection<'a>, - check_embed: CheckEmbed<'a>, + check_embed_include: CheckEmbedInclude<'a>, check_identifier: CheckIdentifier<'a>, check_number: CheckNumber<'a>, check_reset: CheckReset<'a>, @@ -49,7 +49,7 @@ impl<'a> Pass1Handlers<'a> { Self { check_attribute: CheckAttribute::new(text), check_direction: CheckDirection::new(text), - check_embed: CheckEmbed::new(text), + check_embed_include: CheckEmbedInclude::new(text), check_identifier: CheckIdentifier::new(text, lint_opt), check_number: CheckNumber::new(text), check_reset: CheckReset::new(text), @@ -62,7 +62,7 @@ impl<'a> Pass1Handlers<'a> { vec![ &mut self.check_attribute as &mut dyn Handler, &mut self.check_direction as &mut dyn Handler, - &mut self.check_embed as &mut dyn Handler, + &mut self.check_embed_include as &mut dyn Handler, &mut self.check_identifier as &mut dyn Handler, &mut self.check_number as &mut dyn Handler, &mut self.check_reset as &mut dyn Handler, @@ -75,7 +75,7 @@ impl<'a> Pass1Handlers<'a> { let mut ret = Vec::new(); ret.append(&mut self.check_attribute.errors); ret.append(&mut self.check_direction.errors); - ret.append(&mut self.check_embed.errors); + ret.append(&mut self.check_embed_include.errors); ret.append(&mut self.check_identifier.errors); ret.append(&mut self.check_number.errors); ret.append(&mut self.check_reset.errors); diff --git a/crates/analyzer/src/handlers/check_embed.rs b/crates/analyzer/src/handlers/check_embed.rs deleted file mode 100644 index 00164b7a..00000000 --- a/crates/analyzer/src/handlers/check_embed.rs +++ /dev/null @@ -1,55 +0,0 @@ -use crate::analyzer_error::AnalyzerError; -use veryl_parser::veryl_grammar_trait::*; -use veryl_parser::veryl_walker::{Handler, HandlerPoint}; -use veryl_parser::ParolError; - -pub struct CheckEmbed<'a> { - pub errors: Vec, - text: &'a str, - point: HandlerPoint, -} - -impl<'a> CheckEmbed<'a> { - pub fn new(text: &'a str) -> Self { - Self { - errors: Vec::new(), - text, - point: HandlerPoint::Before, - } - } -} - -impl<'a> Handler for CheckEmbed<'a> { - fn set_point(&mut self, p: HandlerPoint) { - self.point = p; - } -} - -impl<'a> VerylGrammarTrait for CheckEmbed<'a> { - fn embed_declaration(&mut self, arg: &EmbedDeclaration) -> Result<(), ParolError> { - if let HandlerPoint::Before = self.point { - let way = arg.identifier.identifier_token.to_string(); - let lang = arg.identifier0.identifier_token.to_string(); - - if !EMBED_WAY.contains(&way.as_str()) { - self.errors.push(AnalyzerError::unknown_embed_way( - &way, - self.text, - &arg.identifier.as_ref().into(), - )); - } - - if !EMBED_LANG.contains(&lang.as_str()) { - self.errors.push(AnalyzerError::unknown_embed_lang( - &lang, - self.text, - &arg.identifier0.as_ref().into(), - )); - } - } - Ok(()) - } -} - -const EMBED_WAY: [&str; 1] = ["inline"]; -const EMBED_LANG: [&str; 1] = ["sv"]; diff --git a/crates/analyzer/src/handlers/check_embed_include.rs b/crates/analyzer/src/handlers/check_embed_include.rs new file mode 100644 index 00000000..6cb887a0 --- /dev/null +++ b/crates/analyzer/src/handlers/check_embed_include.rs @@ -0,0 +1,96 @@ +use crate::analyzer_error::AnalyzerError; +use std::fs; +use std::path::PathBuf; +use veryl_parser::resource_table; +use veryl_parser::veryl_grammar_trait::*; +use veryl_parser::veryl_token::TokenSource; +use veryl_parser::veryl_walker::{Handler, HandlerPoint}; +use veryl_parser::ParolError; + +pub struct CheckEmbedInclude<'a> { + pub errors: Vec, + text: &'a str, + point: HandlerPoint, +} + +impl<'a> CheckEmbedInclude<'a> { + pub fn new(text: &'a str) -> Self { + Self { + errors: Vec::new(), + text, + point: HandlerPoint::Before, + } + } +} + +impl<'a> Handler for CheckEmbedInclude<'a> { + fn set_point(&mut self, p: HandlerPoint) { + self.point = p; + } +} + +impl<'a> VerylGrammarTrait for CheckEmbedInclude<'a> { + fn embed_declaration(&mut self, arg: &EmbedDeclaration) -> Result<(), ParolError> { + if let HandlerPoint::Before = self.point { + let way = arg.identifier.identifier_token.to_string(); + let lang = arg.identifier0.identifier_token.to_string(); + + if !EMBED_WAY.contains(&way.as_str()) { + self.errors.push(AnalyzerError::unknown_embed_way( + &way, + self.text, + &arg.identifier.as_ref().into(), + )); + } + + if !EMBED_LANG.contains(&lang.as_str()) { + self.errors.push(AnalyzerError::unknown_embed_lang( + &lang, + self.text, + &arg.identifier0.as_ref().into(), + )); + } + } + Ok(()) + } + + fn include_declaration(&mut self, arg: &IncludeDeclaration) -> Result<(), ParolError> { + if let HandlerPoint::Before = self.point { + let way = arg.identifier.identifier_token.to_string(); + + if !INCLUDE_WAY.contains(&way.as_str()) { + self.errors.push(AnalyzerError::unknown_include_way( + &way, + self.text, + &arg.identifier.as_ref().into(), + )); + } + + let path = arg.string_literal.string_literal_token.to_string(); + let path = path.strip_prefix('"').unwrap(); + let path = path.strip_suffix('"').unwrap(); + if let TokenSource::File(x) = arg.identifier.identifier_token.token.source { + let mut base = resource_table::get_path_value(x).unwrap(); + if base.starts_with("file://") { + base = PathBuf::from(base.to_string_lossy().strip_prefix("file://").unwrap()); + } + let base = base.parent().unwrap(); + let path = base.join(path); + let text = fs::read_to_string(&path); + if let Err(err) = text { + self.errors.push(AnalyzerError::include_failure( + path.to_string_lossy().as_ref(), + &err.to_string(), + self.text, + &arg.string_literal.string_literal_token.token.into(), + )); + } + } + } + Ok(()) + } +} + +const EMBED_WAY: [&str; 1] = ["inline"]; +const EMBED_LANG: [&str; 1] = ["sv"]; +const INCLUDE_WAY: [&str; 1] = ["inline"]; diff --git a/crates/emitter/src/emitter.rs b/crates/emitter/src/emitter.rs index 78c75b38..3da1216f 100644 --- a/crates/emitter/src/emitter.rs +++ b/crates/emitter/src/emitter.rs @@ -1,4 +1,5 @@ use crate::aligner::{Aligner, Location}; +use std::fs; use veryl_analyzer::attribute::Attribute as Attr; use veryl_analyzer::attribute_table; use veryl_analyzer::namespace::Namespace; @@ -9,7 +10,7 @@ use veryl_analyzer::{msb_table, namespace_table}; use veryl_metadata::{Build, BuiltinType, ClockType, Format, Metadata, ResetType}; use veryl_parser::resource_table::{self, StrId}; use veryl_parser::veryl_grammar_trait::*; -use veryl_parser::veryl_token::{Token, VerylToken}; +use veryl_parser::veryl_token::{Token, TokenSource, VerylToken}; use veryl_parser::veryl_walker::VerylWalker; use veryl_parser::Stringifier; @@ -2826,6 +2827,24 @@ impl VerylWalker for Emitter { } } + /// Semantic action for non-terminal 'IncludeDeclaration' + fn include_declaration(&mut self, arg: &IncludeDeclaration) { + if arg.identifier.identifier_token.to_string() == "inline" { + let path = arg.string_literal.string_literal_token.to_string(); + let path = path.strip_prefix('"').unwrap(); + let path = path.strip_suffix('"').unwrap(); + if let TokenSource::File(x) = arg.identifier.identifier_token.token.source { + let base = resource_table::get_path_value(x).unwrap(); + let base = base.parent().unwrap(); + let path = base.join(path); + // File existence is checked at analyzer + let text = fs::read_to_string(path).unwrap(); + let text = text.replace('\r', ""); + self.str(&text); + } + } + } + /// Semantic action for non-terminal 'DescriptionGroup' fn description_group(&mut self, arg: &DescriptionGroup) { for x in &arg.description_group_list { @@ -2860,6 +2879,9 @@ impl VerylWalker for Emitter { // file scope import is not emitted at SystemVerilog DescriptionItem::ImportDeclaration(_) => (), DescriptionItem::EmbedDeclaration(x) => self.embed_declaration(&x.embed_declaration), + DescriptionItem::IncludeDeclaration(x) => { + self.include_declaration(&x.include_declaration) + } }; } diff --git a/crates/formatter/src/formatter.rs b/crates/formatter/src/formatter.rs index f1a87de5..27ac08db 100644 --- a/crates/formatter/src/formatter.rs +++ b/crates/formatter/src/formatter.rs @@ -1782,6 +1782,19 @@ impl VerylWalker for Formatter { self.str(&text); } + /// Semantic action for non-terminal 'IncludeDeclaration' + fn include_declaration(&mut self, arg: &IncludeDeclaration) { + self.include(&arg.include); + self.space(1); + self.l_paren(&arg.l_paren); + self.identifier(&arg.identifier); + self.comma(&arg.comma); + self.space(1); + self.string_literal(&arg.string_literal); + self.r_paren(&arg.r_paren); + self.semicolon(&arg.semicolon); + } + /// Semantic action for non-terminal 'DescriptionGroup' fn description_group(&mut self, arg: &DescriptionGroup) { for x in &arg.description_group_list { diff --git a/crates/languageserver/src/keyword.rs b/crates/languageserver/src/keyword.rs index b86dcf3c..b4b5cad3 100644 --- a/crates/languageserver/src/keyword.rs +++ b/crates/languageserver/src/keyword.rs @@ -22,6 +22,7 @@ pub const KEYWORDS: &[&str] = &[ "if_reset", "if", "import", + "include", "initial", "inout", "input", diff --git a/crates/parser/src/generated/veryl-exp.par b/crates/parser/src/generated/veryl-exp.par index 87f8ae64..6803f73a 100644 --- a/crates/parser/src/generated/veryl-exp.par +++ b/crates/parser/src/generated/veryl-exp.par @@ -73,817 +73,822 @@ /* 62 */ IfResetTerm: /(?-u:\b)if_reset(?-u:\b)/ : Token; /* 63 */ IfTerm: /(?-u:\b)if(?-u:\b)/ : Token; /* 64 */ ImportTerm: /(?-u:\b)import(?-u:\b)/ : Token; -/* 65 */ InitialTerm: /(?-u:\b)initial(?-u:\b)/ : Token; -/* 66 */ InoutTerm: /(?-u:\b)inout(?-u:\b)/ : Token; -/* 67 */ InputTerm: /(?-u:\b)input(?-u:\b)/ : Token; -/* 68 */ InsideTerm: /(?-u:\b)inside(?-u:\b)/ : Token; -/* 69 */ InstTerm: /(?-u:\b)inst(?-u:\b)/ : Token; -/* 70 */ InterfaceTerm: /(?-u:\b)interface(?-u:\b)/ : Token; -/* 71 */ InTerm: /(?-u:\b)in(?-u:\b)/ : Token; -/* 72 */ LetTerm: /(?-u:\b)let(?-u:\b)/ : Token; -/* 73 */ LocalTerm: /(?-u:\b)local(?-u:\b)/ : Token; -/* 74 */ LogicTerm: /(?-u:\b)logic(?-u:\b)/ : Token; -/* 75 */ LsbTerm: /(?-u:\b)lsb(?-u:\b)/ : Token; -/* 76 */ ModportTerm: /(?-u:\b)modport(?-u:\b)/ : Token; -/* 77 */ ModuleTerm: /(?-u:\b)module(?-u:\b)/ : Token; -/* 78 */ MsbTerm: /(?-u:\b)msb(?-u:\b)/ : Token; -/* 79 */ NegedgeTerm: /(?-u:\b)negedge(?-u:\b)/ : Token; -/* 80 */ OutputTerm: /(?-u:\b)output(?-u:\b)/ : Token; -/* 81 */ OutsideTerm: /(?-u:\b)outside(?-u:\b)/ : Token; -/* 82 */ PackageTerm: /(?-u:\b)package(?-u:\b)/ : Token; -/* 83 */ ParamTerm: /(?-u:\b)param(?-u:\b)/ : Token; -/* 84 */ PosedgeTerm: /(?-u:\b)posedge(?-u:\b)/ : Token; -/* 85 */ PubTerm: /(?-u:\b)pub(?-u:\b)/ : Token; -/* 86 */ RefTerm: /(?-u:\b)ref(?-u:\b)/ : Token; -/* 87 */ RepeatTerm: /(?-u:\b)repeat(?-u:\b)/ : Token; -/* 88 */ ReturnTerm: /(?-u:\b)return(?-u:\b)/ : Token; -/* 89 */ BreakTerm: /(?-u:\b)break(?-u:\b)/ : Token; -/* 90 */ SignedTerm: /(?-u:\b)signed(?-u:\b)/ : Token; -/* 91 */ StepTerm: /(?-u:\b)step(?-u:\b)/ : Token; -/* 92 */ StringTerm: /(?-u:\b)string(?-u:\b)/ : Token; -/* 93 */ StructTerm: /(?-u:\b)struct(?-u:\b)/ : Token; -/* 94 */ SyncHighTerm: /(?-u:\b)sync_high(?-u:\b)/ : Token; -/* 95 */ SyncLowTerm: /(?-u:\b)sync_low(?-u:\b)/ : Token; -/* 96 */ TriTerm: /(?-u:\b)tri(?-u:\b)/ : Token; -/* 97 */ TypeTerm: /(?-u:\b)type(?-u:\b)/ : Token; -/* 98 */ U32Term: /(?-u:\b)u32(?-u:\b)/ : Token; -/* 99 */ U64Term: /(?-u:\b)u64(?-u:\b)/ : Token; -/* 100 */ UnionTerm: /(?-u:\b)union(?-u:\b)/ : Token; -/* 101 */ VarTerm: /(?-u:\b)var(?-u:\b)/ : Token; -/* 102 */ DollarIdentifierTerm: /\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token; -/* 103 */ IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token; -/* 104 */ AnyTerm: /[^{}]*/ : Token; -/* 105 */ Comments: CommentsOpt /* Option */; -/* 106 */ CommentsOpt /* Option::Some */: CommentsTerm; -/* 107 */ CommentsOpt /* Option::None */: ; -/* 108 */ StartToken: Comments; -/* 109 */ StringLiteralToken: StringLiteralTerm : Token Comments; -/* 110 */ ExponentToken: ExponentTerm : Token Comments; -/* 111 */ FixedPointToken: FixedPointTerm : Token Comments; -/* 112 */ BasedToken: BasedTerm : Token Comments; -/* 113 */ BaseLessToken: BaseLessTerm : Token Comments; -/* 114 */ AllBitToken: AllBitTerm : Token Comments; -/* 115 */ AssignmentOperatorToken: AssignmentOperatorTerm : Token Comments; -/* 116 */ Operator01Token: Operator01Term : Token Comments; -/* 117 */ Operator02Token: Operator02Term : Token Comments; -/* 118 */ Operator03Token: Operator03Term : Token Comments; -/* 119 */ Operator04Token: Operator04Term : Token Comments; -/* 120 */ Operator05Token: Operator05Term : Token Comments; -/* 121 */ Operator06Token: Operator06Term : Token Comments; -/* 122 */ Operator07Token: Operator07Term : Token Comments; -/* 123 */ Operator08Token: Operator08Term : Token Comments; -/* 124 */ Operator09Token: Operator09Term : Token Comments; -/* 125 */ Operator10Token: Operator10Term : Token Comments; -/* 126 */ Operator11Token: Operator11Term : Token Comments; -/* 127 */ UnaryOperatorToken: UnaryOperatorTerm : Token Comments; -/* 128 */ ColonToken: ColonTerm : Token Comments; -/* 129 */ ColonColonToken: ColonColonTerm : Token Comments; -/* 130 */ CommaToken: CommaTerm : Token Comments; -/* 131 */ DotDotToken: DotDotTerm : Token Comments; -/* 132 */ DotDotEquToken: DotDotEquTerm : Token Comments; -/* 133 */ DotToken: DotTerm : Token Comments; -/* 134 */ EquToken: EquTerm : Token Comments; -/* 135 */ HashToken: HashTerm : Token Comments; -/* 136 */ QuoteLBraceToken: QuoteLBraceTerm : Token Comments; -/* 137 */ LAngleToken: LAngleTerm : Token Comments; -/* 138 */ LBraceToken: LBraceTerm : Token Comments; -/* 139 */ LBracketToken: LBracketTerm : Token Comments; -/* 140 */ LParenToken: LParenTerm : Token Comments; -/* 141 */ MinusColonToken: MinusColonTerm : Token Comments; -/* 142 */ MinusGTToken: MinusGTTerm : Token Comments; -/* 143 */ PlusColonToken: PlusColonTerm : Token Comments; -/* 144 */ RAngleToken: RAngleTerm : Token Comments; -/* 145 */ RBraceToken: RBraceTerm : Token Comments; -/* 146 */ RBracketToken: RBracketTerm : Token Comments; -/* 147 */ RParenToken: RParenTerm : Token Comments; -/* 148 */ SemicolonToken: SemicolonTerm : Token Comments; -/* 149 */ StarToken: StarTerm : Token Comments; -/* 150 */ AlwaysCombToken: AlwaysCombTerm : Token Comments; -/* 151 */ AlwaysFfToken: AlwaysFfTerm : Token Comments; -/* 152 */ AsToken: AsTerm : Token Comments; -/* 153 */ AssignToken: AssignTerm : Token Comments; -/* 154 */ AsyncHighToken: AsyncHighTerm : Token Comments; -/* 155 */ AsyncLowToken: AsyncLowTerm : Token Comments; -/* 156 */ BitToken: BitTerm : Token Comments; -/* 157 */ CaseToken: CaseTerm : Token Comments; -/* 158 */ DefaultToken: DefaultTerm : Token Comments; -/* 159 */ ElseToken: ElseTerm : Token Comments; -/* 160 */ EmbedToken: EmbedTerm : Token Comments; -/* 161 */ EnumToken: EnumTerm : Token Comments; -/* 162 */ ExportToken: ExportTerm : Token Comments; -/* 163 */ F32Token: F32Term : Token Comments; -/* 164 */ F64Token: F64Term : Token Comments; -/* 165 */ FinalToken: FinalTerm : Token Comments; -/* 166 */ ForToken: ForTerm : Token Comments; -/* 167 */ FunctionToken: FunctionTerm : Token Comments; -/* 168 */ I32Token: I32Term : Token Comments; -/* 169 */ I64Token: I64Term : Token Comments; -/* 170 */ IfResetToken: IfResetTerm : Token Comments; -/* 171 */ IfToken: IfTerm : Token Comments; -/* 172 */ ImportToken: ImportTerm : Token Comments; -/* 173 */ InitialToken: InitialTerm : Token Comments; -/* 174 */ InoutToken: InoutTerm : Token Comments; -/* 175 */ InputToken: InputTerm : Token Comments; -/* 176 */ InsideToken: InsideTerm : Token Comments; -/* 177 */ InstToken: InstTerm : Token Comments; -/* 178 */ InterfaceToken: InterfaceTerm : Token Comments; -/* 179 */ InToken: InTerm : Token Comments; -/* 180 */ LetToken: LetTerm : Token Comments; -/* 181 */ LocalToken: LocalTerm : Token Comments; -/* 182 */ LogicToken: LogicTerm : Token Comments; -/* 183 */ LsbToken: LsbTerm : Token Comments; -/* 184 */ ModportToken: ModportTerm : Token Comments; -/* 185 */ ModuleToken: ModuleTerm : Token Comments; -/* 186 */ MsbToken: MsbTerm : Token Comments; -/* 187 */ NegedgeToken: NegedgeTerm : Token Comments; -/* 188 */ OutputToken: OutputTerm : Token Comments; -/* 189 */ OutsideToken: OutsideTerm : Token Comments; -/* 190 */ PackageToken: PackageTerm : Token Comments; -/* 191 */ ParamToken: ParamTerm : Token Comments; -/* 192 */ PosedgeToken: PosedgeTerm : Token Comments; -/* 193 */ PubToken: PubTerm : Token Comments; -/* 194 */ RefToken: RefTerm : Token Comments; -/* 195 */ RepeatToken: RepeatTerm : Token Comments; -/* 196 */ ReturnToken: ReturnTerm : Token Comments; -/* 197 */ BreakToken: BreakTerm : Token Comments; -/* 198 */ SignedToken: SignedTerm : Token Comments; -/* 199 */ StepToken: StepTerm : Token Comments; -/* 200 */ StringToken: StringTerm : Token Comments; -/* 201 */ StructToken: StructTerm : Token Comments; -/* 202 */ SyncHighToken: SyncHighTerm : Token Comments; -/* 203 */ SyncLowToken: SyncLowTerm : Token Comments; -/* 204 */ TriToken: TriTerm : Token Comments; -/* 205 */ TypeToken: TypeTerm : Token Comments; -/* 206 */ U32Token: U32Term : Token Comments; -/* 207 */ U64Token: U64Term : Token Comments; -/* 208 */ UnionToken: UnionTerm : Token Comments; -/* 209 */ VarToken: VarTerm : Token Comments; -/* 210 */ DollarIdentifierToken: DollarIdentifierTerm : Token Comments; -/* 211 */ IdentifierToken: IdentifierTerm : Token Comments; -/* 212 */ Start: StartToken : VerylToken; -/* 213 */ StringLiteral: StringLiteralToken : VerylToken; -/* 214 */ Exponent: ExponentToken : VerylToken; -/* 215 */ FixedPoint: FixedPointToken : VerylToken; -/* 216 */ Based: BasedToken : VerylToken; -/* 217 */ BaseLess: BaseLessToken : VerylToken; -/* 218 */ AllBit: AllBitToken : VerylToken; -/* 219 */ AssignmentOperator: AssignmentOperatorToken : VerylToken; -/* 220 */ Operator01: Operator01Token : VerylToken; -/* 221 */ Operator02: Operator02Token : VerylToken; -/* 222 */ Operator03: Operator03Token : VerylToken; -/* 223 */ Operator04: Operator04Token : VerylToken; -/* 224 */ Operator05: Operator05Token : VerylToken; -/* 225 */ Operator06: Operator06Token : VerylToken; -/* 226 */ Operator07: Operator07Token : VerylToken; -/* 227 */ Operator08: Operator08Token : VerylToken; -/* 228 */ Operator09: Operator09Token : VerylToken; -/* 229 */ Operator10: Operator10Token : VerylToken; -/* 230 */ Operator11: Operator11Token : VerylToken; -/* 231 */ UnaryOperator: UnaryOperatorToken : VerylToken; -/* 232 */ Colon: ColonToken : VerylToken; -/* 233 */ ColonColon: ColonColonToken : VerylToken; -/* 234 */ Comma: CommaToken : VerylToken; -/* 235 */ DotDot: DotDotToken : VerylToken; -/* 236 */ DotDotEqu: DotDotEquToken : VerylToken; -/* 237 */ Dot: DotToken : VerylToken; -/* 238 */ Equ: EquToken : VerylToken; -/* 239 */ Hash: HashToken : VerylToken; -/* 240 */ QuoteLBrace: QuoteLBraceToken : VerylToken; -/* 241 */ LAngle: LAngleToken : VerylToken; -/* 242 */ LBrace: LBraceToken : VerylToken; -/* 243 */ LBracket: LBracketToken : VerylToken; -/* 244 */ LParen: LParenToken : VerylToken; -/* 245 */ MinusColon: MinusColonToken : VerylToken; -/* 246 */ MinusGT: MinusGTToken : VerylToken; -/* 247 */ PlusColon: PlusColonToken : VerylToken; -/* 248 */ RAngle: RAngleToken : VerylToken; -/* 249 */ RBrace: RBraceToken : VerylToken; -/* 250 */ RBracket: RBracketToken : VerylToken; -/* 251 */ RParen: RParenToken : VerylToken; -/* 252 */ Semicolon: SemicolonToken : VerylToken; -/* 253 */ Star: StarToken : VerylToken; -/* 254 */ AlwaysComb: AlwaysCombToken : VerylToken; -/* 255 */ AlwaysFf: AlwaysFfToken : VerylToken; -/* 256 */ As: AsToken : VerylToken; -/* 257 */ Assign: AssignToken : VerylToken; -/* 258 */ AsyncHigh: AsyncHighToken : VerylToken; -/* 259 */ AsyncLow: AsyncLowToken : VerylToken; -/* 260 */ Bit: BitToken : VerylToken; -/* 261 */ Break: BreakToken : VerylToken; -/* 262 */ Case: CaseToken : VerylToken; -/* 263 */ Defaul: DefaultToken : VerylToken; -/* 264 */ Else: ElseToken : VerylToken; -/* 265 */ Embed: EmbedToken : VerylToken; -/* 266 */ Enum: EnumToken : VerylToken; -/* 267 */ Export: ExportToken : VerylToken; -/* 268 */ F32: F32Token : VerylToken; -/* 269 */ F64: F64Token : VerylToken; -/* 270 */ Final: FinalToken : VerylToken; -/* 271 */ For: ForToken : VerylToken; -/* 272 */ Function: FunctionToken : VerylToken; -/* 273 */ I32: I32Token : VerylToken; -/* 274 */ I64: I64Token : VerylToken; -/* 275 */ If: IfToken : VerylToken; -/* 276 */ IfReset: IfResetToken : VerylToken; -/* 277 */ Import: ImportToken : VerylToken; -/* 278 */ In: InToken : VerylToken; -/* 279 */ Initial: InitialToken : VerylToken; -/* 280 */ Inout: InoutToken : VerylToken; -/* 281 */ Input: InputToken : VerylToken; -/* 282 */ Inside: InsideToken : VerylToken; -/* 283 */ Inst: InstToken : VerylToken; -/* 284 */ Interface: InterfaceToken : VerylToken; -/* 285 */ Let: LetToken : VerylToken; -/* 286 */ Local: LocalToken : VerylToken; -/* 287 */ Logic: LogicToken : VerylToken; -/* 288 */ Lsb: LsbToken : VerylToken; -/* 289 */ Modport: ModportToken : VerylToken; -/* 290 */ Module: ModuleToken : VerylToken; -/* 291 */ Msb: MsbToken : VerylToken; -/* 292 */ Negedge: NegedgeToken : VerylToken; -/* 293 */ Output: OutputToken : VerylToken; -/* 294 */ Outside: OutsideToken : VerylToken; -/* 295 */ Package: PackageToken : VerylToken; -/* 296 */ Param: ParamToken : VerylToken; -/* 297 */ Posedge: PosedgeToken : VerylToken; -/* 298 */ Pub: PubToken : VerylToken; -/* 299 */ Ref: RefToken : VerylToken; -/* 300 */ Repeat: RepeatToken : VerylToken; -/* 301 */ Return: ReturnToken : VerylToken; -/* 302 */ Signed: SignedToken : VerylToken; -/* 303 */ Step: StepToken : VerylToken; -/* 304 */ Strin: StringToken : VerylToken; -/* 305 */ Struct: StructToken : VerylToken; -/* 306 */ SyncHigh: SyncHighToken : VerylToken; -/* 307 */ SyncLow: SyncLowToken : VerylToken; -/* 308 */ Tri: TriToken : VerylToken; -/* 309 */ Type: TypeToken : VerylToken; -/* 310 */ U32: U32Token : VerylToken; -/* 311 */ U64: U64Token : VerylToken; -/* 312 */ Union: UnionToken : VerylToken; -/* 313 */ Var: VarToken : VerylToken; -/* 314 */ DollarIdentifier: DollarIdentifierToken : VerylToken; -/* 315 */ Identifier: IdentifierToken : VerylToken; -/* 316 */ Number: IntegralNumber; -/* 317 */ Number: RealNumber; -/* 318 */ IntegralNumber: Based; -/* 319 */ IntegralNumber: BaseLess; -/* 320 */ IntegralNumber: AllBit; -/* 321 */ RealNumber: FixedPoint; -/* 322 */ RealNumber: Exponent; -/* 323 */ HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; -/* 324 */ HierarchicalIdentifierList0 /* Vec::Push */: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; -/* 325 */ HierarchicalIdentifierList0List /* Vec::Push */: Select HierarchicalIdentifierList0List; -/* 326 */ HierarchicalIdentifierList0List /* Vec::New */: ; -/* 327 */ HierarchicalIdentifierList0 /* Vec::New */: ; -/* 328 */ HierarchicalIdentifierList /* Vec::Push */: Select HierarchicalIdentifierList; -/* 329 */ HierarchicalIdentifierList /* Vec::New */: ; -/* 330 */ ScopedIdentifier: ScopedIdentifierGroup ScopedIdentifierList /* Vec */; -/* 331 */ ScopedIdentifierGroup: DollarIdentifier; -/* 332 */ ScopedIdentifierGroup: Identifier; -/* 333 */ ScopedIdentifierList /* Vec::Push */: ColonColon Identifier ScopedIdentifierList; -/* 334 */ ScopedIdentifierList /* Vec::New */: ; -/* 335 */ ExpressionIdentifier: ExpressionIdentifierGroup ExpressionIdentifierGroup0; -/* 336 */ ExpressionIdentifierGroup0: ExpressionIdentifierScoped; -/* 337 */ ExpressionIdentifierGroup0: ExpressionIdentifierMember; -/* 338 */ ExpressionIdentifierGroup: DollarIdentifier; -/* 339 */ ExpressionIdentifierGroup: Identifier; -/* 340 */ ExpressionIdentifierScoped: ColonColon Identifier ExpressionIdentifierScopedList /* Vec */ ExpressionIdentifierScopedList0 /* Vec */; -/* 341 */ ExpressionIdentifierScopedList0 /* Vec::Push */: Select ExpressionIdentifierScopedList0; -/* 342 */ ExpressionIdentifierScopedList0 /* Vec::New */: ; -/* 343 */ ExpressionIdentifierScopedList /* Vec::Push */: ColonColon Identifier ExpressionIdentifierScopedList; -/* 344 */ ExpressionIdentifierScopedList /* Vec::New */: ; -/* 345 */ ExpressionIdentifierMember: ExpressionIdentifierMemberList /* Vec */ ExpressionIdentifierMemberList0 /* Vec */; -/* 346 */ ExpressionIdentifierMemberList0 /* Vec::Push */: Dot Identifier ExpressionIdentifierMemberList0List /* Vec */ ExpressionIdentifierMemberList0; -/* 347 */ ExpressionIdentifierMemberList0List /* Vec::Push */: Select ExpressionIdentifierMemberList0List; -/* 348 */ ExpressionIdentifierMemberList0List /* Vec::New */: ; -/* 349 */ ExpressionIdentifierMemberList0 /* Vec::New */: ; -/* 350 */ ExpressionIdentifierMemberList /* Vec::Push */: Select ExpressionIdentifierMemberList; -/* 351 */ ExpressionIdentifierMemberList /* Vec::New */: ; -/* 352 */ Expression: Expression01 ExpressionList /* Vec */; -/* 353 */ ExpressionList /* Vec::Push */: Operator01 Expression01 ExpressionList; -/* 354 */ ExpressionList /* Vec::New */: ; -/* 355 */ Expression01: Expression02 Expression01List /* Vec */; -/* 356 */ Expression01List /* Vec::Push */: Operator02 Expression02 Expression01List; -/* 357 */ Expression01List /* Vec::New */: ; -/* 358 */ Expression02: Expression03 Expression02List /* Vec */; -/* 359 */ Expression02List /* Vec::Push */: Operator03 Expression03 Expression02List; -/* 360 */ Expression02List /* Vec::New */: ; -/* 361 */ Expression03: Expression04 Expression03List /* Vec */; -/* 362 */ Expression03List /* Vec::Push */: Operator04 Expression04 Expression03List; -/* 363 */ Expression03List /* Vec::New */: ; -/* 364 */ Expression04: Expression05 Expression04List /* Vec */; -/* 365 */ Expression04List /* Vec::Push */: Operator05 Expression05 Expression04List; -/* 366 */ Expression04List /* Vec::New */: ; -/* 367 */ Expression05: Expression06 Expression05List /* Vec */; -/* 368 */ Expression05List /* Vec::Push */: Operator06 Expression06 Expression05List; -/* 369 */ Expression05List /* Vec::New */: ; -/* 370 */ Expression06: Expression07 Expression06List /* Vec */; -/* 371 */ Expression06List /* Vec::Push */: Operator07 Expression07 Expression06List; -/* 372 */ Expression06List /* Vec::New */: ; -/* 373 */ Expression07: Expression08 Expression07List /* Vec */; -/* 374 */ Expression07List /* Vec::Push */: Operator08 Expression08 Expression07List; -/* 375 */ Expression07List /* Vec::New */: ; -/* 376 */ Expression08: Expression09 Expression08List /* Vec */; -/* 377 */ Expression08List /* Vec::Push */: Operator09 Expression09 Expression08List; -/* 378 */ Expression08List /* Vec::New */: ; -/* 379 */ Expression09: Expression10 Expression09List /* Vec */; -/* 380 */ Expression09List /* Vec::Push */: Expression09ListGroup Expression10 Expression09List; -/* 381 */ Expression09ListGroup: Operator10; -/* 382 */ Expression09ListGroup: Star; -/* 383 */ Expression09List /* Vec::New */: ; -/* 384 */ Expression10: Expression11 Expression10List /* Vec */; -/* 385 */ Expression10List /* Vec::Push */: Operator11 Expression11 Expression10List; -/* 386 */ Expression10List /* Vec::New */: ; -/* 387 */ Expression11: Expression12 Expression11List /* Vec */; -/* 388 */ Expression11List /* Vec::Push */: As ScopedIdentifier Expression11List; -/* 389 */ Expression11List /* Vec::New */: ; -/* 390 */ Expression12: Expression12List /* Vec */ Factor; -/* 391 */ Expression12List /* Vec::Push */: Expression12ListGroup Expression12List; -/* 392 */ Expression12ListGroup: UnaryOperator; -/* 393 */ Expression12ListGroup: Operator09; -/* 394 */ Expression12ListGroup: Operator05; -/* 395 */ Expression12ListGroup: Operator03; -/* 396 */ Expression12ListGroup: Operator04; -/* 397 */ Expression12List /* Vec::New */: ; -/* 398 */ Factor: Number; -/* 399 */ Factor: ExpressionIdentifier FactorOpt /* Option */; -/* 400 */ Factor: LParen Expression RParen; -/* 401 */ Factor: LBrace ConcatenationList RBrace; -/* 402 */ Factor: QuoteLBrace ArrayLiteralList RBrace; -/* 403 */ Factor: IfExpression; -/* 404 */ Factor: CaseExpression; -/* 405 */ Factor: StringLiteral; -/* 406 */ Factor: FactorGroup; -/* 407 */ FactorGroup: Msb; -/* 408 */ FactorGroup: Lsb; -/* 409 */ Factor: InsideExpression; -/* 410 */ Factor: OutsideExpression; -/* 411 */ FactorOpt /* Option::Some */: FunctionCall; -/* 412 */ FactorOpt /* Option::None */: ; -/* 413 */ FunctionCall: LParen FunctionCallOpt /* Option */ RParen; -/* 414 */ FunctionCallOpt /* Option::Some */: ArgumentList; -/* 415 */ FunctionCallOpt /* Option::None */: ; -/* 416 */ ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; -/* 417 */ ArgumentListList /* Vec::Push */: Comma ArgumentItem ArgumentListList; -/* 418 */ ArgumentListList /* Vec::New */: ; -/* 419 */ ArgumentListOpt /* Option::Some */: Comma; -/* 420 */ ArgumentListOpt /* Option::None */: ; -/* 421 */ ArgumentItem: Expression; -/* 422 */ ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; -/* 423 */ ConcatenationListList /* Vec::Push */: Comma ConcatenationItem ConcatenationListList; -/* 424 */ ConcatenationListList /* Vec::New */: ; -/* 425 */ ConcatenationListOpt /* Option::Some */: Comma; -/* 426 */ ConcatenationListOpt /* Option::None */: ; -/* 427 */ ConcatenationItem: Expression ConcatenationItemOpt /* Option */; -/* 428 */ ConcatenationItemOpt /* Option::Some */: Repeat Expression; -/* 429 */ ConcatenationItemOpt /* Option::None */: ; -/* 430 */ ArrayLiteralList: ArrayLiteralItem ArrayLiteralListList /* Vec */ ArrayLiteralListOpt /* Option */; -/* 431 */ ArrayLiteralListList /* Vec::Push */: Comma ArrayLiteralItem ArrayLiteralListList; -/* 432 */ ArrayLiteralListList /* Vec::New */: ; -/* 433 */ ArrayLiteralListOpt /* Option::Some */: Comma; -/* 434 */ ArrayLiteralListOpt /* Option::None */: ; -/* 435 */ ArrayLiteralItem: ArrayLiteralItemGroup; -/* 436 */ ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */; -/* 437 */ ArrayLiteralItemGroup: Defaul Colon Expression; -/* 438 */ ArrayLiteralItemOpt /* Option::Some */: Repeat Expression; -/* 439 */ ArrayLiteralItemOpt /* Option::None */: ; -/* 440 */ IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; -/* 441 */ IfExpressionList /* Vec::Push */: Else If Expression LBrace Expression RBrace IfExpressionList; -/* 442 */ IfExpressionList /* Vec::New */: ; -/* 443 */ CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; -/* 444 */ CaseExpressionList0 /* Vec::Push */: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; -/* 445 */ CaseExpressionList0List /* Vec::Push */: Comma Expression CaseExpressionList0List; -/* 446 */ CaseExpressionList0List /* Vec::New */: ; -/* 447 */ CaseExpressionList0 /* Vec::New */: ; -/* 448 */ CaseExpressionList /* Vec::Push */: Comma Expression CaseExpressionList; -/* 449 */ CaseExpressionList /* Vec::New */: ; -/* 450 */ CaseExpressionOpt /* Option::Some */: Comma; -/* 451 */ CaseExpressionOpt /* Option::None */: ; -/* 452 */ TypeExpression: ScalarType; -/* 453 */ TypeExpression: Type LParen Expression RParen; -/* 454 */ InsideExpression: Inside Expression LBrace RangeList RBrace; -/* 455 */ OutsideExpression: Outside Expression LBrace RangeList RBrace; -/* 456 */ RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; -/* 457 */ RangeListList /* Vec::Push */: Comma RangeItem RangeListList; -/* 458 */ RangeListList /* Vec::New */: ; -/* 459 */ RangeListOpt /* Option::Some */: Comma; -/* 460 */ RangeListOpt /* Option::None */: ; -/* 461 */ RangeItem: Range; -/* 462 */ Select: LBracket Expression SelectOpt /* Option */ RBracket; -/* 463 */ SelectOpt /* Option::Some */: SelectOperator Expression; -/* 464 */ SelectOpt /* Option::None */: ; -/* 465 */ SelectOperator: Colon; -/* 466 */ SelectOperator: PlusColon; -/* 467 */ SelectOperator: MinusColon; -/* 468 */ SelectOperator: Step; -/* 469 */ Width: LAngle Expression WidthList /* Vec */ RAngle; -/* 470 */ WidthList /* Vec::Push */: Comma Expression WidthList; -/* 471 */ WidthList /* Vec::New */: ; -/* 472 */ Array: LBracket Expression ArrayList /* Vec */ RBracket; -/* 473 */ ArrayList /* Vec::Push */: Comma Expression ArrayList; -/* 474 */ ArrayList /* Vec::New */: ; -/* 475 */ Range: Expression RangeOpt /* Option */; -/* 476 */ RangeOpt /* Option::Some */: RangeOperator Expression; -/* 477 */ RangeOpt /* Option::None */: ; -/* 478 */ RangeOperator: DotDot; -/* 479 */ RangeOperator: DotDotEqu; -/* 480 */ FixedType: U32; -/* 481 */ FixedType: U64; -/* 482 */ FixedType: I32; -/* 483 */ FixedType: I64; -/* 484 */ FixedType: F32; -/* 485 */ FixedType: F64; -/* 486 */ FixedType: Strin; -/* 487 */ VariableType: VariableTypeGroup VariableTypeOpt /* Option */; -/* 488 */ VariableTypeGroup: Logic; -/* 489 */ VariableTypeGroup: Bit; -/* 490 */ VariableTypeGroup: ScopedIdentifier; -/* 491 */ VariableTypeOpt /* Option::Some */: Width; -/* 492 */ VariableTypeOpt /* Option::None */: ; -/* 493 */ TypeModifier: Tri; -/* 494 */ TypeModifier: Signed; -/* 495 */ ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; -/* 496 */ ScalarTypeGroup: VariableType; -/* 497 */ ScalarTypeGroup: FixedType; -/* 498 */ ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList; -/* 499 */ ScalarTypeList /* Vec::New */: ; -/* 500 */ ArrayType: ScalarType ArrayTypeOpt /* Option */; -/* 501 */ ArrayTypeOpt /* Option::Some */: Array; -/* 502 */ ArrayTypeOpt /* Option::None */: ; -/* 503 */ Statement: LetStatement; -/* 504 */ Statement: IdentifierStatement; -/* 505 */ Statement: IfStatement; -/* 506 */ Statement: IfResetStatement; -/* 507 */ Statement: ReturnStatement; -/* 508 */ Statement: BreakStatement; -/* 509 */ Statement: ForStatement; -/* 510 */ Statement: CaseStatement; -/* 511 */ LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; -/* 512 */ IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; -/* 513 */ IdentifierStatementGroup: FunctionCall; -/* 514 */ IdentifierStatementGroup: Assignment; -/* 515 */ Assignment: AssignmentGroup Expression; -/* 516 */ AssignmentGroup: Equ; -/* 517 */ AssignmentGroup: AssignmentOperator; -/* 518 */ IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; -/* 519 */ IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; -/* 520 */ IfStatementList0List /* Vec::Push */: Statement IfStatementList0List; -/* 521 */ IfStatementList0List /* Vec::New */: ; -/* 522 */ IfStatementList0 /* Vec::New */: ; -/* 523 */ IfStatementList /* Vec::Push */: Statement IfStatementList; -/* 524 */ IfStatementList /* Vec::New */: ; -/* 525 */ IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace; -/* 526 */ IfStatementOptList /* Vec::Push */: Statement IfStatementOptList; -/* 527 */ IfStatementOptList /* Vec::New */: ; -/* 528 */ IfStatementOpt /* Option::None */: ; -/* 529 */ IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; -/* 530 */ IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; -/* 531 */ IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List; -/* 532 */ IfResetStatementList0List /* Vec::New */: ; -/* 533 */ IfResetStatementList0 /* Vec::New */: ; -/* 534 */ IfResetStatementList /* Vec::Push */: Statement IfResetStatementList; -/* 535 */ IfResetStatementList /* Vec::New */: ; -/* 536 */ IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace; -/* 537 */ IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList; -/* 538 */ IfResetStatementOptList /* Vec::New */: ; -/* 539 */ IfResetStatementOpt /* Option::None */: ; -/* 540 */ ReturnStatement: Return Expression Semicolon; -/* 541 */ BreakStatement: Break Semicolon; -/* 542 */ ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; -/* 543 */ ForStatementList /* Vec::Push */: Statement ForStatementList; -/* 544 */ ForStatementList /* Vec::New */: ; -/* 545 */ ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 546 */ ForStatementOpt /* Option::None */: ; -/* 547 */ CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; -/* 548 */ CaseStatementList /* Vec::Push */: CaseItem CaseStatementList; -/* 549 */ CaseStatementList /* Vec::New */: ; -/* 550 */ CaseItem: CaseItemGroup Colon CaseItemGroup0; -/* 551 */ CaseItemGroup0: Statement; -/* 552 */ CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; -/* 553 */ CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List; -/* 554 */ CaseItemGroup0List /* Vec::New */: ; -/* 555 */ CaseItemGroup: Expression CaseItemGroupList /* Vec */; -/* 556 */ CaseItemGroupList /* Vec::Push */: Comma Expression CaseItemGroupList; -/* 557 */ CaseItemGroupList /* Vec::New */: ; -/* 558 */ CaseItemGroup: Defaul; -/* 559 */ Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; -/* 560 */ AttributeOpt /* Option::Some */: LParen AttributeList RParen; -/* 561 */ AttributeOpt /* Option::None */: ; -/* 562 */ AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; -/* 563 */ AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList; -/* 564 */ AttributeListList /* Vec::New */: ; -/* 565 */ AttributeListOpt /* Option::Some */: Comma; -/* 566 */ AttributeListOpt /* Option::None */: ; -/* 567 */ AttributeItem: Identifier; -/* 568 */ AttributeItem: StringLiteral; -/* 569 */ LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; -/* 570 */ VarDeclaration: Var Identifier Colon ArrayType Semicolon; -/* 571 */ LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; -/* 572 */ LocalDeclarationGroup: ArrayType Equ Expression; -/* 573 */ LocalDeclarationGroup: Type Equ TypeExpression; -/* 574 */ TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; -/* 575 */ AlwaysFfDeclaration: AlwaysFf LParen AlwaysFfClock AlwaysFfDeclarationOpt /* Option */ RParen LBrace AlwaysFfDeclarationList /* Vec */ RBrace; -/* 576 */ AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList; -/* 577 */ AlwaysFfDeclarationList /* Vec::New */: ; -/* 578 */ AlwaysFfDeclarationOpt /* Option::Some */: Comma AlwaysFfReset; -/* 579 */ AlwaysFfDeclarationOpt /* Option::None */: ; -/* 580 */ AlwaysFfClock: AlwaysFfClockOpt /* Option */ HierarchicalIdentifier; -/* 581 */ AlwaysFfClockOpt /* Option::Some */: AlwaysFfClockOptGroup; -/* 582 */ AlwaysFfClockOptGroup: Posedge; -/* 583 */ AlwaysFfClockOptGroup: Negedge; -/* 584 */ AlwaysFfClockOpt /* Option::None */: ; -/* 585 */ AlwaysFfReset: AlwaysFfResetOpt /* Option */ HierarchicalIdentifier; -/* 586 */ AlwaysFfResetOpt /* Option::Some */: AlwaysFfResetOptGroup; -/* 587 */ AlwaysFfResetOptGroup: AsyncLow; -/* 588 */ AlwaysFfResetOptGroup: AsyncHigh; -/* 589 */ AlwaysFfResetOptGroup: SyncLow; -/* 590 */ AlwaysFfResetOptGroup: SyncHigh; -/* 591 */ AlwaysFfResetOpt /* Option::None */: ; -/* 592 */ AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; -/* 593 */ AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList; -/* 594 */ AlwaysCombDeclarationList /* Vec::New */: ; -/* 595 */ AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; -/* 596 */ ModportDeclaration: Modport Identifier LBrace ModportList RBrace; -/* 597 */ ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; -/* 598 */ ModportListList /* Vec::Push */: Comma ModportGroup ModportListList; -/* 599 */ ModportListList /* Vec::New */: ; -/* 600 */ ModportListOpt /* Option::Some */: Comma; -/* 601 */ ModportListOpt /* Option::None */: ; -/* 602 */ ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; -/* 603 */ ModportGroupGroup: LBrace ModportList RBrace; -/* 604 */ ModportGroupGroup: ModportItem; -/* 605 */ ModportGroupList /* Vec::Push */: Attribute ModportGroupList; -/* 606 */ ModportGroupList /* Vec::New */: ; -/* 607 */ ModportItem: Identifier Colon Direction; -/* 608 */ EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; -/* 609 */ EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; -/* 610 */ EnumListList /* Vec::Push */: Comma EnumGroup EnumListList; -/* 611 */ EnumListList /* Vec::New */: ; -/* 612 */ EnumListOpt /* Option::Some */: Comma; -/* 613 */ EnumListOpt /* Option::None */: ; -/* 614 */ EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; -/* 615 */ EnumGroupGroup: LBrace EnumList RBrace; -/* 616 */ EnumGroupGroup: EnumItem; -/* 617 */ EnumGroupList /* Vec::Push */: Attribute EnumGroupList; -/* 618 */ EnumGroupList /* Vec::New */: ; -/* 619 */ EnumItem: Identifier EnumItemOpt /* Option */; -/* 620 */ EnumItemOpt /* Option::Some */: Equ Expression; -/* 621 */ EnumItemOpt /* Option::None */: ; -/* 622 */ StructUnion: Struct; -/* 623 */ StructUnion: Union; -/* 624 */ StructUnionDeclaration: StructUnion Identifier LBrace StructUnionList RBrace; -/* 625 */ StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; -/* 626 */ StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList; -/* 627 */ StructUnionListList /* Vec::New */: ; -/* 628 */ StructUnionListOpt /* Option::Some */: Comma; -/* 629 */ StructUnionListOpt /* Option::None */: ; -/* 630 */ StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; -/* 631 */ StructUnionGroupGroup: LBrace StructUnionList RBrace; -/* 632 */ StructUnionGroupGroup: StructUnionItem; -/* 633 */ StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList; -/* 634 */ StructUnionGroupList /* Vec::New */: ; -/* 635 */ StructUnionItem: Identifier Colon ScalarType; -/* 636 */ InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; -/* 637 */ InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList; -/* 638 */ InitialDeclarationList /* Vec::New */: ; -/* 639 */ FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; -/* 640 */ FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList; -/* 641 */ FinalDeclarationList /* Vec::New */: ; -/* 642 */ InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; -/* 643 */ InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen; -/* 644 */ InstDeclarationOpt2 /* Option::Some */: InstPortList; -/* 645 */ InstDeclarationOpt2 /* Option::None */: ; -/* 646 */ InstDeclarationOpt1 /* Option::None */: ; -/* 647 */ InstDeclarationOpt0 /* Option::Some */: InstParameter; -/* 648 */ InstDeclarationOpt0 /* Option::None */: ; -/* 649 */ InstDeclarationOpt /* Option::Some */: Array; -/* 650 */ InstDeclarationOpt /* Option::None */: ; -/* 651 */ InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; -/* 652 */ InstParameterOpt /* Option::Some */: InstParameterList; -/* 653 */ InstParameterOpt /* Option::None */: ; -/* 654 */ InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; -/* 655 */ InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList; -/* 656 */ InstParameterListList /* Vec::New */: ; -/* 657 */ InstParameterListOpt /* Option::Some */: Comma; -/* 658 */ InstParameterListOpt /* Option::None */: ; -/* 659 */ InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; -/* 660 */ InstParameterGroupGroup: LBrace InstParameterList RBrace; -/* 661 */ InstParameterGroupGroup: InstParameterItem; -/* 662 */ InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList; -/* 663 */ InstParameterGroupList /* Vec::New */: ; -/* 664 */ InstParameterItem: Identifier InstParameterItemOpt /* Option */; -/* 665 */ InstParameterItemOpt /* Option::Some */: Colon Expression; -/* 666 */ InstParameterItemOpt /* Option::None */: ; -/* 667 */ InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; -/* 668 */ InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList; -/* 669 */ InstPortListList /* Vec::New */: ; -/* 670 */ InstPortListOpt /* Option::Some */: Comma; -/* 671 */ InstPortListOpt /* Option::None */: ; -/* 672 */ InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; -/* 673 */ InstPortGroupGroup: LBrace InstPortList RBrace; -/* 674 */ InstPortGroupGroup: InstPortItem; -/* 675 */ InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList; -/* 676 */ InstPortGroupList /* Vec::New */: ; -/* 677 */ InstPortItem: Identifier InstPortItemOpt /* Option */; -/* 678 */ InstPortItemOpt /* Option::Some */: Colon Expression; -/* 679 */ InstPortItemOpt /* Option::None */: ; -/* 680 */ WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; -/* 681 */ WithParameterOpt /* Option::Some */: WithParameterList; -/* 682 */ WithParameterOpt /* Option::None */: ; -/* 683 */ WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; -/* 684 */ WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList; -/* 685 */ WithParameterListList /* Vec::New */: ; -/* 686 */ WithParameterListOpt /* Option::Some */: Comma; -/* 687 */ WithParameterListOpt /* Option::None */: ; -/* 688 */ WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; -/* 689 */ WithParameterGroupGroup: LBrace WithParameterList RBrace; -/* 690 */ WithParameterGroupGroup: WithParameterItem; -/* 691 */ WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList; -/* 692 */ WithParameterGroupList /* Vec::New */: ; -/* 693 */ WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; -/* 694 */ WithParameterItemGroup0: ArrayType Equ Expression; -/* 695 */ WithParameterItemGroup0: Type Equ TypeExpression; -/* 696 */ WithParameterItemGroup: Param; -/* 697 */ WithParameterItemGroup: Local; -/* 698 */ PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; -/* 699 */ PortDeclarationOpt /* Option::Some */: PortDeclarationList; -/* 700 */ PortDeclarationOpt /* Option::None */: ; -/* 701 */ PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; -/* 702 */ PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList; -/* 703 */ PortDeclarationListList /* Vec::New */: ; -/* 704 */ PortDeclarationListOpt /* Option::Some */: Comma; -/* 705 */ PortDeclarationListOpt /* Option::None */: ; -/* 706 */ PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; -/* 707 */ PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; -/* 708 */ PortDeclarationGroupGroup: PortDeclarationItem; -/* 709 */ PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList; -/* 710 */ PortDeclarationGroupList /* Vec::New */: ; -/* 711 */ PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; -/* 712 */ PortDeclarationItemGroup: Direction ArrayType; -/* 713 */ PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; -/* 714 */ PortDeclarationItemOpt /* Option::Some */: Array; -/* 715 */ PortDeclarationItemOpt /* Option::None */: ; -/* 716 */ Direction: Input; -/* 717 */ Direction: Output; -/* 718 */ Direction: Inout; -/* 719 */ Direction: Ref; -/* 720 */ Direction: Modport; -/* 721 */ FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; -/* 722 */ FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList; -/* 723 */ FunctionDeclarationList /* Vec::New */: ; -/* 724 */ FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType; -/* 725 */ FunctionDeclarationOpt1 /* Option::None */: ; -/* 726 */ FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration; -/* 727 */ FunctionDeclarationOpt0 /* Option::None */: ; -/* 728 */ FunctionDeclarationOpt /* Option::Some */: WithParameter; -/* 729 */ FunctionDeclarationOpt /* Option::None */: ; -/* 730 */ FunctionItem: VarDeclaration; -/* 731 */ FunctionItem: Statement; -/* 732 */ ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; -/* 733 */ ImportDeclarationOpt /* Option::Some */: ColonColon Star; -/* 734 */ ImportDeclarationOpt /* Option::None */: ; -/* 735 */ ExportDeclaration: Export ExportDeclarationGroup Semicolon; -/* 736 */ ExportDeclarationGroup: Star; -/* 737 */ ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; -/* 738 */ ExportDeclarationOpt /* Option::Some */: ColonColon Star; -/* 739 */ ExportDeclarationOpt /* Option::None */: ; -/* 740 */ ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; -/* 741 */ ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList; -/* 742 */ ModuleDeclarationList /* Vec::New */: ; -/* 743 */ ModuleDeclarationOpt1 /* Option::Some */: PortDeclaration; -/* 744 */ ModuleDeclarationOpt1 /* Option::None */: ; -/* 745 */ ModuleDeclarationOpt0 /* Option::Some */: WithParameter; -/* 746 */ ModuleDeclarationOpt0 /* Option::None */: ; -/* 747 */ ModuleDeclarationOpt /* Option::Some */: Pub; -/* 748 */ ModuleDeclarationOpt /* Option::None */: ; -/* 749 */ ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; -/* 750 */ ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; -/* 751 */ ModuleIfDeclarationList /* Vec::New */: ; -/* 752 */ ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock; -/* 753 */ ModuleIfDeclarationOpt /* Option::None */: ; -/* 754 */ ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; -/* 755 */ ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 756 */ ModuleForDeclarationOpt /* Option::None */: ; -/* 757 */ ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; -/* 758 */ ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList; -/* 759 */ ModuleNamedBlockList /* Vec::New */: ; -/* 760 */ ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; -/* 761 */ ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList; -/* 762 */ ModuleOptionalNamedBlockList /* Vec::New */: ; -/* 763 */ ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; -/* 764 */ ModuleOptionalNamedBlockOpt /* Option::None */: ; -/* 765 */ ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; -/* 766 */ ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; -/* 767 */ ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList; -/* 768 */ ModuleGroupGroupList /* Vec::New */: ; -/* 769 */ ModuleGroupGroup: ModuleItem; -/* 770 */ ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList; -/* 771 */ ModuleGroupList /* Vec::New */: ; -/* 772 */ ModuleItem: LetDeclaration; -/* 773 */ ModuleItem: VarDeclaration; -/* 774 */ ModuleItem: InstDeclaration; -/* 775 */ ModuleItem: TypeDefDeclaration; -/* 776 */ ModuleItem: LocalDeclaration; -/* 777 */ ModuleItem: AlwaysFfDeclaration; -/* 778 */ ModuleItem: AlwaysCombDeclaration; -/* 779 */ ModuleItem: AssignDeclaration; -/* 780 */ ModuleItem: FunctionDeclaration; -/* 781 */ ModuleItem: ModuleIfDeclaration; -/* 782 */ ModuleItem: ModuleForDeclaration; -/* 783 */ ModuleItem: EnumDeclaration; -/* 784 */ ModuleItem: StructUnionDeclaration; -/* 785 */ ModuleItem: ModuleNamedBlock; -/* 786 */ ModuleItem: ImportDeclaration; -/* 787 */ ModuleItem: InitialDeclaration; -/* 788 */ ModuleItem: FinalDeclaration; -/* 789 */ InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; -/* 790 */ InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList; -/* 791 */ InterfaceDeclarationList /* Vec::New */: ; -/* 792 */ InterfaceDeclarationOpt0 /* Option::Some */: WithParameter; -/* 793 */ InterfaceDeclarationOpt0 /* Option::None */: ; -/* 794 */ InterfaceDeclarationOpt /* Option::Some */: Pub; -/* 795 */ InterfaceDeclarationOpt /* Option::None */: ; -/* 796 */ InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; -/* 797 */ InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; -/* 798 */ InterfaceIfDeclarationList /* Vec::New */: ; -/* 799 */ InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock; -/* 800 */ InterfaceIfDeclarationOpt /* Option::None */: ; -/* 801 */ InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; -/* 802 */ InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 803 */ InterfaceForDeclarationOpt /* Option::None */: ; -/* 804 */ InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; -/* 805 */ InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList; -/* 806 */ InterfaceNamedBlockList /* Vec::New */: ; -/* 807 */ InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; -/* 808 */ InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList; -/* 809 */ InterfaceOptionalNamedBlockList /* Vec::New */: ; -/* 810 */ InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; -/* 811 */ InterfaceOptionalNamedBlockOpt /* Option::None */: ; -/* 812 */ InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; -/* 813 */ InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; -/* 814 */ InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList; -/* 815 */ InterfaceGroupGroupList /* Vec::New */: ; -/* 816 */ InterfaceGroupGroup: InterfaceItem; -/* 817 */ InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList; -/* 818 */ InterfaceGroupList /* Vec::New */: ; -/* 819 */ InterfaceItem: LetDeclaration; -/* 820 */ InterfaceItem: VarDeclaration; -/* 821 */ InterfaceItem: LocalDeclaration; -/* 822 */ InterfaceItem: ModportDeclaration; -/* 823 */ InterfaceItem: InterfaceIfDeclaration; -/* 824 */ InterfaceItem: InterfaceForDeclaration; -/* 825 */ InterfaceItem: TypeDefDeclaration; -/* 826 */ InterfaceItem: EnumDeclaration; -/* 827 */ InterfaceItem: StructUnionDeclaration; -/* 828 */ InterfaceItem: InterfaceNamedBlock; -/* 829 */ InterfaceItem: FunctionDeclaration; -/* 830 */ InterfaceItem: ImportDeclaration; -/* 831 */ InterfaceItem: InitialDeclaration; -/* 832 */ InterfaceItem: FinalDeclaration; -/* 833 */ PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier LBrace PackageDeclarationList /* Vec */ RBrace; -/* 834 */ PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList; -/* 835 */ PackageDeclarationList /* Vec::New */: ; -/* 836 */ PackageDeclarationOpt /* Option::Some */: Pub; -/* 837 */ PackageDeclarationOpt /* Option::None */: ; -/* 838 */ PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; -/* 839 */ PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; -/* 840 */ PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList; -/* 841 */ PackageGroupGroupList /* Vec::New */: ; -/* 842 */ PackageGroupGroup: PackageItem; -/* 843 */ PackageGroupList /* Vec::Push */: Attribute PackageGroupList; -/* 844 */ PackageGroupList /* Vec::New */: ; -/* 845 */ PackageItem: VarDeclaration; -/* 846 */ PackageItem: LocalDeclaration; -/* 847 */ PackageItem: TypeDefDeclaration; -/* 848 */ PackageItem: EnumDeclaration; -/* 849 */ PackageItem: StructUnionDeclaration; -/* 850 */ PackageItem: FunctionDeclaration; -/* 851 */ PackageItem: ImportDeclaration; -/* 852 */ PackageItem: ExportDeclaration; -/* 853 */ PackageItem: InitialDeclaration; -/* 854 */ PackageItem: FinalDeclaration; -/* 855 */ EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; -/* 856 */ EmbedContent: EmbedContentToken : VerylToken; -/* 857 */ EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm %pop(); -/* 858 */ EmbedContentTokenList /* Vec::Push */: EmbedItem EmbedContentTokenList; -/* 859 */ EmbedContentTokenList /* Vec::New */: ; -/* 860 */ EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; -/* 861 */ EmbedItemList /* Vec::Push */: EmbedItem EmbedItemList; -/* 862 */ EmbedItemList /* Vec::New */: ; -/* 863 */ EmbedItem: AnyTerm; -/* 864 */ DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; -/* 865 */ DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; -/* 866 */ DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList; -/* 867 */ DescriptionGroupGroupList /* Vec::New */: ; -/* 868 */ DescriptionGroupGroup: DescriptionItem; -/* 869 */ DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList; -/* 870 */ DescriptionGroupList /* Vec::New */: ; -/* 871 */ DescriptionItem: ModuleDeclaration; -/* 872 */ DescriptionItem: InterfaceDeclaration; -/* 873 */ DescriptionItem: PackageDeclaration; -/* 874 */ DescriptionItem: ImportDeclaration; -/* 875 */ DescriptionItem: EmbedDeclaration; -/* 876 */ Veryl: Start VerylList /* Vec */; -/* 877 */ VerylList /* Vec::Push */: DescriptionGroup VerylList; -/* 878 */ VerylList /* Vec::New */: ; +/* 65 */ IncludeTerm: /(?-u:\b)include(?-u:\b)/ : Token; +/* 66 */ InitialTerm: /(?-u:\b)initial(?-u:\b)/ : Token; +/* 67 */ InoutTerm: /(?-u:\b)inout(?-u:\b)/ : Token; +/* 68 */ InputTerm: /(?-u:\b)input(?-u:\b)/ : Token; +/* 69 */ InsideTerm: /(?-u:\b)inside(?-u:\b)/ : Token; +/* 70 */ InstTerm: /(?-u:\b)inst(?-u:\b)/ : Token; +/* 71 */ InterfaceTerm: /(?-u:\b)interface(?-u:\b)/ : Token; +/* 72 */ InTerm: /(?-u:\b)in(?-u:\b)/ : Token; +/* 73 */ LetTerm: /(?-u:\b)let(?-u:\b)/ : Token; +/* 74 */ LocalTerm: /(?-u:\b)local(?-u:\b)/ : Token; +/* 75 */ LogicTerm: /(?-u:\b)logic(?-u:\b)/ : Token; +/* 76 */ LsbTerm: /(?-u:\b)lsb(?-u:\b)/ : Token; +/* 77 */ ModportTerm: /(?-u:\b)modport(?-u:\b)/ : Token; +/* 78 */ ModuleTerm: /(?-u:\b)module(?-u:\b)/ : Token; +/* 79 */ MsbTerm: /(?-u:\b)msb(?-u:\b)/ : Token; +/* 80 */ NegedgeTerm: /(?-u:\b)negedge(?-u:\b)/ : Token; +/* 81 */ OutputTerm: /(?-u:\b)output(?-u:\b)/ : Token; +/* 82 */ OutsideTerm: /(?-u:\b)outside(?-u:\b)/ : Token; +/* 83 */ PackageTerm: /(?-u:\b)package(?-u:\b)/ : Token; +/* 84 */ ParamTerm: /(?-u:\b)param(?-u:\b)/ : Token; +/* 85 */ PosedgeTerm: /(?-u:\b)posedge(?-u:\b)/ : Token; +/* 86 */ PubTerm: /(?-u:\b)pub(?-u:\b)/ : Token; +/* 87 */ RefTerm: /(?-u:\b)ref(?-u:\b)/ : Token; +/* 88 */ RepeatTerm: /(?-u:\b)repeat(?-u:\b)/ : Token; +/* 89 */ ReturnTerm: /(?-u:\b)return(?-u:\b)/ : Token; +/* 90 */ BreakTerm: /(?-u:\b)break(?-u:\b)/ : Token; +/* 91 */ SignedTerm: /(?-u:\b)signed(?-u:\b)/ : Token; +/* 92 */ StepTerm: /(?-u:\b)step(?-u:\b)/ : Token; +/* 93 */ StringTerm: /(?-u:\b)string(?-u:\b)/ : Token; +/* 94 */ StructTerm: /(?-u:\b)struct(?-u:\b)/ : Token; +/* 95 */ SyncHighTerm: /(?-u:\b)sync_high(?-u:\b)/ : Token; +/* 96 */ SyncLowTerm: /(?-u:\b)sync_low(?-u:\b)/ : Token; +/* 97 */ TriTerm: /(?-u:\b)tri(?-u:\b)/ : Token; +/* 98 */ TypeTerm: /(?-u:\b)type(?-u:\b)/ : Token; +/* 99 */ U32Term: /(?-u:\b)u32(?-u:\b)/ : Token; +/* 100 */ U64Term: /(?-u:\b)u64(?-u:\b)/ : Token; +/* 101 */ UnionTerm: /(?-u:\b)union(?-u:\b)/ : Token; +/* 102 */ VarTerm: /(?-u:\b)var(?-u:\b)/ : Token; +/* 103 */ DollarIdentifierTerm: /\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token; +/* 104 */ IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token; +/* 105 */ AnyTerm: /[^{}]*/ : Token; +/* 106 */ Comments: CommentsOpt /* Option */; +/* 107 */ CommentsOpt /* Option::Some */: CommentsTerm; +/* 108 */ CommentsOpt /* Option::None */: ; +/* 109 */ StartToken: Comments; +/* 110 */ StringLiteralToken: StringLiteralTerm : Token Comments; +/* 111 */ ExponentToken: ExponentTerm : Token Comments; +/* 112 */ FixedPointToken: FixedPointTerm : Token Comments; +/* 113 */ BasedToken: BasedTerm : Token Comments; +/* 114 */ BaseLessToken: BaseLessTerm : Token Comments; +/* 115 */ AllBitToken: AllBitTerm : Token Comments; +/* 116 */ AssignmentOperatorToken: AssignmentOperatorTerm : Token Comments; +/* 117 */ Operator01Token: Operator01Term : Token Comments; +/* 118 */ Operator02Token: Operator02Term : Token Comments; +/* 119 */ Operator03Token: Operator03Term : Token Comments; +/* 120 */ Operator04Token: Operator04Term : Token Comments; +/* 121 */ Operator05Token: Operator05Term : Token Comments; +/* 122 */ Operator06Token: Operator06Term : Token Comments; +/* 123 */ Operator07Token: Operator07Term : Token Comments; +/* 124 */ Operator08Token: Operator08Term : Token Comments; +/* 125 */ Operator09Token: Operator09Term : Token Comments; +/* 126 */ Operator10Token: Operator10Term : Token Comments; +/* 127 */ Operator11Token: Operator11Term : Token Comments; +/* 128 */ UnaryOperatorToken: UnaryOperatorTerm : Token Comments; +/* 129 */ ColonToken: ColonTerm : Token Comments; +/* 130 */ ColonColonToken: ColonColonTerm : Token Comments; +/* 131 */ CommaToken: CommaTerm : Token Comments; +/* 132 */ DotDotToken: DotDotTerm : Token Comments; +/* 133 */ DotDotEquToken: DotDotEquTerm : Token Comments; +/* 134 */ DotToken: DotTerm : Token Comments; +/* 135 */ EquToken: EquTerm : Token Comments; +/* 136 */ HashToken: HashTerm : Token Comments; +/* 137 */ QuoteLBraceToken: QuoteLBraceTerm : Token Comments; +/* 138 */ LAngleToken: LAngleTerm : Token Comments; +/* 139 */ LBraceToken: LBraceTerm : Token Comments; +/* 140 */ LBracketToken: LBracketTerm : Token Comments; +/* 141 */ LParenToken: LParenTerm : Token Comments; +/* 142 */ MinusColonToken: MinusColonTerm : Token Comments; +/* 143 */ MinusGTToken: MinusGTTerm : Token Comments; +/* 144 */ PlusColonToken: PlusColonTerm : Token Comments; +/* 145 */ RAngleToken: RAngleTerm : Token Comments; +/* 146 */ RBraceToken: RBraceTerm : Token Comments; +/* 147 */ RBracketToken: RBracketTerm : Token Comments; +/* 148 */ RParenToken: RParenTerm : Token Comments; +/* 149 */ SemicolonToken: SemicolonTerm : Token Comments; +/* 150 */ StarToken: StarTerm : Token Comments; +/* 151 */ AlwaysCombToken: AlwaysCombTerm : Token Comments; +/* 152 */ AlwaysFfToken: AlwaysFfTerm : Token Comments; +/* 153 */ AsToken: AsTerm : Token Comments; +/* 154 */ AssignToken: AssignTerm : Token Comments; +/* 155 */ AsyncHighToken: AsyncHighTerm : Token Comments; +/* 156 */ AsyncLowToken: AsyncLowTerm : Token Comments; +/* 157 */ BitToken: BitTerm : Token Comments; +/* 158 */ CaseToken: CaseTerm : Token Comments; +/* 159 */ DefaultToken: DefaultTerm : Token Comments; +/* 160 */ ElseToken: ElseTerm : Token Comments; +/* 161 */ EmbedToken: EmbedTerm : Token Comments; +/* 162 */ EnumToken: EnumTerm : Token Comments; +/* 163 */ ExportToken: ExportTerm : Token Comments; +/* 164 */ F32Token: F32Term : Token Comments; +/* 165 */ F64Token: F64Term : Token Comments; +/* 166 */ FinalToken: FinalTerm : Token Comments; +/* 167 */ ForToken: ForTerm : Token Comments; +/* 168 */ FunctionToken: FunctionTerm : Token Comments; +/* 169 */ I32Token: I32Term : Token Comments; +/* 170 */ I64Token: I64Term : Token Comments; +/* 171 */ IfResetToken: IfResetTerm : Token Comments; +/* 172 */ IfToken: IfTerm : Token Comments; +/* 173 */ ImportToken: ImportTerm : Token Comments; +/* 174 */ IncludeToken: IncludeTerm : Token Comments; +/* 175 */ InitialToken: InitialTerm : Token Comments; +/* 176 */ InoutToken: InoutTerm : Token Comments; +/* 177 */ InputToken: InputTerm : Token Comments; +/* 178 */ InsideToken: InsideTerm : Token Comments; +/* 179 */ InstToken: InstTerm : Token Comments; +/* 180 */ InterfaceToken: InterfaceTerm : Token Comments; +/* 181 */ InToken: InTerm : Token Comments; +/* 182 */ LetToken: LetTerm : Token Comments; +/* 183 */ LocalToken: LocalTerm : Token Comments; +/* 184 */ LogicToken: LogicTerm : Token Comments; +/* 185 */ LsbToken: LsbTerm : Token Comments; +/* 186 */ ModportToken: ModportTerm : Token Comments; +/* 187 */ ModuleToken: ModuleTerm : Token Comments; +/* 188 */ MsbToken: MsbTerm : Token Comments; +/* 189 */ NegedgeToken: NegedgeTerm : Token Comments; +/* 190 */ OutputToken: OutputTerm : Token Comments; +/* 191 */ OutsideToken: OutsideTerm : Token Comments; +/* 192 */ PackageToken: PackageTerm : Token Comments; +/* 193 */ ParamToken: ParamTerm : Token Comments; +/* 194 */ PosedgeToken: PosedgeTerm : Token Comments; +/* 195 */ PubToken: PubTerm : Token Comments; +/* 196 */ RefToken: RefTerm : Token Comments; +/* 197 */ RepeatToken: RepeatTerm : Token Comments; +/* 198 */ ReturnToken: ReturnTerm : Token Comments; +/* 199 */ BreakToken: BreakTerm : Token Comments; +/* 200 */ SignedToken: SignedTerm : Token Comments; +/* 201 */ StepToken: StepTerm : Token Comments; +/* 202 */ StringToken: StringTerm : Token Comments; +/* 203 */ StructToken: StructTerm : Token Comments; +/* 204 */ SyncHighToken: SyncHighTerm : Token Comments; +/* 205 */ SyncLowToken: SyncLowTerm : Token Comments; +/* 206 */ TriToken: TriTerm : Token Comments; +/* 207 */ TypeToken: TypeTerm : Token Comments; +/* 208 */ U32Token: U32Term : Token Comments; +/* 209 */ U64Token: U64Term : Token Comments; +/* 210 */ UnionToken: UnionTerm : Token Comments; +/* 211 */ VarToken: VarTerm : Token Comments; +/* 212 */ DollarIdentifierToken: DollarIdentifierTerm : Token Comments; +/* 213 */ IdentifierToken: IdentifierTerm : Token Comments; +/* 214 */ Start: StartToken : VerylToken; +/* 215 */ StringLiteral: StringLiteralToken : VerylToken; +/* 216 */ Exponent: ExponentToken : VerylToken; +/* 217 */ FixedPoint: FixedPointToken : VerylToken; +/* 218 */ Based: BasedToken : VerylToken; +/* 219 */ BaseLess: BaseLessToken : VerylToken; +/* 220 */ AllBit: AllBitToken : VerylToken; +/* 221 */ AssignmentOperator: AssignmentOperatorToken : VerylToken; +/* 222 */ Operator01: Operator01Token : VerylToken; +/* 223 */ Operator02: Operator02Token : VerylToken; +/* 224 */ Operator03: Operator03Token : VerylToken; +/* 225 */ Operator04: Operator04Token : VerylToken; +/* 226 */ Operator05: Operator05Token : VerylToken; +/* 227 */ Operator06: Operator06Token : VerylToken; +/* 228 */ Operator07: Operator07Token : VerylToken; +/* 229 */ Operator08: Operator08Token : VerylToken; +/* 230 */ Operator09: Operator09Token : VerylToken; +/* 231 */ Operator10: Operator10Token : VerylToken; +/* 232 */ Operator11: Operator11Token : VerylToken; +/* 233 */ UnaryOperator: UnaryOperatorToken : VerylToken; +/* 234 */ Colon: ColonToken : VerylToken; +/* 235 */ ColonColon: ColonColonToken : VerylToken; +/* 236 */ Comma: CommaToken : VerylToken; +/* 237 */ DotDot: DotDotToken : VerylToken; +/* 238 */ DotDotEqu: DotDotEquToken : VerylToken; +/* 239 */ Dot: DotToken : VerylToken; +/* 240 */ Equ: EquToken : VerylToken; +/* 241 */ Hash: HashToken : VerylToken; +/* 242 */ QuoteLBrace: QuoteLBraceToken : VerylToken; +/* 243 */ LAngle: LAngleToken : VerylToken; +/* 244 */ LBrace: LBraceToken : VerylToken; +/* 245 */ LBracket: LBracketToken : VerylToken; +/* 246 */ LParen: LParenToken : VerylToken; +/* 247 */ MinusColon: MinusColonToken : VerylToken; +/* 248 */ MinusGT: MinusGTToken : VerylToken; +/* 249 */ PlusColon: PlusColonToken : VerylToken; +/* 250 */ RAngle: RAngleToken : VerylToken; +/* 251 */ RBrace: RBraceToken : VerylToken; +/* 252 */ RBracket: RBracketToken : VerylToken; +/* 253 */ RParen: RParenToken : VerylToken; +/* 254 */ Semicolon: SemicolonToken : VerylToken; +/* 255 */ Star: StarToken : VerylToken; +/* 256 */ AlwaysComb: AlwaysCombToken : VerylToken; +/* 257 */ AlwaysFf: AlwaysFfToken : VerylToken; +/* 258 */ As: AsToken : VerylToken; +/* 259 */ Assign: AssignToken : VerylToken; +/* 260 */ AsyncHigh: AsyncHighToken : VerylToken; +/* 261 */ AsyncLow: AsyncLowToken : VerylToken; +/* 262 */ Bit: BitToken : VerylToken; +/* 263 */ Break: BreakToken : VerylToken; +/* 264 */ Case: CaseToken : VerylToken; +/* 265 */ Defaul: DefaultToken : VerylToken; +/* 266 */ Else: ElseToken : VerylToken; +/* 267 */ Embed: EmbedToken : VerylToken; +/* 268 */ Enum: EnumToken : VerylToken; +/* 269 */ Export: ExportToken : VerylToken; +/* 270 */ F32: F32Token : VerylToken; +/* 271 */ F64: F64Token : VerylToken; +/* 272 */ Final: FinalToken : VerylToken; +/* 273 */ For: ForToken : VerylToken; +/* 274 */ Function: FunctionToken : VerylToken; +/* 275 */ I32: I32Token : VerylToken; +/* 276 */ I64: I64Token : VerylToken; +/* 277 */ If: IfToken : VerylToken; +/* 278 */ IfReset: IfResetToken : VerylToken; +/* 279 */ Import: ImportToken : VerylToken; +/* 280 */ In: InToken : VerylToken; +/* 281 */ Include: IncludeToken : VerylToken; +/* 282 */ Initial: InitialToken : VerylToken; +/* 283 */ Inout: InoutToken : VerylToken; +/* 284 */ Input: InputToken : VerylToken; +/* 285 */ Inside: InsideToken : VerylToken; +/* 286 */ Inst: InstToken : VerylToken; +/* 287 */ Interface: InterfaceToken : VerylToken; +/* 288 */ Let: LetToken : VerylToken; +/* 289 */ Local: LocalToken : VerylToken; +/* 290 */ Logic: LogicToken : VerylToken; +/* 291 */ Lsb: LsbToken : VerylToken; +/* 292 */ Modport: ModportToken : VerylToken; +/* 293 */ Module: ModuleToken : VerylToken; +/* 294 */ Msb: MsbToken : VerylToken; +/* 295 */ Negedge: NegedgeToken : VerylToken; +/* 296 */ Output: OutputToken : VerylToken; +/* 297 */ Outside: OutsideToken : VerylToken; +/* 298 */ Package: PackageToken : VerylToken; +/* 299 */ Param: ParamToken : VerylToken; +/* 300 */ Posedge: PosedgeToken : VerylToken; +/* 301 */ Pub: PubToken : VerylToken; +/* 302 */ Ref: RefToken : VerylToken; +/* 303 */ Repeat: RepeatToken : VerylToken; +/* 304 */ Return: ReturnToken : VerylToken; +/* 305 */ Signed: SignedToken : VerylToken; +/* 306 */ Step: StepToken : VerylToken; +/* 307 */ Strin: StringToken : VerylToken; +/* 308 */ Struct: StructToken : VerylToken; +/* 309 */ SyncHigh: SyncHighToken : VerylToken; +/* 310 */ SyncLow: SyncLowToken : VerylToken; +/* 311 */ Tri: TriToken : VerylToken; +/* 312 */ Type: TypeToken : VerylToken; +/* 313 */ U32: U32Token : VerylToken; +/* 314 */ U64: U64Token : VerylToken; +/* 315 */ Union: UnionToken : VerylToken; +/* 316 */ Var: VarToken : VerylToken; +/* 317 */ DollarIdentifier: DollarIdentifierToken : VerylToken; +/* 318 */ Identifier: IdentifierToken : VerylToken; +/* 319 */ Number: IntegralNumber; +/* 320 */ Number: RealNumber; +/* 321 */ IntegralNumber: Based; +/* 322 */ IntegralNumber: BaseLess; +/* 323 */ IntegralNumber: AllBit; +/* 324 */ RealNumber: FixedPoint; +/* 325 */ RealNumber: Exponent; +/* 326 */ HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; +/* 327 */ HierarchicalIdentifierList0 /* Vec::Push */: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; +/* 328 */ HierarchicalIdentifierList0List /* Vec::Push */: Select HierarchicalIdentifierList0List; +/* 329 */ HierarchicalIdentifierList0List /* Vec::New */: ; +/* 330 */ HierarchicalIdentifierList0 /* Vec::New */: ; +/* 331 */ HierarchicalIdentifierList /* Vec::Push */: Select HierarchicalIdentifierList; +/* 332 */ HierarchicalIdentifierList /* Vec::New */: ; +/* 333 */ ScopedIdentifier: ScopedIdentifierGroup ScopedIdentifierList /* Vec */; +/* 334 */ ScopedIdentifierGroup: DollarIdentifier; +/* 335 */ ScopedIdentifierGroup: Identifier; +/* 336 */ ScopedIdentifierList /* Vec::Push */: ColonColon Identifier ScopedIdentifierList; +/* 337 */ ScopedIdentifierList /* Vec::New */: ; +/* 338 */ ExpressionIdentifier: ExpressionIdentifierGroup ExpressionIdentifierGroup0; +/* 339 */ ExpressionIdentifierGroup0: ExpressionIdentifierScoped; +/* 340 */ ExpressionIdentifierGroup0: ExpressionIdentifierMember; +/* 341 */ ExpressionIdentifierGroup: DollarIdentifier; +/* 342 */ ExpressionIdentifierGroup: Identifier; +/* 343 */ ExpressionIdentifierScoped: ColonColon Identifier ExpressionIdentifierScopedList /* Vec */ ExpressionIdentifierScopedList0 /* Vec */; +/* 344 */ ExpressionIdentifierScopedList0 /* Vec::Push */: Select ExpressionIdentifierScopedList0; +/* 345 */ ExpressionIdentifierScopedList0 /* Vec::New */: ; +/* 346 */ ExpressionIdentifierScopedList /* Vec::Push */: ColonColon Identifier ExpressionIdentifierScopedList; +/* 347 */ ExpressionIdentifierScopedList /* Vec::New */: ; +/* 348 */ ExpressionIdentifierMember: ExpressionIdentifierMemberList /* Vec */ ExpressionIdentifierMemberList0 /* Vec */; +/* 349 */ ExpressionIdentifierMemberList0 /* Vec::Push */: Dot Identifier ExpressionIdentifierMemberList0List /* Vec */ ExpressionIdentifierMemberList0; +/* 350 */ ExpressionIdentifierMemberList0List /* Vec::Push */: Select ExpressionIdentifierMemberList0List; +/* 351 */ ExpressionIdentifierMemberList0List /* Vec::New */: ; +/* 352 */ ExpressionIdentifierMemberList0 /* Vec::New */: ; +/* 353 */ ExpressionIdentifierMemberList /* Vec::Push */: Select ExpressionIdentifierMemberList; +/* 354 */ ExpressionIdentifierMemberList /* Vec::New */: ; +/* 355 */ Expression: Expression01 ExpressionList /* Vec */; +/* 356 */ ExpressionList /* Vec::Push */: Operator01 Expression01 ExpressionList; +/* 357 */ ExpressionList /* Vec::New */: ; +/* 358 */ Expression01: Expression02 Expression01List /* Vec */; +/* 359 */ Expression01List /* Vec::Push */: Operator02 Expression02 Expression01List; +/* 360 */ Expression01List /* Vec::New */: ; +/* 361 */ Expression02: Expression03 Expression02List /* Vec */; +/* 362 */ Expression02List /* Vec::Push */: Operator03 Expression03 Expression02List; +/* 363 */ Expression02List /* Vec::New */: ; +/* 364 */ Expression03: Expression04 Expression03List /* Vec */; +/* 365 */ Expression03List /* Vec::Push */: Operator04 Expression04 Expression03List; +/* 366 */ Expression03List /* Vec::New */: ; +/* 367 */ Expression04: Expression05 Expression04List /* Vec */; +/* 368 */ Expression04List /* Vec::Push */: Operator05 Expression05 Expression04List; +/* 369 */ Expression04List /* Vec::New */: ; +/* 370 */ Expression05: Expression06 Expression05List /* Vec */; +/* 371 */ Expression05List /* Vec::Push */: Operator06 Expression06 Expression05List; +/* 372 */ Expression05List /* Vec::New */: ; +/* 373 */ Expression06: Expression07 Expression06List /* Vec */; +/* 374 */ Expression06List /* Vec::Push */: Operator07 Expression07 Expression06List; +/* 375 */ Expression06List /* Vec::New */: ; +/* 376 */ Expression07: Expression08 Expression07List /* Vec */; +/* 377 */ Expression07List /* Vec::Push */: Operator08 Expression08 Expression07List; +/* 378 */ Expression07List /* Vec::New */: ; +/* 379 */ Expression08: Expression09 Expression08List /* Vec */; +/* 380 */ Expression08List /* Vec::Push */: Operator09 Expression09 Expression08List; +/* 381 */ Expression08List /* Vec::New */: ; +/* 382 */ Expression09: Expression10 Expression09List /* Vec */; +/* 383 */ Expression09List /* Vec::Push */: Expression09ListGroup Expression10 Expression09List; +/* 384 */ Expression09ListGroup: Operator10; +/* 385 */ Expression09ListGroup: Star; +/* 386 */ Expression09List /* Vec::New */: ; +/* 387 */ Expression10: Expression11 Expression10List /* Vec */; +/* 388 */ Expression10List /* Vec::Push */: Operator11 Expression11 Expression10List; +/* 389 */ Expression10List /* Vec::New */: ; +/* 390 */ Expression11: Expression12 Expression11List /* Vec */; +/* 391 */ Expression11List /* Vec::Push */: As ScopedIdentifier Expression11List; +/* 392 */ Expression11List /* Vec::New */: ; +/* 393 */ Expression12: Expression12List /* Vec */ Factor; +/* 394 */ Expression12List /* Vec::Push */: Expression12ListGroup Expression12List; +/* 395 */ Expression12ListGroup: UnaryOperator; +/* 396 */ Expression12ListGroup: Operator09; +/* 397 */ Expression12ListGroup: Operator05; +/* 398 */ Expression12ListGroup: Operator03; +/* 399 */ Expression12ListGroup: Operator04; +/* 400 */ Expression12List /* Vec::New */: ; +/* 401 */ Factor: Number; +/* 402 */ Factor: ExpressionIdentifier FactorOpt /* Option */; +/* 403 */ Factor: LParen Expression RParen; +/* 404 */ Factor: LBrace ConcatenationList RBrace; +/* 405 */ Factor: QuoteLBrace ArrayLiteralList RBrace; +/* 406 */ Factor: IfExpression; +/* 407 */ Factor: CaseExpression; +/* 408 */ Factor: StringLiteral; +/* 409 */ Factor: FactorGroup; +/* 410 */ FactorGroup: Msb; +/* 411 */ FactorGroup: Lsb; +/* 412 */ Factor: InsideExpression; +/* 413 */ Factor: OutsideExpression; +/* 414 */ FactorOpt /* Option::Some */: FunctionCall; +/* 415 */ FactorOpt /* Option::None */: ; +/* 416 */ FunctionCall: LParen FunctionCallOpt /* Option */ RParen; +/* 417 */ FunctionCallOpt /* Option::Some */: ArgumentList; +/* 418 */ FunctionCallOpt /* Option::None */: ; +/* 419 */ ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; +/* 420 */ ArgumentListList /* Vec::Push */: Comma ArgumentItem ArgumentListList; +/* 421 */ ArgumentListList /* Vec::New */: ; +/* 422 */ ArgumentListOpt /* Option::Some */: Comma; +/* 423 */ ArgumentListOpt /* Option::None */: ; +/* 424 */ ArgumentItem: Expression; +/* 425 */ ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; +/* 426 */ ConcatenationListList /* Vec::Push */: Comma ConcatenationItem ConcatenationListList; +/* 427 */ ConcatenationListList /* Vec::New */: ; +/* 428 */ ConcatenationListOpt /* Option::Some */: Comma; +/* 429 */ ConcatenationListOpt /* Option::None */: ; +/* 430 */ ConcatenationItem: Expression ConcatenationItemOpt /* Option */; +/* 431 */ ConcatenationItemOpt /* Option::Some */: Repeat Expression; +/* 432 */ ConcatenationItemOpt /* Option::None */: ; +/* 433 */ ArrayLiteralList: ArrayLiteralItem ArrayLiteralListList /* Vec */ ArrayLiteralListOpt /* Option */; +/* 434 */ ArrayLiteralListList /* Vec::Push */: Comma ArrayLiteralItem ArrayLiteralListList; +/* 435 */ ArrayLiteralListList /* Vec::New */: ; +/* 436 */ ArrayLiteralListOpt /* Option::Some */: Comma; +/* 437 */ ArrayLiteralListOpt /* Option::None */: ; +/* 438 */ ArrayLiteralItem: ArrayLiteralItemGroup; +/* 439 */ ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */; +/* 440 */ ArrayLiteralItemGroup: Defaul Colon Expression; +/* 441 */ ArrayLiteralItemOpt /* Option::Some */: Repeat Expression; +/* 442 */ ArrayLiteralItemOpt /* Option::None */: ; +/* 443 */ IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; +/* 444 */ IfExpressionList /* Vec::Push */: Else If Expression LBrace Expression RBrace IfExpressionList; +/* 445 */ IfExpressionList /* Vec::New */: ; +/* 446 */ CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; +/* 447 */ CaseExpressionList0 /* Vec::Push */: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; +/* 448 */ CaseExpressionList0List /* Vec::Push */: Comma Expression CaseExpressionList0List; +/* 449 */ CaseExpressionList0List /* Vec::New */: ; +/* 450 */ CaseExpressionList0 /* Vec::New */: ; +/* 451 */ CaseExpressionList /* Vec::Push */: Comma Expression CaseExpressionList; +/* 452 */ CaseExpressionList /* Vec::New */: ; +/* 453 */ CaseExpressionOpt /* Option::Some */: Comma; +/* 454 */ CaseExpressionOpt /* Option::None */: ; +/* 455 */ TypeExpression: ScalarType; +/* 456 */ TypeExpression: Type LParen Expression RParen; +/* 457 */ InsideExpression: Inside Expression LBrace RangeList RBrace; +/* 458 */ OutsideExpression: Outside Expression LBrace RangeList RBrace; +/* 459 */ RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; +/* 460 */ RangeListList /* Vec::Push */: Comma RangeItem RangeListList; +/* 461 */ RangeListList /* Vec::New */: ; +/* 462 */ RangeListOpt /* Option::Some */: Comma; +/* 463 */ RangeListOpt /* Option::None */: ; +/* 464 */ RangeItem: Range; +/* 465 */ Select: LBracket Expression SelectOpt /* Option */ RBracket; +/* 466 */ SelectOpt /* Option::Some */: SelectOperator Expression; +/* 467 */ SelectOpt /* Option::None */: ; +/* 468 */ SelectOperator: Colon; +/* 469 */ SelectOperator: PlusColon; +/* 470 */ SelectOperator: MinusColon; +/* 471 */ SelectOperator: Step; +/* 472 */ Width: LAngle Expression WidthList /* Vec */ RAngle; +/* 473 */ WidthList /* Vec::Push */: Comma Expression WidthList; +/* 474 */ WidthList /* Vec::New */: ; +/* 475 */ Array: LBracket Expression ArrayList /* Vec */ RBracket; +/* 476 */ ArrayList /* Vec::Push */: Comma Expression ArrayList; +/* 477 */ ArrayList /* Vec::New */: ; +/* 478 */ Range: Expression RangeOpt /* Option */; +/* 479 */ RangeOpt /* Option::Some */: RangeOperator Expression; +/* 480 */ RangeOpt /* Option::None */: ; +/* 481 */ RangeOperator: DotDot; +/* 482 */ RangeOperator: DotDotEqu; +/* 483 */ FixedType: U32; +/* 484 */ FixedType: U64; +/* 485 */ FixedType: I32; +/* 486 */ FixedType: I64; +/* 487 */ FixedType: F32; +/* 488 */ FixedType: F64; +/* 489 */ FixedType: Strin; +/* 490 */ VariableType: VariableTypeGroup VariableTypeOpt /* Option */; +/* 491 */ VariableTypeGroup: Logic; +/* 492 */ VariableTypeGroup: Bit; +/* 493 */ VariableTypeGroup: ScopedIdentifier; +/* 494 */ VariableTypeOpt /* Option::Some */: Width; +/* 495 */ VariableTypeOpt /* Option::None */: ; +/* 496 */ TypeModifier: Tri; +/* 497 */ TypeModifier: Signed; +/* 498 */ ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; +/* 499 */ ScalarTypeGroup: VariableType; +/* 500 */ ScalarTypeGroup: FixedType; +/* 501 */ ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList; +/* 502 */ ScalarTypeList /* Vec::New */: ; +/* 503 */ ArrayType: ScalarType ArrayTypeOpt /* Option */; +/* 504 */ ArrayTypeOpt /* Option::Some */: Array; +/* 505 */ ArrayTypeOpt /* Option::None */: ; +/* 506 */ Statement: LetStatement; +/* 507 */ Statement: IdentifierStatement; +/* 508 */ Statement: IfStatement; +/* 509 */ Statement: IfResetStatement; +/* 510 */ Statement: ReturnStatement; +/* 511 */ Statement: BreakStatement; +/* 512 */ Statement: ForStatement; +/* 513 */ Statement: CaseStatement; +/* 514 */ LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; +/* 515 */ IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; +/* 516 */ IdentifierStatementGroup: FunctionCall; +/* 517 */ IdentifierStatementGroup: Assignment; +/* 518 */ Assignment: AssignmentGroup Expression; +/* 519 */ AssignmentGroup: Equ; +/* 520 */ AssignmentGroup: AssignmentOperator; +/* 521 */ IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; +/* 522 */ IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; +/* 523 */ IfStatementList0List /* Vec::Push */: Statement IfStatementList0List; +/* 524 */ IfStatementList0List /* Vec::New */: ; +/* 525 */ IfStatementList0 /* Vec::New */: ; +/* 526 */ IfStatementList /* Vec::Push */: Statement IfStatementList; +/* 527 */ IfStatementList /* Vec::New */: ; +/* 528 */ IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace; +/* 529 */ IfStatementOptList /* Vec::Push */: Statement IfStatementOptList; +/* 530 */ IfStatementOptList /* Vec::New */: ; +/* 531 */ IfStatementOpt /* Option::None */: ; +/* 532 */ IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; +/* 533 */ IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; +/* 534 */ IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List; +/* 535 */ IfResetStatementList0List /* Vec::New */: ; +/* 536 */ IfResetStatementList0 /* Vec::New */: ; +/* 537 */ IfResetStatementList /* Vec::Push */: Statement IfResetStatementList; +/* 538 */ IfResetStatementList /* Vec::New */: ; +/* 539 */ IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace; +/* 540 */ IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList; +/* 541 */ IfResetStatementOptList /* Vec::New */: ; +/* 542 */ IfResetStatementOpt /* Option::None */: ; +/* 543 */ ReturnStatement: Return Expression Semicolon; +/* 544 */ BreakStatement: Break Semicolon; +/* 545 */ ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; +/* 546 */ ForStatementList /* Vec::Push */: Statement ForStatementList; +/* 547 */ ForStatementList /* Vec::New */: ; +/* 548 */ ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 549 */ ForStatementOpt /* Option::None */: ; +/* 550 */ CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; +/* 551 */ CaseStatementList /* Vec::Push */: CaseItem CaseStatementList; +/* 552 */ CaseStatementList /* Vec::New */: ; +/* 553 */ CaseItem: CaseItemGroup Colon CaseItemGroup0; +/* 554 */ CaseItemGroup0: Statement; +/* 555 */ CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; +/* 556 */ CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List; +/* 557 */ CaseItemGroup0List /* Vec::New */: ; +/* 558 */ CaseItemGroup: Expression CaseItemGroupList /* Vec */; +/* 559 */ CaseItemGroupList /* Vec::Push */: Comma Expression CaseItemGroupList; +/* 560 */ CaseItemGroupList /* Vec::New */: ; +/* 561 */ CaseItemGroup: Defaul; +/* 562 */ Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; +/* 563 */ AttributeOpt /* Option::Some */: LParen AttributeList RParen; +/* 564 */ AttributeOpt /* Option::None */: ; +/* 565 */ AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; +/* 566 */ AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList; +/* 567 */ AttributeListList /* Vec::New */: ; +/* 568 */ AttributeListOpt /* Option::Some */: Comma; +/* 569 */ AttributeListOpt /* Option::None */: ; +/* 570 */ AttributeItem: Identifier; +/* 571 */ AttributeItem: StringLiteral; +/* 572 */ LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; +/* 573 */ VarDeclaration: Var Identifier Colon ArrayType Semicolon; +/* 574 */ LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; +/* 575 */ LocalDeclarationGroup: ArrayType Equ Expression; +/* 576 */ LocalDeclarationGroup: Type Equ TypeExpression; +/* 577 */ TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; +/* 578 */ AlwaysFfDeclaration: AlwaysFf LParen AlwaysFfClock AlwaysFfDeclarationOpt /* Option */ RParen LBrace AlwaysFfDeclarationList /* Vec */ RBrace; +/* 579 */ AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList; +/* 580 */ AlwaysFfDeclarationList /* Vec::New */: ; +/* 581 */ AlwaysFfDeclarationOpt /* Option::Some */: Comma AlwaysFfReset; +/* 582 */ AlwaysFfDeclarationOpt /* Option::None */: ; +/* 583 */ AlwaysFfClock: AlwaysFfClockOpt /* Option */ HierarchicalIdentifier; +/* 584 */ AlwaysFfClockOpt /* Option::Some */: AlwaysFfClockOptGroup; +/* 585 */ AlwaysFfClockOptGroup: Posedge; +/* 586 */ AlwaysFfClockOptGroup: Negedge; +/* 587 */ AlwaysFfClockOpt /* Option::None */: ; +/* 588 */ AlwaysFfReset: AlwaysFfResetOpt /* Option */ HierarchicalIdentifier; +/* 589 */ AlwaysFfResetOpt /* Option::Some */: AlwaysFfResetOptGroup; +/* 590 */ AlwaysFfResetOptGroup: AsyncLow; +/* 591 */ AlwaysFfResetOptGroup: AsyncHigh; +/* 592 */ AlwaysFfResetOptGroup: SyncLow; +/* 593 */ AlwaysFfResetOptGroup: SyncHigh; +/* 594 */ AlwaysFfResetOpt /* Option::None */: ; +/* 595 */ AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; +/* 596 */ AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList; +/* 597 */ AlwaysCombDeclarationList /* Vec::New */: ; +/* 598 */ AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; +/* 599 */ ModportDeclaration: Modport Identifier LBrace ModportList RBrace; +/* 600 */ ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; +/* 601 */ ModportListList /* Vec::Push */: Comma ModportGroup ModportListList; +/* 602 */ ModportListList /* Vec::New */: ; +/* 603 */ ModportListOpt /* Option::Some */: Comma; +/* 604 */ ModportListOpt /* Option::None */: ; +/* 605 */ ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; +/* 606 */ ModportGroupGroup: LBrace ModportList RBrace; +/* 607 */ ModportGroupGroup: ModportItem; +/* 608 */ ModportGroupList /* Vec::Push */: Attribute ModportGroupList; +/* 609 */ ModportGroupList /* Vec::New */: ; +/* 610 */ ModportItem: Identifier Colon Direction; +/* 611 */ EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; +/* 612 */ EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; +/* 613 */ EnumListList /* Vec::Push */: Comma EnumGroup EnumListList; +/* 614 */ EnumListList /* Vec::New */: ; +/* 615 */ EnumListOpt /* Option::Some */: Comma; +/* 616 */ EnumListOpt /* Option::None */: ; +/* 617 */ EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; +/* 618 */ EnumGroupGroup: LBrace EnumList RBrace; +/* 619 */ EnumGroupGroup: EnumItem; +/* 620 */ EnumGroupList /* Vec::Push */: Attribute EnumGroupList; +/* 621 */ EnumGroupList /* Vec::New */: ; +/* 622 */ EnumItem: Identifier EnumItemOpt /* Option */; +/* 623 */ EnumItemOpt /* Option::Some */: Equ Expression; +/* 624 */ EnumItemOpt /* Option::None */: ; +/* 625 */ StructUnion: Struct; +/* 626 */ StructUnion: Union; +/* 627 */ StructUnionDeclaration: StructUnion Identifier LBrace StructUnionList RBrace; +/* 628 */ StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; +/* 629 */ StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList; +/* 630 */ StructUnionListList /* Vec::New */: ; +/* 631 */ StructUnionListOpt /* Option::Some */: Comma; +/* 632 */ StructUnionListOpt /* Option::None */: ; +/* 633 */ StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; +/* 634 */ StructUnionGroupGroup: LBrace StructUnionList RBrace; +/* 635 */ StructUnionGroupGroup: StructUnionItem; +/* 636 */ StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList; +/* 637 */ StructUnionGroupList /* Vec::New */: ; +/* 638 */ StructUnionItem: Identifier Colon ScalarType; +/* 639 */ InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; +/* 640 */ InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList; +/* 641 */ InitialDeclarationList /* Vec::New */: ; +/* 642 */ FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; +/* 643 */ FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList; +/* 644 */ FinalDeclarationList /* Vec::New */: ; +/* 645 */ InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; +/* 646 */ InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen; +/* 647 */ InstDeclarationOpt2 /* Option::Some */: InstPortList; +/* 648 */ InstDeclarationOpt2 /* Option::None */: ; +/* 649 */ InstDeclarationOpt1 /* Option::None */: ; +/* 650 */ InstDeclarationOpt0 /* Option::Some */: InstParameter; +/* 651 */ InstDeclarationOpt0 /* Option::None */: ; +/* 652 */ InstDeclarationOpt /* Option::Some */: Array; +/* 653 */ InstDeclarationOpt /* Option::None */: ; +/* 654 */ InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; +/* 655 */ InstParameterOpt /* Option::Some */: InstParameterList; +/* 656 */ InstParameterOpt /* Option::None */: ; +/* 657 */ InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; +/* 658 */ InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList; +/* 659 */ InstParameterListList /* Vec::New */: ; +/* 660 */ InstParameterListOpt /* Option::Some */: Comma; +/* 661 */ InstParameterListOpt /* Option::None */: ; +/* 662 */ InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; +/* 663 */ InstParameterGroupGroup: LBrace InstParameterList RBrace; +/* 664 */ InstParameterGroupGroup: InstParameterItem; +/* 665 */ InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList; +/* 666 */ InstParameterGroupList /* Vec::New */: ; +/* 667 */ InstParameterItem: Identifier InstParameterItemOpt /* Option */; +/* 668 */ InstParameterItemOpt /* Option::Some */: Colon Expression; +/* 669 */ InstParameterItemOpt /* Option::None */: ; +/* 670 */ InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; +/* 671 */ InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList; +/* 672 */ InstPortListList /* Vec::New */: ; +/* 673 */ InstPortListOpt /* Option::Some */: Comma; +/* 674 */ InstPortListOpt /* Option::None */: ; +/* 675 */ InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; +/* 676 */ InstPortGroupGroup: LBrace InstPortList RBrace; +/* 677 */ InstPortGroupGroup: InstPortItem; +/* 678 */ InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList; +/* 679 */ InstPortGroupList /* Vec::New */: ; +/* 680 */ InstPortItem: Identifier InstPortItemOpt /* Option */; +/* 681 */ InstPortItemOpt /* Option::Some */: Colon Expression; +/* 682 */ InstPortItemOpt /* Option::None */: ; +/* 683 */ WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; +/* 684 */ WithParameterOpt /* Option::Some */: WithParameterList; +/* 685 */ WithParameterOpt /* Option::None */: ; +/* 686 */ WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; +/* 687 */ WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList; +/* 688 */ WithParameterListList /* Vec::New */: ; +/* 689 */ WithParameterListOpt /* Option::Some */: Comma; +/* 690 */ WithParameterListOpt /* Option::None */: ; +/* 691 */ WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; +/* 692 */ WithParameterGroupGroup: LBrace WithParameterList RBrace; +/* 693 */ WithParameterGroupGroup: WithParameterItem; +/* 694 */ WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList; +/* 695 */ WithParameterGroupList /* Vec::New */: ; +/* 696 */ WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; +/* 697 */ WithParameterItemGroup0: ArrayType Equ Expression; +/* 698 */ WithParameterItemGroup0: Type Equ TypeExpression; +/* 699 */ WithParameterItemGroup: Param; +/* 700 */ WithParameterItemGroup: Local; +/* 701 */ PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; +/* 702 */ PortDeclarationOpt /* Option::Some */: PortDeclarationList; +/* 703 */ PortDeclarationOpt /* Option::None */: ; +/* 704 */ PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; +/* 705 */ PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList; +/* 706 */ PortDeclarationListList /* Vec::New */: ; +/* 707 */ PortDeclarationListOpt /* Option::Some */: Comma; +/* 708 */ PortDeclarationListOpt /* Option::None */: ; +/* 709 */ PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; +/* 710 */ PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; +/* 711 */ PortDeclarationGroupGroup: PortDeclarationItem; +/* 712 */ PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList; +/* 713 */ PortDeclarationGroupList /* Vec::New */: ; +/* 714 */ PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; +/* 715 */ PortDeclarationItemGroup: Direction ArrayType; +/* 716 */ PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; +/* 717 */ PortDeclarationItemOpt /* Option::Some */: Array; +/* 718 */ PortDeclarationItemOpt /* Option::None */: ; +/* 719 */ Direction: Input; +/* 720 */ Direction: Output; +/* 721 */ Direction: Inout; +/* 722 */ Direction: Ref; +/* 723 */ Direction: Modport; +/* 724 */ FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; +/* 725 */ FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList; +/* 726 */ FunctionDeclarationList /* Vec::New */: ; +/* 727 */ FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType; +/* 728 */ FunctionDeclarationOpt1 /* Option::None */: ; +/* 729 */ FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration; +/* 730 */ FunctionDeclarationOpt0 /* Option::None */: ; +/* 731 */ FunctionDeclarationOpt /* Option::Some */: WithParameter; +/* 732 */ FunctionDeclarationOpt /* Option::None */: ; +/* 733 */ FunctionItem: VarDeclaration; +/* 734 */ FunctionItem: Statement; +/* 735 */ ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; +/* 736 */ ImportDeclarationOpt /* Option::Some */: ColonColon Star; +/* 737 */ ImportDeclarationOpt /* Option::None */: ; +/* 738 */ ExportDeclaration: Export ExportDeclarationGroup Semicolon; +/* 739 */ ExportDeclarationGroup: Star; +/* 740 */ ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; +/* 741 */ ExportDeclarationOpt /* Option::Some */: ColonColon Star; +/* 742 */ ExportDeclarationOpt /* Option::None */: ; +/* 743 */ ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; +/* 744 */ ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList; +/* 745 */ ModuleDeclarationList /* Vec::New */: ; +/* 746 */ ModuleDeclarationOpt1 /* Option::Some */: PortDeclaration; +/* 747 */ ModuleDeclarationOpt1 /* Option::None */: ; +/* 748 */ ModuleDeclarationOpt0 /* Option::Some */: WithParameter; +/* 749 */ ModuleDeclarationOpt0 /* Option::None */: ; +/* 750 */ ModuleDeclarationOpt /* Option::Some */: Pub; +/* 751 */ ModuleDeclarationOpt /* Option::None */: ; +/* 752 */ ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; +/* 753 */ ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; +/* 754 */ ModuleIfDeclarationList /* Vec::New */: ; +/* 755 */ ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock; +/* 756 */ ModuleIfDeclarationOpt /* Option::None */: ; +/* 757 */ ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; +/* 758 */ ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 759 */ ModuleForDeclarationOpt /* Option::None */: ; +/* 760 */ ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; +/* 761 */ ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList; +/* 762 */ ModuleNamedBlockList /* Vec::New */: ; +/* 763 */ ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; +/* 764 */ ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList; +/* 765 */ ModuleOptionalNamedBlockList /* Vec::New */: ; +/* 766 */ ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; +/* 767 */ ModuleOptionalNamedBlockOpt /* Option::None */: ; +/* 768 */ ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; +/* 769 */ ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; +/* 770 */ ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList; +/* 771 */ ModuleGroupGroupList /* Vec::New */: ; +/* 772 */ ModuleGroupGroup: ModuleItem; +/* 773 */ ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList; +/* 774 */ ModuleGroupList /* Vec::New */: ; +/* 775 */ ModuleItem: LetDeclaration; +/* 776 */ ModuleItem: VarDeclaration; +/* 777 */ ModuleItem: InstDeclaration; +/* 778 */ ModuleItem: TypeDefDeclaration; +/* 779 */ ModuleItem: LocalDeclaration; +/* 780 */ ModuleItem: AlwaysFfDeclaration; +/* 781 */ ModuleItem: AlwaysCombDeclaration; +/* 782 */ ModuleItem: AssignDeclaration; +/* 783 */ ModuleItem: FunctionDeclaration; +/* 784 */ ModuleItem: ModuleIfDeclaration; +/* 785 */ ModuleItem: ModuleForDeclaration; +/* 786 */ ModuleItem: EnumDeclaration; +/* 787 */ ModuleItem: StructUnionDeclaration; +/* 788 */ ModuleItem: ModuleNamedBlock; +/* 789 */ ModuleItem: ImportDeclaration; +/* 790 */ ModuleItem: InitialDeclaration; +/* 791 */ ModuleItem: FinalDeclaration; +/* 792 */ InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; +/* 793 */ InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList; +/* 794 */ InterfaceDeclarationList /* Vec::New */: ; +/* 795 */ InterfaceDeclarationOpt0 /* Option::Some */: WithParameter; +/* 796 */ InterfaceDeclarationOpt0 /* Option::None */: ; +/* 797 */ InterfaceDeclarationOpt /* Option::Some */: Pub; +/* 798 */ InterfaceDeclarationOpt /* Option::None */: ; +/* 799 */ InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; +/* 800 */ InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; +/* 801 */ InterfaceIfDeclarationList /* Vec::New */: ; +/* 802 */ InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock; +/* 803 */ InterfaceIfDeclarationOpt /* Option::None */: ; +/* 804 */ InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; +/* 805 */ InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 806 */ InterfaceForDeclarationOpt /* Option::None */: ; +/* 807 */ InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; +/* 808 */ InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList; +/* 809 */ InterfaceNamedBlockList /* Vec::New */: ; +/* 810 */ InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; +/* 811 */ InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList; +/* 812 */ InterfaceOptionalNamedBlockList /* Vec::New */: ; +/* 813 */ InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; +/* 814 */ InterfaceOptionalNamedBlockOpt /* Option::None */: ; +/* 815 */ InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; +/* 816 */ InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; +/* 817 */ InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList; +/* 818 */ InterfaceGroupGroupList /* Vec::New */: ; +/* 819 */ InterfaceGroupGroup: InterfaceItem; +/* 820 */ InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList; +/* 821 */ InterfaceGroupList /* Vec::New */: ; +/* 822 */ InterfaceItem: LetDeclaration; +/* 823 */ InterfaceItem: VarDeclaration; +/* 824 */ InterfaceItem: LocalDeclaration; +/* 825 */ InterfaceItem: ModportDeclaration; +/* 826 */ InterfaceItem: InterfaceIfDeclaration; +/* 827 */ InterfaceItem: InterfaceForDeclaration; +/* 828 */ InterfaceItem: TypeDefDeclaration; +/* 829 */ InterfaceItem: EnumDeclaration; +/* 830 */ InterfaceItem: StructUnionDeclaration; +/* 831 */ InterfaceItem: InterfaceNamedBlock; +/* 832 */ InterfaceItem: FunctionDeclaration; +/* 833 */ InterfaceItem: ImportDeclaration; +/* 834 */ InterfaceItem: InitialDeclaration; +/* 835 */ InterfaceItem: FinalDeclaration; +/* 836 */ PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier LBrace PackageDeclarationList /* Vec */ RBrace; +/* 837 */ PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList; +/* 838 */ PackageDeclarationList /* Vec::New */: ; +/* 839 */ PackageDeclarationOpt /* Option::Some */: Pub; +/* 840 */ PackageDeclarationOpt /* Option::None */: ; +/* 841 */ PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; +/* 842 */ PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; +/* 843 */ PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList; +/* 844 */ PackageGroupGroupList /* Vec::New */: ; +/* 845 */ PackageGroupGroup: PackageItem; +/* 846 */ PackageGroupList /* Vec::Push */: Attribute PackageGroupList; +/* 847 */ PackageGroupList /* Vec::New */: ; +/* 848 */ PackageItem: VarDeclaration; +/* 849 */ PackageItem: LocalDeclaration; +/* 850 */ PackageItem: TypeDefDeclaration; +/* 851 */ PackageItem: EnumDeclaration; +/* 852 */ PackageItem: StructUnionDeclaration; +/* 853 */ PackageItem: FunctionDeclaration; +/* 854 */ PackageItem: ImportDeclaration; +/* 855 */ PackageItem: ExportDeclaration; +/* 856 */ PackageItem: InitialDeclaration; +/* 857 */ PackageItem: FinalDeclaration; +/* 858 */ EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; +/* 859 */ EmbedContent: EmbedContentToken : VerylToken; +/* 860 */ EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm %pop(); +/* 861 */ EmbedContentTokenList /* Vec::Push */: EmbedItem EmbedContentTokenList; +/* 862 */ EmbedContentTokenList /* Vec::New */: ; +/* 863 */ EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; +/* 864 */ EmbedItemList /* Vec::Push */: EmbedItem EmbedItemList; +/* 865 */ EmbedItemList /* Vec::New */: ; +/* 866 */ EmbedItem: AnyTerm; +/* 867 */ IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; +/* 868 */ DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; +/* 869 */ DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; +/* 870 */ DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList; +/* 871 */ DescriptionGroupGroupList /* Vec::New */: ; +/* 872 */ DescriptionGroupGroup: DescriptionItem; +/* 873 */ DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList; +/* 874 */ DescriptionGroupList /* Vec::New */: ; +/* 875 */ DescriptionItem: ModuleDeclaration; +/* 876 */ DescriptionItem: InterfaceDeclaration; +/* 877 */ DescriptionItem: PackageDeclaration; +/* 878 */ DescriptionItem: ImportDeclaration; +/* 879 */ DescriptionItem: EmbedDeclaration; +/* 880 */ DescriptionItem: IncludeDeclaration; +/* 881 */ Veryl: Start VerylList /* Vec */; +/* 882 */ VerylList /* Vec::Push */: DescriptionGroup VerylList; +/* 883 */ VerylList /* Vec::New */: ; diff --git a/crates/parser/src/generated/veryl_grammar_trait.rs b/crates/parser/src/generated/veryl_grammar_trait.rs index 8215dabc..80d6242d 100644 --- a/crates/parser/src/generated/veryl_grammar_trait.rs +++ b/crates/parser/src/generated/veryl_grammar_trait.rs @@ -345,6 +345,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'IncludeTerm' + fn include_term(&mut self, _arg: &IncludeTerm) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'InitialTerm' fn initial_term(&mut self, _arg: &InitialTerm) -> Result<()> { Ok(()) @@ -875,6 +880,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'IncludeToken' + fn include_token(&mut self, _arg: &IncludeToken) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'InitialToken' fn initial_token(&mut self, _arg: &InitialToken) -> Result<()> { Ok(()) @@ -1405,6 +1415,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'Include' + fn include(&mut self, _arg: &Include) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'Initial' fn initial(&mut self, _arg: &Initial) -> Result<()> { Ok(()) @@ -2225,6 +2240,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'IncludeDeclaration' + fn include_declaration(&mut self, _arg: &IncludeDeclaration) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'DescriptionGroup' fn description_group(&mut self, _arg: &DescriptionGroup) -> Result<()> { Ok(()) @@ -2251,7 +2271,7 @@ pub trait VerylGrammarTrait { // /// -/// Type derived for production 316 +/// Type derived for production 319 /// /// `Number: IntegralNumber;` /// @@ -2263,7 +2283,7 @@ pub struct NumberIntegralNumber { } /// -/// Type derived for production 317 +/// Type derived for production 320 /// /// `Number: RealNumber;` /// @@ -2275,7 +2295,7 @@ pub struct NumberRealNumber { } /// -/// Type derived for production 318 +/// Type derived for production 321 /// /// `IntegralNumber: Based;` /// @@ -2287,7 +2307,7 @@ pub struct IntegralNumberBased { } /// -/// Type derived for production 319 +/// Type derived for production 322 /// /// `IntegralNumber: BaseLess;` /// @@ -2299,7 +2319,7 @@ pub struct IntegralNumberBaseLess { } /// -/// Type derived for production 320 +/// Type derived for production 323 /// /// `IntegralNumber: AllBit;` /// @@ -2311,7 +2331,7 @@ pub struct IntegralNumberAllBit { } /// -/// Type derived for production 321 +/// Type derived for production 324 /// /// `RealNumber: FixedPoint;` /// @@ -2323,7 +2343,7 @@ pub struct RealNumberFixedPoint { } /// -/// Type derived for production 322 +/// Type derived for production 325 /// /// `RealNumber: Exponent;` /// @@ -2335,7 +2355,7 @@ pub struct RealNumberExponent { } /// -/// Type derived for production 331 +/// Type derived for production 334 /// /// `ScopedIdentifierGroup: DollarIdentifier;` /// @@ -2347,7 +2367,7 @@ pub struct ScopedIdentifierGroupDollarIdentifier { } /// -/// Type derived for production 332 +/// Type derived for production 335 /// /// `ScopedIdentifierGroup: Identifier;` /// @@ -2359,7 +2379,7 @@ pub struct ScopedIdentifierGroupIdentifier { } /// -/// Type derived for production 336 +/// Type derived for production 339 /// /// `ExpressionIdentifierGroup0: ExpressionIdentifierScoped;` /// @@ -2371,7 +2391,7 @@ pub struct ExpressionIdentifierGroup0ExpressionIdentifierScoped { } /// -/// Type derived for production 337 +/// Type derived for production 340 /// /// `ExpressionIdentifierGroup0: ExpressionIdentifierMember;` /// @@ -2383,7 +2403,7 @@ pub struct ExpressionIdentifierGroup0ExpressionIdentifierMember { } /// -/// Type derived for production 338 +/// Type derived for production 341 /// /// `ExpressionIdentifierGroup: DollarIdentifier;` /// @@ -2395,7 +2415,7 @@ pub struct ExpressionIdentifierGroupDollarIdentifier { } /// -/// Type derived for production 339 +/// Type derived for production 342 /// /// `ExpressionIdentifierGroup: Identifier;` /// @@ -2407,7 +2427,7 @@ pub struct ExpressionIdentifierGroupIdentifier { } /// -/// Type derived for production 381 +/// Type derived for production 384 /// /// `Expression09ListGroup: Operator10;` /// @@ -2419,7 +2439,7 @@ pub struct Expression09ListGroupOperator10 { } /// -/// Type derived for production 382 +/// Type derived for production 385 /// /// `Expression09ListGroup: Star;` /// @@ -2431,7 +2451,7 @@ pub struct Expression09ListGroupStar { } /// -/// Type derived for production 392 +/// Type derived for production 395 /// /// `Expression12ListGroup: UnaryOperator;` /// @@ -2443,7 +2463,7 @@ pub struct Expression12ListGroupUnaryOperator { } /// -/// Type derived for production 393 +/// Type derived for production 396 /// /// `Expression12ListGroup: Operator09;` /// @@ -2455,7 +2475,7 @@ pub struct Expression12ListGroupOperator09 { } /// -/// Type derived for production 394 +/// Type derived for production 397 /// /// `Expression12ListGroup: Operator05;` /// @@ -2467,7 +2487,7 @@ pub struct Expression12ListGroupOperator05 { } /// -/// Type derived for production 395 +/// Type derived for production 398 /// /// `Expression12ListGroup: Operator03;` /// @@ -2479,7 +2499,7 @@ pub struct Expression12ListGroupOperator03 { } /// -/// Type derived for production 396 +/// Type derived for production 399 /// /// `Expression12ListGroup: Operator04;` /// @@ -2491,7 +2511,7 @@ pub struct Expression12ListGroupOperator04 { } /// -/// Type derived for production 398 +/// Type derived for production 401 /// /// `Factor: Number;` /// @@ -2503,7 +2523,7 @@ pub struct FactorNumber { } /// -/// Type derived for production 399 +/// Type derived for production 402 /// /// `Factor: ExpressionIdentifier FactorOpt /* Option */;` /// @@ -2516,7 +2536,7 @@ pub struct FactorExpressionIdentifierFactorOpt { } /// -/// Type derived for production 400 +/// Type derived for production 403 /// /// `Factor: LParen Expression RParen;` /// @@ -2530,7 +2550,7 @@ pub struct FactorLParenExpressionRParen { } /// -/// Type derived for production 401 +/// Type derived for production 404 /// /// `Factor: LBrace ConcatenationList RBrace;` /// @@ -2544,7 +2564,7 @@ pub struct FactorLBraceConcatenationListRBrace { } /// -/// Type derived for production 402 +/// Type derived for production 405 /// /// `Factor: QuoteLBrace ArrayLiteralList RBrace;` /// @@ -2558,7 +2578,7 @@ pub struct FactorQuoteLBraceArrayLiteralListRBrace { } /// -/// Type derived for production 403 +/// Type derived for production 406 /// /// `Factor: IfExpression;` /// @@ -2570,7 +2590,7 @@ pub struct FactorIfExpression { } /// -/// Type derived for production 404 +/// Type derived for production 407 /// /// `Factor: CaseExpression;` /// @@ -2582,7 +2602,7 @@ pub struct FactorCaseExpression { } /// -/// Type derived for production 405 +/// Type derived for production 408 /// /// `Factor: StringLiteral;` /// @@ -2594,7 +2614,7 @@ pub struct FactorStringLiteral { } /// -/// Type derived for production 406 +/// Type derived for production 409 /// /// `Factor: FactorGroup;` /// @@ -2606,7 +2626,7 @@ pub struct FactorFactorGroup { } /// -/// Type derived for production 407 +/// Type derived for production 410 /// /// `FactorGroup: Msb;` /// @@ -2618,7 +2638,7 @@ pub struct FactorGroupMsb { } /// -/// Type derived for production 408 +/// Type derived for production 411 /// /// `FactorGroup: Lsb;` /// @@ -2630,7 +2650,7 @@ pub struct FactorGroupLsb { } /// -/// Type derived for production 409 +/// Type derived for production 412 /// /// `Factor: InsideExpression;` /// @@ -2642,7 +2662,7 @@ pub struct FactorInsideExpression { } /// -/// Type derived for production 410 +/// Type derived for production 413 /// /// `Factor: OutsideExpression;` /// @@ -2654,7 +2674,7 @@ pub struct FactorOutsideExpression { } /// -/// Type derived for production 436 +/// Type derived for production 439 /// /// `ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */;` /// @@ -2667,7 +2687,7 @@ pub struct ArrayLiteralItemGroupExpressionArrayLiteralItemOpt { } /// -/// Type derived for production 437 +/// Type derived for production 440 /// /// `ArrayLiteralItemGroup: Defaul Colon Expression;` /// @@ -2681,7 +2701,7 @@ pub struct ArrayLiteralItemGroupDefaulColonExpression { } /// -/// Type derived for production 452 +/// Type derived for production 455 /// /// `TypeExpression: ScalarType;` /// @@ -2693,7 +2713,7 @@ pub struct TypeExpressionScalarType { } /// -/// Type derived for production 453 +/// Type derived for production 456 /// /// `TypeExpression: Type LParen Expression RParen;` /// @@ -2708,7 +2728,7 @@ pub struct TypeExpressionTypeLParenExpressionRParen { } /// -/// Type derived for production 465 +/// Type derived for production 468 /// /// `SelectOperator: Colon;` /// @@ -2720,7 +2740,7 @@ pub struct SelectOperatorColon { } /// -/// Type derived for production 466 +/// Type derived for production 469 /// /// `SelectOperator: PlusColon;` /// @@ -2732,7 +2752,7 @@ pub struct SelectOperatorPlusColon { } /// -/// Type derived for production 467 +/// Type derived for production 470 /// /// `SelectOperator: MinusColon;` /// @@ -2744,7 +2764,7 @@ pub struct SelectOperatorMinusColon { } /// -/// Type derived for production 468 +/// Type derived for production 471 /// /// `SelectOperator: Step;` /// @@ -2756,7 +2776,7 @@ pub struct SelectOperatorStep { } /// -/// Type derived for production 478 +/// Type derived for production 481 /// /// `RangeOperator: DotDot;` /// @@ -2768,7 +2788,7 @@ pub struct RangeOperatorDotDot { } /// -/// Type derived for production 479 +/// Type derived for production 482 /// /// `RangeOperator: DotDotEqu;` /// @@ -2780,7 +2800,7 @@ pub struct RangeOperatorDotDotEqu { } /// -/// Type derived for production 480 +/// Type derived for production 483 /// /// `FixedType: U32;` /// @@ -2792,7 +2812,7 @@ pub struct FixedTypeU32 { } /// -/// Type derived for production 481 +/// Type derived for production 484 /// /// `FixedType: U64;` /// @@ -2804,7 +2824,7 @@ pub struct FixedTypeU64 { } /// -/// Type derived for production 482 +/// Type derived for production 485 /// /// `FixedType: I32;` /// @@ -2816,7 +2836,7 @@ pub struct FixedTypeI32 { } /// -/// Type derived for production 483 +/// Type derived for production 486 /// /// `FixedType: I64;` /// @@ -2828,7 +2848,7 @@ pub struct FixedTypeI64 { } /// -/// Type derived for production 484 +/// Type derived for production 487 /// /// `FixedType: F32;` /// @@ -2840,7 +2860,7 @@ pub struct FixedTypeF32 { } /// -/// Type derived for production 485 +/// Type derived for production 488 /// /// `FixedType: F64;` /// @@ -2852,7 +2872,7 @@ pub struct FixedTypeF64 { } /// -/// Type derived for production 486 +/// Type derived for production 489 /// /// `FixedType: Strin;` /// @@ -2864,7 +2884,7 @@ pub struct FixedTypeStrin { } /// -/// Type derived for production 488 +/// Type derived for production 491 /// /// `VariableTypeGroup: Logic;` /// @@ -2876,7 +2896,7 @@ pub struct VariableTypeGroupLogic { } /// -/// Type derived for production 489 +/// Type derived for production 492 /// /// `VariableTypeGroup: Bit;` /// @@ -2888,7 +2908,7 @@ pub struct VariableTypeGroupBit { } /// -/// Type derived for production 490 +/// Type derived for production 493 /// /// `VariableTypeGroup: ScopedIdentifier;` /// @@ -2900,7 +2920,7 @@ pub struct VariableTypeGroupScopedIdentifier { } /// -/// Type derived for production 493 +/// Type derived for production 496 /// /// `TypeModifier: Tri;` /// @@ -2912,7 +2932,7 @@ pub struct TypeModifierTri { } /// -/// Type derived for production 494 +/// Type derived for production 497 /// /// `TypeModifier: Signed;` /// @@ -2924,7 +2944,7 @@ pub struct TypeModifierSigned { } /// -/// Type derived for production 496 +/// Type derived for production 499 /// /// `ScalarTypeGroup: VariableType;` /// @@ -2936,7 +2956,7 @@ pub struct ScalarTypeGroupVariableType { } /// -/// Type derived for production 497 +/// Type derived for production 500 /// /// `ScalarTypeGroup: FixedType;` /// @@ -2948,7 +2968,7 @@ pub struct ScalarTypeGroupFixedType { } /// -/// Type derived for production 503 +/// Type derived for production 506 /// /// `Statement: LetStatement;` /// @@ -2960,7 +2980,7 @@ pub struct StatementLetStatement { } /// -/// Type derived for production 504 +/// Type derived for production 507 /// /// `Statement: IdentifierStatement;` /// @@ -2972,7 +2992,7 @@ pub struct StatementIdentifierStatement { } /// -/// Type derived for production 505 +/// Type derived for production 508 /// /// `Statement: IfStatement;` /// @@ -2984,7 +3004,7 @@ pub struct StatementIfStatement { } /// -/// Type derived for production 506 +/// Type derived for production 509 /// /// `Statement: IfResetStatement;` /// @@ -2996,7 +3016,7 @@ pub struct StatementIfResetStatement { } /// -/// Type derived for production 507 +/// Type derived for production 510 /// /// `Statement: ReturnStatement;` /// @@ -3008,7 +3028,7 @@ pub struct StatementReturnStatement { } /// -/// Type derived for production 508 +/// Type derived for production 511 /// /// `Statement: BreakStatement;` /// @@ -3020,7 +3040,7 @@ pub struct StatementBreakStatement { } /// -/// Type derived for production 509 +/// Type derived for production 512 /// /// `Statement: ForStatement;` /// @@ -3032,7 +3052,7 @@ pub struct StatementForStatement { } /// -/// Type derived for production 510 +/// Type derived for production 513 /// /// `Statement: CaseStatement;` /// @@ -3044,7 +3064,7 @@ pub struct StatementCaseStatement { } /// -/// Type derived for production 513 +/// Type derived for production 516 /// /// `IdentifierStatementGroup: FunctionCall;` /// @@ -3056,7 +3076,7 @@ pub struct IdentifierStatementGroupFunctionCall { } /// -/// Type derived for production 514 +/// Type derived for production 517 /// /// `IdentifierStatementGroup: Assignment;` /// @@ -3068,7 +3088,7 @@ pub struct IdentifierStatementGroupAssignment { } /// -/// Type derived for production 516 +/// Type derived for production 519 /// /// `AssignmentGroup: Equ;` /// @@ -3080,7 +3100,7 @@ pub struct AssignmentGroupEqu { } /// -/// Type derived for production 517 +/// Type derived for production 520 /// /// `AssignmentGroup: AssignmentOperator;` /// @@ -3092,7 +3112,7 @@ pub struct AssignmentGroupAssignmentOperator { } /// -/// Type derived for production 551 +/// Type derived for production 554 /// /// `CaseItemGroup0: Statement;` /// @@ -3104,7 +3124,7 @@ pub struct CaseItemGroup0Statement { } /// -/// Type derived for production 552 +/// Type derived for production 555 /// /// `CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace;` /// @@ -3118,7 +3138,7 @@ pub struct CaseItemGroup0LBraceCaseItemGroup0ListRBrace { } /// -/// Type derived for production 555 +/// Type derived for production 558 /// /// `CaseItemGroup: Expression CaseItemGroupList /* Vec */;` /// @@ -3131,7 +3151,7 @@ pub struct CaseItemGroupExpressionCaseItemGroupList { } /// -/// Type derived for production 558 +/// Type derived for production 561 /// /// `CaseItemGroup: Defaul;` /// @@ -3143,7 +3163,7 @@ pub struct CaseItemGroupDefaul { } /// -/// Type derived for production 567 +/// Type derived for production 570 /// /// `AttributeItem: Identifier;` /// @@ -3155,7 +3175,7 @@ pub struct AttributeItemIdentifier { } /// -/// Type derived for production 568 +/// Type derived for production 571 /// /// `AttributeItem: StringLiteral;` /// @@ -3167,7 +3187,7 @@ pub struct AttributeItemStringLiteral { } /// -/// Type derived for production 572 +/// Type derived for production 575 /// /// `LocalDeclarationGroup: ArrayType Equ Expression;` /// @@ -3181,7 +3201,7 @@ pub struct LocalDeclarationGroupArrayTypeEquExpression { } /// -/// Type derived for production 573 +/// Type derived for production 576 /// /// `LocalDeclarationGroup: Type Equ TypeExpression;` /// @@ -3195,7 +3215,7 @@ pub struct LocalDeclarationGroupTypeEquTypeExpression { } /// -/// Type derived for production 582 +/// Type derived for production 585 /// /// `AlwaysFfClockOptGroup: Posedge;` /// @@ -3207,7 +3227,7 @@ pub struct AlwaysFfClockOptGroupPosedge { } /// -/// Type derived for production 583 +/// Type derived for production 586 /// /// `AlwaysFfClockOptGroup: Negedge;` /// @@ -3219,7 +3239,7 @@ pub struct AlwaysFfClockOptGroupNegedge { } /// -/// Type derived for production 587 +/// Type derived for production 590 /// /// `AlwaysFfResetOptGroup: AsyncLow;` /// @@ -3231,7 +3251,7 @@ pub struct AlwaysFfResetOptGroupAsyncLow { } /// -/// Type derived for production 588 +/// Type derived for production 591 /// /// `AlwaysFfResetOptGroup: AsyncHigh;` /// @@ -3243,7 +3263,7 @@ pub struct AlwaysFfResetOptGroupAsyncHigh { } /// -/// Type derived for production 589 +/// Type derived for production 592 /// /// `AlwaysFfResetOptGroup: SyncLow;` /// @@ -3255,7 +3275,7 @@ pub struct AlwaysFfResetOptGroupSyncLow { } /// -/// Type derived for production 590 +/// Type derived for production 593 /// /// `AlwaysFfResetOptGroup: SyncHigh;` /// @@ -3267,7 +3287,7 @@ pub struct AlwaysFfResetOptGroupSyncHigh { } /// -/// Type derived for production 603 +/// Type derived for production 606 /// /// `ModportGroupGroup: LBrace ModportList RBrace;` /// @@ -3281,7 +3301,7 @@ pub struct ModportGroupGroupLBraceModportListRBrace { } /// -/// Type derived for production 604 +/// Type derived for production 607 /// /// `ModportGroupGroup: ModportItem;` /// @@ -3293,7 +3313,7 @@ pub struct ModportGroupGroupModportItem { } /// -/// Type derived for production 615 +/// Type derived for production 618 /// /// `EnumGroupGroup: LBrace EnumList RBrace;` /// @@ -3307,7 +3327,7 @@ pub struct EnumGroupGroupLBraceEnumListRBrace { } /// -/// Type derived for production 616 +/// Type derived for production 619 /// /// `EnumGroupGroup: EnumItem;` /// @@ -3319,7 +3339,7 @@ pub struct EnumGroupGroupEnumItem { } /// -/// Type derived for production 622 +/// Type derived for production 625 /// /// `StructUnion: Struct;` /// @@ -3331,7 +3351,7 @@ pub struct StructUnionStruct { } /// -/// Type derived for production 623 +/// Type derived for production 626 /// /// `StructUnion: Union;` /// @@ -3343,7 +3363,7 @@ pub struct StructUnionUnion { } /// -/// Type derived for production 631 +/// Type derived for production 634 /// /// `StructUnionGroupGroup: LBrace StructUnionList RBrace;` /// @@ -3357,7 +3377,7 @@ pub struct StructUnionGroupGroupLBraceStructUnionListRBrace { } /// -/// Type derived for production 632 +/// Type derived for production 635 /// /// `StructUnionGroupGroup: StructUnionItem;` /// @@ -3369,7 +3389,7 @@ pub struct StructUnionGroupGroupStructUnionItem { } /// -/// Type derived for production 660 +/// Type derived for production 663 /// /// `InstParameterGroupGroup: LBrace InstParameterList RBrace;` /// @@ -3383,7 +3403,7 @@ pub struct InstParameterGroupGroupLBraceInstParameterListRBrace { } /// -/// Type derived for production 661 +/// Type derived for production 664 /// /// `InstParameterGroupGroup: InstParameterItem;` /// @@ -3395,7 +3415,7 @@ pub struct InstParameterGroupGroupInstParameterItem { } /// -/// Type derived for production 673 +/// Type derived for production 676 /// /// `InstPortGroupGroup: LBrace InstPortList RBrace;` /// @@ -3409,7 +3429,7 @@ pub struct InstPortGroupGroupLBraceInstPortListRBrace { } /// -/// Type derived for production 674 +/// Type derived for production 677 /// /// `InstPortGroupGroup: InstPortItem;` /// @@ -3421,7 +3441,7 @@ pub struct InstPortGroupGroupInstPortItem { } /// -/// Type derived for production 689 +/// Type derived for production 692 /// /// `WithParameterGroupGroup: LBrace WithParameterList RBrace;` /// @@ -3435,7 +3455,7 @@ pub struct WithParameterGroupGroupLBraceWithParameterListRBrace { } /// -/// Type derived for production 690 +/// Type derived for production 693 /// /// `WithParameterGroupGroup: WithParameterItem;` /// @@ -3447,7 +3467,7 @@ pub struct WithParameterGroupGroupWithParameterItem { } /// -/// Type derived for production 694 +/// Type derived for production 697 /// /// `WithParameterItemGroup0: ArrayType Equ Expression;` /// @@ -3461,7 +3481,7 @@ pub struct WithParameterItemGroup0ArrayTypeEquExpression { } /// -/// Type derived for production 695 +/// Type derived for production 698 /// /// `WithParameterItemGroup0: Type Equ TypeExpression;` /// @@ -3475,7 +3495,7 @@ pub struct WithParameterItemGroup0TypeEquTypeExpression { } /// -/// Type derived for production 696 +/// Type derived for production 699 /// /// `WithParameterItemGroup: Param;` /// @@ -3487,7 +3507,7 @@ pub struct WithParameterItemGroupParam { } /// -/// Type derived for production 697 +/// Type derived for production 700 /// /// `WithParameterItemGroup: Local;` /// @@ -3499,7 +3519,7 @@ pub struct WithParameterItemGroupLocal { } /// -/// Type derived for production 707 +/// Type derived for production 710 /// /// `PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace;` /// @@ -3513,7 +3533,7 @@ pub struct PortDeclarationGroupGroupLBracePortDeclarationListRBrace { } /// -/// Type derived for production 708 +/// Type derived for production 711 /// /// `PortDeclarationGroupGroup: PortDeclarationItem;` /// @@ -3525,7 +3545,7 @@ pub struct PortDeclarationGroupGroupPortDeclarationItem { } /// -/// Type derived for production 712 +/// Type derived for production 715 /// /// `PortDeclarationItemGroup: Direction ArrayType;` /// @@ -3538,7 +3558,7 @@ pub struct PortDeclarationItemGroupDirectionArrayType { } /// -/// Type derived for production 713 +/// Type derived for production 716 /// /// `PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */;` /// @@ -3551,7 +3571,7 @@ pub struct PortDeclarationItemGroupInterfacePortDeclarationItemOpt { } /// -/// Type derived for production 716 +/// Type derived for production 719 /// /// `Direction: Input;` /// @@ -3563,7 +3583,7 @@ pub struct DirectionInput { } /// -/// Type derived for production 717 +/// Type derived for production 720 /// /// `Direction: Output;` /// @@ -3575,7 +3595,7 @@ pub struct DirectionOutput { } /// -/// Type derived for production 718 +/// Type derived for production 721 /// /// `Direction: Inout;` /// @@ -3587,7 +3607,7 @@ pub struct DirectionInout { } /// -/// Type derived for production 719 +/// Type derived for production 722 /// /// `Direction: Ref;` /// @@ -3599,7 +3619,7 @@ pub struct DirectionRef { } /// -/// Type derived for production 720 +/// Type derived for production 723 /// /// `Direction: Modport;` /// @@ -3611,7 +3631,7 @@ pub struct DirectionModport { } /// -/// Type derived for production 730 +/// Type derived for production 733 /// /// `FunctionItem: VarDeclaration;` /// @@ -3623,7 +3643,7 @@ pub struct FunctionItemVarDeclaration { } /// -/// Type derived for production 731 +/// Type derived for production 734 /// /// `FunctionItem: Statement;` /// @@ -3635,7 +3655,7 @@ pub struct FunctionItemStatement { } /// -/// Type derived for production 736 +/// Type derived for production 739 /// /// `ExportDeclarationGroup: Star;` /// @@ -3647,7 +3667,7 @@ pub struct ExportDeclarationGroupStar { } /// -/// Type derived for production 737 +/// Type derived for production 740 /// /// `ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */;` /// @@ -3660,7 +3680,7 @@ pub struct ExportDeclarationGroupScopedIdentifierExportDeclarationOpt { } /// -/// Type derived for production 766 +/// Type derived for production 769 /// /// `ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace;` /// @@ -3674,7 +3694,7 @@ pub struct ModuleGroupGroupLBraceModuleGroupGroupListRBrace { } /// -/// Type derived for production 769 +/// Type derived for production 772 /// /// `ModuleGroupGroup: ModuleItem;` /// @@ -3686,7 +3706,7 @@ pub struct ModuleGroupGroupModuleItem { } /// -/// Type derived for production 772 +/// Type derived for production 775 /// /// `ModuleItem: LetDeclaration;` /// @@ -3698,7 +3718,7 @@ pub struct ModuleItemLetDeclaration { } /// -/// Type derived for production 773 +/// Type derived for production 776 /// /// `ModuleItem: VarDeclaration;` /// @@ -3710,7 +3730,7 @@ pub struct ModuleItemVarDeclaration { } /// -/// Type derived for production 774 +/// Type derived for production 777 /// /// `ModuleItem: InstDeclaration;` /// @@ -3722,7 +3742,7 @@ pub struct ModuleItemInstDeclaration { } /// -/// Type derived for production 775 +/// Type derived for production 778 /// /// `ModuleItem: TypeDefDeclaration;` /// @@ -3734,7 +3754,7 @@ pub struct ModuleItemTypeDefDeclaration { } /// -/// Type derived for production 776 +/// Type derived for production 779 /// /// `ModuleItem: LocalDeclaration;` /// @@ -3746,7 +3766,7 @@ pub struct ModuleItemLocalDeclaration { } /// -/// Type derived for production 777 +/// Type derived for production 780 /// /// `ModuleItem: AlwaysFfDeclaration;` /// @@ -3758,7 +3778,7 @@ pub struct ModuleItemAlwaysFfDeclaration { } /// -/// Type derived for production 778 +/// Type derived for production 781 /// /// `ModuleItem: AlwaysCombDeclaration;` /// @@ -3770,7 +3790,7 @@ pub struct ModuleItemAlwaysCombDeclaration { } /// -/// Type derived for production 779 +/// Type derived for production 782 /// /// `ModuleItem: AssignDeclaration;` /// @@ -3782,7 +3802,7 @@ pub struct ModuleItemAssignDeclaration { } /// -/// Type derived for production 780 +/// Type derived for production 783 /// /// `ModuleItem: FunctionDeclaration;` /// @@ -3794,7 +3814,7 @@ pub struct ModuleItemFunctionDeclaration { } /// -/// Type derived for production 781 +/// Type derived for production 784 /// /// `ModuleItem: ModuleIfDeclaration;` /// @@ -3806,7 +3826,7 @@ pub struct ModuleItemModuleIfDeclaration { } /// -/// Type derived for production 782 +/// Type derived for production 785 /// /// `ModuleItem: ModuleForDeclaration;` /// @@ -3818,7 +3838,7 @@ pub struct ModuleItemModuleForDeclaration { } /// -/// Type derived for production 783 +/// Type derived for production 786 /// /// `ModuleItem: EnumDeclaration;` /// @@ -3830,7 +3850,7 @@ pub struct ModuleItemEnumDeclaration { } /// -/// Type derived for production 784 +/// Type derived for production 787 /// /// `ModuleItem: StructUnionDeclaration;` /// @@ -3842,7 +3862,7 @@ pub struct ModuleItemStructUnionDeclaration { } /// -/// Type derived for production 785 +/// Type derived for production 788 /// /// `ModuleItem: ModuleNamedBlock;` /// @@ -3854,7 +3874,7 @@ pub struct ModuleItemModuleNamedBlock { } /// -/// Type derived for production 786 +/// Type derived for production 789 /// /// `ModuleItem: ImportDeclaration;` /// @@ -3866,7 +3886,7 @@ pub struct ModuleItemImportDeclaration { } /// -/// Type derived for production 787 +/// Type derived for production 790 /// /// `ModuleItem: InitialDeclaration;` /// @@ -3878,7 +3898,7 @@ pub struct ModuleItemInitialDeclaration { } /// -/// Type derived for production 788 +/// Type derived for production 791 /// /// `ModuleItem: FinalDeclaration;` /// @@ -3890,7 +3910,7 @@ pub struct ModuleItemFinalDeclaration { } /// -/// Type derived for production 813 +/// Type derived for production 816 /// /// `InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace;` /// @@ -3904,7 +3924,7 @@ pub struct InterfaceGroupGroupLBraceInterfaceGroupGroupListRBrace { } /// -/// Type derived for production 816 +/// Type derived for production 819 /// /// `InterfaceGroupGroup: InterfaceItem;` /// @@ -3916,7 +3936,7 @@ pub struct InterfaceGroupGroupInterfaceItem { } /// -/// Type derived for production 819 +/// Type derived for production 822 /// /// `InterfaceItem: LetDeclaration;` /// @@ -3928,7 +3948,7 @@ pub struct InterfaceItemLetDeclaration { } /// -/// Type derived for production 820 +/// Type derived for production 823 /// /// `InterfaceItem: VarDeclaration;` /// @@ -3940,7 +3960,7 @@ pub struct InterfaceItemVarDeclaration { } /// -/// Type derived for production 821 +/// Type derived for production 824 /// /// `InterfaceItem: LocalDeclaration;` /// @@ -3952,7 +3972,7 @@ pub struct InterfaceItemLocalDeclaration { } /// -/// Type derived for production 822 +/// Type derived for production 825 /// /// `InterfaceItem: ModportDeclaration;` /// @@ -3964,7 +3984,7 @@ pub struct InterfaceItemModportDeclaration { } /// -/// Type derived for production 823 +/// Type derived for production 826 /// /// `InterfaceItem: InterfaceIfDeclaration;` /// @@ -3976,7 +3996,7 @@ pub struct InterfaceItemInterfaceIfDeclaration { } /// -/// Type derived for production 824 +/// Type derived for production 827 /// /// `InterfaceItem: InterfaceForDeclaration;` /// @@ -3988,7 +4008,7 @@ pub struct InterfaceItemInterfaceForDeclaration { } /// -/// Type derived for production 825 +/// Type derived for production 828 /// /// `InterfaceItem: TypeDefDeclaration;` /// @@ -4000,7 +4020,7 @@ pub struct InterfaceItemTypeDefDeclaration { } /// -/// Type derived for production 826 +/// Type derived for production 829 /// /// `InterfaceItem: EnumDeclaration;` /// @@ -4012,7 +4032,7 @@ pub struct InterfaceItemEnumDeclaration { } /// -/// Type derived for production 827 +/// Type derived for production 830 /// /// `InterfaceItem: StructUnionDeclaration;` /// @@ -4024,7 +4044,7 @@ pub struct InterfaceItemStructUnionDeclaration { } /// -/// Type derived for production 828 +/// Type derived for production 831 /// /// `InterfaceItem: InterfaceNamedBlock;` /// @@ -4036,7 +4056,7 @@ pub struct InterfaceItemInterfaceNamedBlock { } /// -/// Type derived for production 829 +/// Type derived for production 832 /// /// `InterfaceItem: FunctionDeclaration;` /// @@ -4048,7 +4068,7 @@ pub struct InterfaceItemFunctionDeclaration { } /// -/// Type derived for production 830 +/// Type derived for production 833 /// /// `InterfaceItem: ImportDeclaration;` /// @@ -4060,7 +4080,7 @@ pub struct InterfaceItemImportDeclaration { } /// -/// Type derived for production 831 +/// Type derived for production 834 /// /// `InterfaceItem: InitialDeclaration;` /// @@ -4072,7 +4092,7 @@ pub struct InterfaceItemInitialDeclaration { } /// -/// Type derived for production 832 +/// Type derived for production 835 /// /// `InterfaceItem: FinalDeclaration;` /// @@ -4084,7 +4104,7 @@ pub struct InterfaceItemFinalDeclaration { } /// -/// Type derived for production 839 +/// Type derived for production 842 /// /// `PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace;` /// @@ -4098,7 +4118,7 @@ pub struct PackageGroupGroupLBracePackageGroupGroupListRBrace { } /// -/// Type derived for production 842 +/// Type derived for production 845 /// /// `PackageGroupGroup: PackageItem;` /// @@ -4110,7 +4130,7 @@ pub struct PackageGroupGroupPackageItem { } /// -/// Type derived for production 845 +/// Type derived for production 848 /// /// `PackageItem: VarDeclaration;` /// @@ -4122,7 +4142,7 @@ pub struct PackageItemVarDeclaration { } /// -/// Type derived for production 846 +/// Type derived for production 849 /// /// `PackageItem: LocalDeclaration;` /// @@ -4134,7 +4154,7 @@ pub struct PackageItemLocalDeclaration { } /// -/// Type derived for production 847 +/// Type derived for production 850 /// /// `PackageItem: TypeDefDeclaration;` /// @@ -4146,7 +4166,7 @@ pub struct PackageItemTypeDefDeclaration { } /// -/// Type derived for production 848 +/// Type derived for production 851 /// /// `PackageItem: EnumDeclaration;` /// @@ -4158,7 +4178,7 @@ pub struct PackageItemEnumDeclaration { } /// -/// Type derived for production 849 +/// Type derived for production 852 /// /// `PackageItem: StructUnionDeclaration;` /// @@ -4170,7 +4190,7 @@ pub struct PackageItemStructUnionDeclaration { } /// -/// Type derived for production 850 +/// Type derived for production 853 /// /// `PackageItem: FunctionDeclaration;` /// @@ -4182,7 +4202,7 @@ pub struct PackageItemFunctionDeclaration { } /// -/// Type derived for production 851 +/// Type derived for production 854 /// /// `PackageItem: ImportDeclaration;` /// @@ -4194,7 +4214,7 @@ pub struct PackageItemImportDeclaration { } /// -/// Type derived for production 852 +/// Type derived for production 855 /// /// `PackageItem: ExportDeclaration;` /// @@ -4206,7 +4226,7 @@ pub struct PackageItemExportDeclaration { } /// -/// Type derived for production 853 +/// Type derived for production 856 /// /// `PackageItem: InitialDeclaration;` /// @@ -4218,7 +4238,7 @@ pub struct PackageItemInitialDeclaration { } /// -/// Type derived for production 854 +/// Type derived for production 857 /// /// `PackageItem: FinalDeclaration;` /// @@ -4230,7 +4250,7 @@ pub struct PackageItemFinalDeclaration { } /// -/// Type derived for production 860 +/// Type derived for production 863 /// /// `EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm;` /// @@ -4244,7 +4264,7 @@ pub struct EmbedItemLBraceTermEmbedItemListRBraceTerm { } /// -/// Type derived for production 863 +/// Type derived for production 866 /// /// `EmbedItem: AnyTerm;` /// @@ -4256,7 +4276,7 @@ pub struct EmbedItemAnyTerm { } /// -/// Type derived for production 865 +/// Type derived for production 869 /// /// `DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace;` /// @@ -4270,7 +4290,7 @@ pub struct DescriptionGroupGroupLBraceDescriptionGroupGroupListRBrace { } /// -/// Type derived for production 868 +/// Type derived for production 872 /// /// `DescriptionGroupGroup: DescriptionItem;` /// @@ -4282,7 +4302,7 @@ pub struct DescriptionGroupGroupDescriptionItem { } /// -/// Type derived for production 871 +/// Type derived for production 875 /// /// `DescriptionItem: ModuleDeclaration;` /// @@ -4294,7 +4314,7 @@ pub struct DescriptionItemModuleDeclaration { } /// -/// Type derived for production 872 +/// Type derived for production 876 /// /// `DescriptionItem: InterfaceDeclaration;` /// @@ -4306,7 +4326,7 @@ pub struct DescriptionItemInterfaceDeclaration { } /// -/// Type derived for production 873 +/// Type derived for production 877 /// /// `DescriptionItem: PackageDeclaration;` /// @@ -4318,7 +4338,7 @@ pub struct DescriptionItemPackageDeclaration { } /// -/// Type derived for production 874 +/// Type derived for production 878 /// /// `DescriptionItem: ImportDeclaration;` /// @@ -4330,7 +4350,7 @@ pub struct DescriptionItemImportDeclaration { } /// -/// Type derived for production 875 +/// Type derived for production 879 /// /// `DescriptionItem: EmbedDeclaration;` /// @@ -4341,6 +4361,18 @@ pub struct DescriptionItemEmbedDeclaration { pub embed_declaration: Box, } +/// +/// Type derived for production 880 +/// +/// `DescriptionItem: IncludeDeclaration;` +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct DescriptionItemIncludeDeclaration { + pub include_declaration: Box, +} + // ------------------------------------------------------------------------------------------------- // // Types of non-terminals deduced from the structure of the transformed grammar @@ -5560,6 +5592,7 @@ pub enum DescriptionItem { PackageDeclaration(DescriptionItemPackageDeclaration), ImportDeclaration(DescriptionItemImportDeclaration), EmbedDeclaration(DescriptionItemEmbedDeclaration), + IncludeDeclaration(DescriptionItemIncludeDeclaration), } /// @@ -7422,6 +7455,53 @@ pub struct InToken { pub comments: Box, } +/// +/// Type derived for non-terminal Include +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct Include { + pub include_token: crate::veryl_token::VerylToken, +} + +/// +/// Type derived for non-terminal IncludeDeclaration +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct IncludeDeclaration { + pub include: Box, + pub l_paren: Box, + pub identifier: Box, + pub comma: Box, + pub string_literal: Box, + pub r_paren: Box, + pub semicolon: Box, +} + +/// +/// Type derived for non-terminal IncludeTerm +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct IncludeTerm { + pub include_term: crate::veryl_token::Token, /* (?-u:\b)include(?-u:\b) */ +} + +/// +/// Type derived for non-terminal IncludeToken +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct IncludeToken { + pub include_term: crate::veryl_token::Token, + pub comments: Box, +} + /// /// Type derived for non-terminal Initial /// @@ -11371,6 +11451,10 @@ pub enum ASTType { In(In), InTerm(InTerm), InToken(InToken), + Include(Include), + IncludeDeclaration(IncludeDeclaration), + IncludeTerm(IncludeTerm), + IncludeToken(IncludeToken), Initial(Initial), InitialDeclaration(InitialDeclaration), InitialDeclarationList(Vec), @@ -13036,6 +13120,25 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { /// Semantic action for production 65: /// + /// `IncludeTerm: /(?-u:\b)include(?-u:\b)/ : Token;` + /// + #[parol_runtime::function_name::named] + fn include_term(&mut self, include_term: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let include_term = include_term + .token()? + .try_into() + .map_err(parol_runtime::ParolError::UserError)?; + let include_term_built = IncludeTerm { include_term }; + // Calling user action here + self.user_grammar.include_term(&include_term_built)?; + self.push(ASTType::IncludeTerm(include_term_built), context); + Ok(()) + } + + /// Semantic action for production 66: + /// /// `InitialTerm: /(?-u:\b)initial(?-u:\b)/ : Token;` /// #[parol_runtime::function_name::named] @@ -13053,7 +13156,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 66: + /// Semantic action for production 67: /// /// `InoutTerm: /(?-u:\b)inout(?-u:\b)/ : Token;` /// @@ -13072,7 +13175,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 67: + /// Semantic action for production 68: /// /// `InputTerm: /(?-u:\b)input(?-u:\b)/ : Token;` /// @@ -13091,7 +13194,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 68: + /// Semantic action for production 69: /// /// `InsideTerm: /(?-u:\b)inside(?-u:\b)/ : Token;` /// @@ -13110,7 +13213,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 69: + /// Semantic action for production 70: /// /// `InstTerm: /(?-u:\b)inst(?-u:\b)/ : Token;` /// @@ -13129,7 +13232,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 70: + /// Semantic action for production 71: /// /// `InterfaceTerm: /(?-u:\b)interface(?-u:\b)/ : Token;` /// @@ -13148,7 +13251,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 71: + /// Semantic action for production 72: /// /// `InTerm: /(?-u:\b)in(?-u:\b)/ : Token;` /// @@ -13167,7 +13270,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 72: + /// Semantic action for production 73: /// /// `LetTerm: /(?-u:\b)let(?-u:\b)/ : Token;` /// @@ -13186,7 +13289,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 73: + /// Semantic action for production 74: /// /// `LocalTerm: /(?-u:\b)local(?-u:\b)/ : Token;` /// @@ -13205,7 +13308,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 74: + /// Semantic action for production 75: /// /// `LogicTerm: /(?-u:\b)logic(?-u:\b)/ : Token;` /// @@ -13224,7 +13327,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 75: + /// Semantic action for production 76: /// /// `LsbTerm: /(?-u:\b)lsb(?-u:\b)/ : Token;` /// @@ -13243,7 +13346,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 76: + /// Semantic action for production 77: /// /// `ModportTerm: /(?-u:\b)modport(?-u:\b)/ : Token;` /// @@ -13262,7 +13365,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 77: + /// Semantic action for production 78: /// /// `ModuleTerm: /(?-u:\b)module(?-u:\b)/ : Token;` /// @@ -13281,7 +13384,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 78: + /// Semantic action for production 79: /// /// `MsbTerm: /(?-u:\b)msb(?-u:\b)/ : Token;` /// @@ -13300,7 +13403,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 79: + /// Semantic action for production 80: /// /// `NegedgeTerm: /(?-u:\b)negedge(?-u:\b)/ : Token;` /// @@ -13319,7 +13422,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 80: + /// Semantic action for production 81: /// /// `OutputTerm: /(?-u:\b)output(?-u:\b)/ : Token;` /// @@ -13338,7 +13441,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 81: + /// Semantic action for production 82: /// /// `OutsideTerm: /(?-u:\b)outside(?-u:\b)/ : Token;` /// @@ -13357,7 +13460,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 82: + /// Semantic action for production 83: /// /// `PackageTerm: /(?-u:\b)package(?-u:\b)/ : Token;` /// @@ -13376,7 +13479,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 83: + /// Semantic action for production 84: /// /// `ParamTerm: /(?-u:\b)param(?-u:\b)/ : Token;` /// @@ -13395,7 +13498,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 84: + /// Semantic action for production 85: /// /// `PosedgeTerm: /(?-u:\b)posedge(?-u:\b)/ : Token;` /// @@ -13414,7 +13517,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 85: + /// Semantic action for production 86: /// /// `PubTerm: /(?-u:\b)pub(?-u:\b)/ : Token;` /// @@ -13433,7 +13536,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 86: + /// Semantic action for production 87: /// /// `RefTerm: /(?-u:\b)ref(?-u:\b)/ : Token;` /// @@ -13452,7 +13555,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 87: + /// Semantic action for production 88: /// /// `RepeatTerm: /(?-u:\b)repeat(?-u:\b)/ : Token;` /// @@ -13471,7 +13574,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 88: + /// Semantic action for production 89: /// /// `ReturnTerm: /(?-u:\b)return(?-u:\b)/ : Token;` /// @@ -13490,7 +13593,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 89: + /// Semantic action for production 90: /// /// `BreakTerm: /(?-u:\b)break(?-u:\b)/ : Token;` /// @@ -13509,7 +13612,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 90: + /// Semantic action for production 91: /// /// `SignedTerm: /(?-u:\b)signed(?-u:\b)/ : Token;` /// @@ -13528,7 +13631,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 91: + /// Semantic action for production 92: /// /// `StepTerm: /(?-u:\b)step(?-u:\b)/ : Token;` /// @@ -13547,7 +13650,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 92: + /// Semantic action for production 93: /// /// `StringTerm: /(?-u:\b)string(?-u:\b)/ : Token;` /// @@ -13566,7 +13669,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 93: + /// Semantic action for production 94: /// /// `StructTerm: /(?-u:\b)struct(?-u:\b)/ : Token;` /// @@ -13585,7 +13688,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 94: + /// Semantic action for production 95: /// /// `SyncHighTerm: /(?-u:\b)sync_high(?-u:\b)/ : Token;` /// @@ -13604,7 +13707,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 95: + /// Semantic action for production 96: /// /// `SyncLowTerm: /(?-u:\b)sync_low(?-u:\b)/ : Token;` /// @@ -13623,7 +13726,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 96: + /// Semantic action for production 97: /// /// `TriTerm: /(?-u:\b)tri(?-u:\b)/ : Token;` /// @@ -13642,7 +13745,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 97: + /// Semantic action for production 98: /// /// `TypeTerm: /(?-u:\b)type(?-u:\b)/ : Token;` /// @@ -13661,7 +13764,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 98: + /// Semantic action for production 99: /// /// `U32Term: /(?-u:\b)u32(?-u:\b)/ : Token;` /// @@ -13680,7 +13783,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 99: + /// Semantic action for production 100: /// /// `U64Term: /(?-u:\b)u64(?-u:\b)/ : Token;` /// @@ -13699,7 +13802,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 100: + /// Semantic action for production 101: /// /// `UnionTerm: /(?-u:\b)union(?-u:\b)/ : Token;` /// @@ -13718,7 +13821,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 101: + /// Semantic action for production 102: /// /// `VarTerm: /(?-u:\b)var(?-u:\b)/ : Token;` /// @@ -13737,7 +13840,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 102: + /// Semantic action for production 103: /// /// `DollarIdentifierTerm: /\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;` /// @@ -13762,7 +13865,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 103: + /// Semantic action for production 104: /// /// `IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;` /// @@ -13781,7 +13884,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 104: + /// Semantic action for production 105: /// /// `AnyTerm: /[^{}]*/ : Token;` /// @@ -13800,7 +13903,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 105: + /// Semantic action for production 106: /// /// `Comments: CommentsOpt /* Option */;` /// @@ -13816,7 +13919,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 106: + /// Semantic action for production 107: /// /// `CommentsOpt /* Option::Some */: CommentsTerm;` /// @@ -13832,7 +13935,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 107: + /// Semantic action for production 108: /// /// `CommentsOpt /* Option::None */: ;` /// @@ -13844,7 +13947,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 108: + /// Semantic action for production 109: /// /// `StartToken: Comments;` /// @@ -13862,7 +13965,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 109: + /// Semantic action for production 110: /// /// `StringLiteralToken: StringLiteralTerm : Token Comments;` /// @@ -13892,7 +13995,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 110: + /// Semantic action for production 111: /// /// `ExponentToken: ExponentTerm : Token Comments;` /// @@ -13918,7 +14021,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 111: + /// Semantic action for production 112: /// /// `FixedPointToken: FixedPointTerm : Token Comments;` /// @@ -13945,7 +14048,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 112: + /// Semantic action for production 113: /// /// `BasedToken: BasedTerm : Token Comments;` /// @@ -13971,7 +14074,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 113: + /// Semantic action for production 114: /// /// `BaseLessToken: BaseLessTerm : Token Comments;` /// @@ -13997,7 +14100,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 114: + /// Semantic action for production 115: /// /// `AllBitToken: AllBitTerm : Token Comments;` /// @@ -14023,7 +14126,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 115: + /// Semantic action for production 116: /// /// `AssignmentOperatorToken: AssignmentOperatorTerm : Token Comments;` /// @@ -14058,7 +14161,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 116: + /// Semantic action for production 117: /// /// `Operator01Token: Operator01Term : Token Comments;` /// @@ -14085,7 +14188,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 117: + /// Semantic action for production 118: /// /// `Operator02Token: Operator02Term : Token Comments;` /// @@ -14112,7 +14215,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 118: + /// Semantic action for production 119: /// /// `Operator03Token: Operator03Term : Token Comments;` /// @@ -14139,7 +14242,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 119: + /// Semantic action for production 120: /// /// `Operator04Token: Operator04Term : Token Comments;` /// @@ -14166,7 +14269,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 120: + /// Semantic action for production 121: /// /// `Operator05Token: Operator05Term : Token Comments;` /// @@ -14193,7 +14296,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 121: + /// Semantic action for production 122: /// /// `Operator06Token: Operator06Term : Token Comments;` /// @@ -14220,7 +14323,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 122: + /// Semantic action for production 123: /// /// `Operator07Token: Operator07Term : Token Comments;` /// @@ -14247,7 +14350,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 123: + /// Semantic action for production 124: /// /// `Operator08Token: Operator08Term : Token Comments;` /// @@ -14274,7 +14377,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 124: + /// Semantic action for production 125: /// /// `Operator09Token: Operator09Term : Token Comments;` /// @@ -14301,7 +14404,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 125: + /// Semantic action for production 126: /// /// `Operator10Token: Operator10Term : Token Comments;` /// @@ -14328,7 +14431,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 126: + /// Semantic action for production 127: /// /// `Operator11Token: Operator11Term : Token Comments;` /// @@ -14355,7 +14458,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 127: + /// Semantic action for production 128: /// /// `UnaryOperatorToken: UnaryOperatorTerm : Token Comments;` /// @@ -14385,7 +14488,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 128: + /// Semantic action for production 129: /// /// `ColonToken: ColonTerm : Token Comments;` /// @@ -14411,7 +14514,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 129: + /// Semantic action for production 130: /// /// `ColonColonToken: ColonColonTerm : Token Comments;` /// @@ -14438,7 +14541,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 130: + /// Semantic action for production 131: /// /// `CommaToken: CommaTerm : Token Comments;` /// @@ -14464,7 +14567,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 131: + /// Semantic action for production 132: /// /// `DotDotToken: DotDotTerm : Token Comments;` /// @@ -14490,7 +14593,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 132: + /// Semantic action for production 133: /// /// `DotDotEquToken: DotDotEquTerm : Token Comments;` /// @@ -14517,7 +14620,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 133: + /// Semantic action for production 134: /// /// `DotToken: DotTerm : Token Comments;` /// @@ -14543,7 +14646,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 134: + /// Semantic action for production 135: /// /// `EquToken: EquTerm : Token Comments;` /// @@ -14569,7 +14672,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 135: + /// Semantic action for production 136: /// /// `HashToken: HashTerm : Token Comments;` /// @@ -14595,7 +14698,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 136: + /// Semantic action for production 137: /// /// `QuoteLBraceToken: QuoteLBraceTerm : Token Comments;` /// @@ -14625,7 +14728,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 137: + /// Semantic action for production 138: /// /// `LAngleToken: LAngleTerm : Token Comments;` /// @@ -14651,7 +14754,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 138: + /// Semantic action for production 139: /// /// `LBraceToken: LBraceTerm : Token Comments;` /// @@ -14677,7 +14780,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 139: + /// Semantic action for production 140: /// /// `LBracketToken: LBracketTerm : Token Comments;` /// @@ -14703,7 +14806,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 140: + /// Semantic action for production 141: /// /// `LParenToken: LParenTerm : Token Comments;` /// @@ -14729,7 +14832,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 141: + /// Semantic action for production 142: /// /// `MinusColonToken: MinusColonTerm : Token Comments;` /// @@ -14756,7 +14859,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 142: + /// Semantic action for production 143: /// /// `MinusGTToken: MinusGTTerm : Token Comments;` /// @@ -14782,7 +14885,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 143: + /// Semantic action for production 144: /// /// `PlusColonToken: PlusColonTerm : Token Comments;` /// @@ -14809,7 +14912,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 144: + /// Semantic action for production 145: /// /// `RAngleToken: RAngleTerm : Token Comments;` /// @@ -14835,7 +14938,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 145: + /// Semantic action for production 146: /// /// `RBraceToken: RBraceTerm : Token Comments;` /// @@ -14861,7 +14964,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 146: + /// Semantic action for production 147: /// /// `RBracketToken: RBracketTerm : Token Comments;` /// @@ -14887,7 +14990,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 147: + /// Semantic action for production 148: /// /// `RParenToken: RParenTerm : Token Comments;` /// @@ -14913,7 +15016,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 148: + /// Semantic action for production 149: /// /// `SemicolonToken: SemicolonTerm : Token Comments;` /// @@ -14939,7 +15042,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 149: + /// Semantic action for production 150: /// /// `StarToken: StarTerm : Token Comments;` /// @@ -14965,7 +15068,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 150: + /// Semantic action for production 151: /// /// `AlwaysCombToken: AlwaysCombTerm : Token Comments;` /// @@ -14992,7 +15095,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 151: + /// Semantic action for production 152: /// /// `AlwaysFfToken: AlwaysFfTerm : Token Comments;` /// @@ -15018,7 +15121,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 152: + /// Semantic action for production 153: /// /// `AsToken: AsTerm : Token Comments;` /// @@ -15044,7 +15147,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 153: + /// Semantic action for production 154: /// /// `AssignToken: AssignTerm : Token Comments;` /// @@ -15070,7 +15173,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 154: + /// Semantic action for production 155: /// /// `AsyncHighToken: AsyncHighTerm : Token Comments;` /// @@ -15097,7 +15200,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 155: + /// Semantic action for production 156: /// /// `AsyncLowToken: AsyncLowTerm : Token Comments;` /// @@ -15123,7 +15226,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 156: + /// Semantic action for production 157: /// /// `BitToken: BitTerm : Token Comments;` /// @@ -15149,7 +15252,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 157: + /// Semantic action for production 158: /// /// `CaseToken: CaseTerm : Token Comments;` /// @@ -15175,7 +15278,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 158: + /// Semantic action for production 159: /// /// `DefaultToken: DefaultTerm : Token Comments;` /// @@ -15201,7 +15304,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 159: + /// Semantic action for production 160: /// /// `ElseToken: ElseTerm : Token Comments;` /// @@ -15227,7 +15330,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 160: + /// Semantic action for production 161: /// /// `EmbedToken: EmbedTerm : Token Comments;` /// @@ -15253,7 +15356,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 161: + /// Semantic action for production 162: /// /// `EnumToken: EnumTerm : Token Comments;` /// @@ -15279,7 +15382,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 162: + /// Semantic action for production 163: /// /// `ExportToken: ExportTerm : Token Comments;` /// @@ -15305,7 +15408,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 163: + /// Semantic action for production 164: /// /// `F32Token: F32Term : Token Comments;` /// @@ -15331,7 +15434,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 164: + /// Semantic action for production 165: /// /// `F64Token: F64Term : Token Comments;` /// @@ -15357,7 +15460,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 165: + /// Semantic action for production 166: /// /// `FinalToken: FinalTerm : Token Comments;` /// @@ -15383,7 +15486,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 166: + /// Semantic action for production 167: /// /// `ForToken: ForTerm : Token Comments;` /// @@ -15409,7 +15512,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 167: + /// Semantic action for production 168: /// /// `FunctionToken: FunctionTerm : Token Comments;` /// @@ -15435,7 +15538,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 168: + /// Semantic action for production 169: /// /// `I32Token: I32Term : Token Comments;` /// @@ -15461,7 +15564,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 169: + /// Semantic action for production 170: /// /// `I64Token: I64Term : Token Comments;` /// @@ -15487,7 +15590,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 170: + /// Semantic action for production 171: /// /// `IfResetToken: IfResetTerm : Token Comments;` /// @@ -15513,7 +15616,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 171: + /// Semantic action for production 172: /// /// `IfToken: IfTerm : Token Comments;` /// @@ -15539,7 +15642,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 172: + /// Semantic action for production 173: /// /// `ImportToken: ImportTerm : Token Comments;` /// @@ -15565,7 +15668,33 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 173: + /// Semantic action for production 174: + /// + /// `IncludeToken: IncludeTerm : Token Comments;` + /// + #[parol_runtime::function_name::named] + fn include_token( + &mut self, + _include_term: &ParseTreeType<'t>, + _comments: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let comments = pop_item!(self, comments, Comments, context); + let include_term = pop_item!(self, include_term, IncludeTerm, context); + let include_token_built = IncludeToken { + include_term: (&include_term) + .try_into() + .map_err(parol_runtime::ParolError::UserError)?, + comments: Box::new(comments), + }; + // Calling user action here + self.user_grammar.include_token(&include_token_built)?; + self.push(ASTType::IncludeToken(include_token_built), context); + Ok(()) + } + + /// Semantic action for production 175: /// /// `InitialToken: InitialTerm : Token Comments;` /// @@ -15591,7 +15720,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 174: + /// Semantic action for production 176: /// /// `InoutToken: InoutTerm : Token Comments;` /// @@ -15617,7 +15746,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 175: + /// Semantic action for production 177: /// /// `InputToken: InputTerm : Token Comments;` /// @@ -15643,7 +15772,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 176: + /// Semantic action for production 178: /// /// `InsideToken: InsideTerm : Token Comments;` /// @@ -15669,7 +15798,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 177: + /// Semantic action for production 179: /// /// `InstToken: InstTerm : Token Comments;` /// @@ -15695,7 +15824,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 178: + /// Semantic action for production 180: /// /// `InterfaceToken: InterfaceTerm : Token Comments;` /// @@ -15721,7 +15850,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 179: + /// Semantic action for production 181: /// /// `InToken: InTerm : Token Comments;` /// @@ -15747,7 +15876,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 180: + /// Semantic action for production 182: /// /// `LetToken: LetTerm : Token Comments;` /// @@ -15773,7 +15902,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 181: + /// Semantic action for production 183: /// /// `LocalToken: LocalTerm : Token Comments;` /// @@ -15799,7 +15928,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 182: + /// Semantic action for production 184: /// /// `LogicToken: LogicTerm : Token Comments;` /// @@ -15825,7 +15954,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 183: + /// Semantic action for production 185: /// /// `LsbToken: LsbTerm : Token Comments;` /// @@ -15851,7 +15980,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 184: + /// Semantic action for production 186: /// /// `ModportToken: ModportTerm : Token Comments;` /// @@ -15877,7 +16006,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 185: + /// Semantic action for production 187: /// /// `ModuleToken: ModuleTerm : Token Comments;` /// @@ -15903,7 +16032,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 186: + /// Semantic action for production 188: /// /// `MsbToken: MsbTerm : Token Comments;` /// @@ -15929,7 +16058,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 187: + /// Semantic action for production 189: /// /// `NegedgeToken: NegedgeTerm : Token Comments;` /// @@ -15955,7 +16084,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 188: + /// Semantic action for production 190: /// /// `OutputToken: OutputTerm : Token Comments;` /// @@ -15981,7 +16110,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 189: + /// Semantic action for production 191: /// /// `OutsideToken: OutsideTerm : Token Comments;` /// @@ -16007,7 +16136,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 190: + /// Semantic action for production 192: /// /// `PackageToken: PackageTerm : Token Comments;` /// @@ -16033,7 +16162,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 191: + /// Semantic action for production 193: /// /// `ParamToken: ParamTerm : Token Comments;` /// @@ -16059,7 +16188,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 192: + /// Semantic action for production 194: /// /// `PosedgeToken: PosedgeTerm : Token Comments;` /// @@ -16085,7 +16214,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 193: + /// Semantic action for production 195: /// /// `PubToken: PubTerm : Token Comments;` /// @@ -16111,7 +16240,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 194: + /// Semantic action for production 196: /// /// `RefToken: RefTerm : Token Comments;` /// @@ -16137,7 +16266,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 195: + /// Semantic action for production 197: /// /// `RepeatToken: RepeatTerm : Token Comments;` /// @@ -16163,7 +16292,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 196: + /// Semantic action for production 198: /// /// `ReturnToken: ReturnTerm : Token Comments;` /// @@ -16189,7 +16318,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 197: + /// Semantic action for production 199: /// /// `BreakToken: BreakTerm : Token Comments;` /// @@ -16215,7 +16344,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 198: + /// Semantic action for production 200: /// /// `SignedToken: SignedTerm : Token Comments;` /// @@ -16241,7 +16370,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 199: + /// Semantic action for production 201: /// /// `StepToken: StepTerm : Token Comments;` /// @@ -16267,7 +16396,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 200: + /// Semantic action for production 202: /// /// `StringToken: StringTerm : Token Comments;` /// @@ -16293,7 +16422,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 201: + /// Semantic action for production 203: /// /// `StructToken: StructTerm : Token Comments;` /// @@ -16319,7 +16448,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 202: + /// Semantic action for production 204: /// /// `SyncHighToken: SyncHighTerm : Token Comments;` /// @@ -16345,7 +16474,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 203: + /// Semantic action for production 205: /// /// `SyncLowToken: SyncLowTerm : Token Comments;` /// @@ -16371,7 +16500,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 204: + /// Semantic action for production 206: /// /// `TriToken: TriTerm : Token Comments;` /// @@ -16397,7 +16526,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 205: + /// Semantic action for production 207: /// /// `TypeToken: TypeTerm : Token Comments;` /// @@ -16423,7 +16552,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 206: + /// Semantic action for production 208: /// /// `U32Token: U32Term : Token Comments;` /// @@ -16449,7 +16578,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 207: + /// Semantic action for production 209: /// /// `U64Token: U64Term : Token Comments;` /// @@ -16475,7 +16604,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 208: + /// Semantic action for production 210: /// /// `UnionToken: UnionTerm : Token Comments;` /// @@ -16501,7 +16630,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 209: + /// Semantic action for production 211: /// /// `VarToken: VarTerm : Token Comments;` /// @@ -16527,7 +16656,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 210: + /// Semantic action for production 212: /// /// `DollarIdentifierToken: DollarIdentifierTerm : Token Comments;` /// @@ -16558,7 +16687,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 211: + /// Semantic action for production 213: /// /// `IdentifierToken: IdentifierTerm : Token Comments;` /// @@ -16585,7 +16714,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 212: + /// Semantic action for production 214: /// /// `Start: StartToken : VerylToken;` /// @@ -16605,7 +16734,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 213: + /// Semantic action for production 215: /// /// `StringLiteral: StringLiteralToken : VerylToken;` /// @@ -16626,7 +16755,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 214: + /// Semantic action for production 216: /// /// `Exponent: ExponentToken : VerylToken;` /// @@ -16646,7 +16775,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 215: + /// Semantic action for production 217: /// /// `FixedPoint: FixedPointToken : VerylToken;` /// @@ -16666,7 +16795,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 216: + /// Semantic action for production 218: /// /// `Based: BasedToken : VerylToken;` /// @@ -16686,7 +16815,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 217: + /// Semantic action for production 219: /// /// `BaseLess: BaseLessToken : VerylToken;` /// @@ -16706,7 +16835,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 218: + /// Semantic action for production 220: /// /// `AllBit: AllBitToken : VerylToken;` /// @@ -16726,7 +16855,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 219: + /// Semantic action for production 221: /// /// `AssignmentOperator: AssignmentOperatorToken : VerylToken;` /// @@ -16758,7 +16887,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 220: + /// Semantic action for production 222: /// /// `Operator01: Operator01Token : VerylToken;` /// @@ -16778,7 +16907,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 221: + /// Semantic action for production 223: /// /// `Operator02: Operator02Token : VerylToken;` /// @@ -16798,7 +16927,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 222: + /// Semantic action for production 224: /// /// `Operator03: Operator03Token : VerylToken;` /// @@ -16818,7 +16947,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 223: + /// Semantic action for production 225: /// /// `Operator04: Operator04Token : VerylToken;` /// @@ -16838,7 +16967,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 224: + /// Semantic action for production 226: /// /// `Operator05: Operator05Token : VerylToken;` /// @@ -16858,7 +16987,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 225: + /// Semantic action for production 227: /// /// `Operator06: Operator06Token : VerylToken;` /// @@ -16878,7 +17007,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 226: + /// Semantic action for production 228: /// /// `Operator07: Operator07Token : VerylToken;` /// @@ -16898,7 +17027,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 227: + /// Semantic action for production 229: /// /// `Operator08: Operator08Token : VerylToken;` /// @@ -16918,7 +17047,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 228: + /// Semantic action for production 230: /// /// `Operator09: Operator09Token : VerylToken;` /// @@ -16938,7 +17067,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 229: + /// Semantic action for production 231: /// /// `Operator10: Operator10Token : VerylToken;` /// @@ -16958,7 +17087,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 230: + /// Semantic action for production 232: /// /// `Operator11: Operator11Token : VerylToken;` /// @@ -16978,7 +17107,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 231: + /// Semantic action for production 233: /// /// `UnaryOperator: UnaryOperatorToken : VerylToken;` /// @@ -16999,7 +17128,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 232: + /// Semantic action for production 234: /// /// `Colon: ColonToken : VerylToken;` /// @@ -17019,7 +17148,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 233: + /// Semantic action for production 235: /// /// `ColonColon: ColonColonToken : VerylToken;` /// @@ -17039,7 +17168,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 234: + /// Semantic action for production 236: /// /// `Comma: CommaToken : VerylToken;` /// @@ -17059,7 +17188,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 235: + /// Semantic action for production 237: /// /// `DotDot: DotDotToken : VerylToken;` /// @@ -17079,7 +17208,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 236: + /// Semantic action for production 238: /// /// `DotDotEqu: DotDotEquToken : VerylToken;` /// @@ -17099,7 +17228,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 237: + /// Semantic action for production 239: /// /// `Dot: DotToken : VerylToken;` /// @@ -17119,7 +17248,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 238: + /// Semantic action for production 240: /// /// `Equ: EquToken : VerylToken;` /// @@ -17139,7 +17268,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 239: + /// Semantic action for production 241: /// /// `Hash: HashToken : VerylToken;` /// @@ -17159,7 +17288,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 240: + /// Semantic action for production 242: /// /// `QuoteLBrace: QuoteLBraceToken : VerylToken;` /// @@ -17179,7 +17308,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 241: + /// Semantic action for production 243: /// /// `LAngle: LAngleToken : VerylToken;` /// @@ -17199,7 +17328,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 242: + /// Semantic action for production 244: /// /// `LBrace: LBraceToken : VerylToken;` /// @@ -17219,7 +17348,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 243: + /// Semantic action for production 245: /// /// `LBracket: LBracketToken : VerylToken;` /// @@ -17239,7 +17368,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 244: + /// Semantic action for production 246: /// /// `LParen: LParenToken : VerylToken;` /// @@ -17259,7 +17388,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 245: + /// Semantic action for production 247: /// /// `MinusColon: MinusColonToken : VerylToken;` /// @@ -17279,7 +17408,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 246: + /// Semantic action for production 248: /// /// `MinusGT: MinusGTToken : VerylToken;` /// @@ -17299,7 +17428,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 247: + /// Semantic action for production 249: /// /// `PlusColon: PlusColonToken : VerylToken;` /// @@ -17319,7 +17448,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 248: + /// Semantic action for production 250: /// /// `RAngle: RAngleToken : VerylToken;` /// @@ -17339,7 +17468,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 249: + /// Semantic action for production 251: /// /// `RBrace: RBraceToken : VerylToken;` /// @@ -17359,7 +17488,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 250: + /// Semantic action for production 252: /// /// `RBracket: RBracketToken : VerylToken;` /// @@ -17379,7 +17508,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 251: + /// Semantic action for production 253: /// /// `RParen: RParenToken : VerylToken;` /// @@ -17399,7 +17528,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 252: + /// Semantic action for production 254: /// /// `Semicolon: SemicolonToken : VerylToken;` /// @@ -17419,7 +17548,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 253: + /// Semantic action for production 255: /// /// `Star: StarToken : VerylToken;` /// @@ -17439,7 +17568,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 254: + /// Semantic action for production 256: /// /// `AlwaysComb: AlwaysCombToken : VerylToken;` /// @@ -17459,7 +17588,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 255: + /// Semantic action for production 257: /// /// `AlwaysFf: AlwaysFfToken : VerylToken;` /// @@ -17479,7 +17608,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 256: + /// Semantic action for production 258: /// /// `As: AsToken : VerylToken;` /// @@ -17499,7 +17628,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 257: + /// Semantic action for production 259: /// /// `Assign: AssignToken : VerylToken;` /// @@ -17519,7 +17648,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 258: + /// Semantic action for production 260: /// /// `AsyncHigh: AsyncHighToken : VerylToken;` /// @@ -17539,7 +17668,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 259: + /// Semantic action for production 261: /// /// `AsyncLow: AsyncLowToken : VerylToken;` /// @@ -17559,7 +17688,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 260: + /// Semantic action for production 262: /// /// `Bit: BitToken : VerylToken;` /// @@ -17579,7 +17708,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 261: + /// Semantic action for production 263: /// /// `Break: BreakToken : VerylToken;` /// @@ -17599,7 +17728,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 262: + /// Semantic action for production 264: /// /// `Case: CaseToken : VerylToken;` /// @@ -17619,7 +17748,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 263: + /// Semantic action for production 265: /// /// `Defaul: DefaultToken : VerylToken;` /// @@ -17639,7 +17768,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 264: + /// Semantic action for production 266: /// /// `Else: ElseToken : VerylToken;` /// @@ -17659,7 +17788,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 265: + /// Semantic action for production 267: /// /// `Embed: EmbedToken : VerylToken;` /// @@ -17679,7 +17808,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 266: + /// Semantic action for production 268: /// /// `Enum: EnumToken : VerylToken;` /// @@ -17699,7 +17828,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 267: + /// Semantic action for production 269: /// /// `Export: ExportToken : VerylToken;` /// @@ -17719,7 +17848,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 268: + /// Semantic action for production 270: /// /// `F32: F32Token : VerylToken;` /// @@ -17739,7 +17868,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 269: + /// Semantic action for production 271: /// /// `F64: F64Token : VerylToken;` /// @@ -17759,7 +17888,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 270: + /// Semantic action for production 272: /// /// `Final: FinalToken : VerylToken;` /// @@ -17779,7 +17908,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 271: + /// Semantic action for production 273: /// /// `For: ForToken : VerylToken;` /// @@ -17799,7 +17928,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 272: + /// Semantic action for production 274: /// /// `Function: FunctionToken : VerylToken;` /// @@ -17819,7 +17948,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 273: + /// Semantic action for production 275: /// /// `I32: I32Token : VerylToken;` /// @@ -17839,7 +17968,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 274: + /// Semantic action for production 276: /// /// `I64: I64Token : VerylToken;` /// @@ -17859,7 +17988,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 275: + /// Semantic action for production 277: /// /// `If: IfToken : VerylToken;` /// @@ -17879,7 +18008,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 276: + /// Semantic action for production 278: /// /// `IfReset: IfResetToken : VerylToken;` /// @@ -17899,7 +18028,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 277: + /// Semantic action for production 279: /// /// `Import: ImportToken : VerylToken;` /// @@ -17919,7 +18048,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 278: + /// Semantic action for production 280: /// /// `In: InToken : VerylToken;` /// @@ -17939,7 +18068,27 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 279: + /// Semantic action for production 281: + /// + /// `Include: IncludeToken : VerylToken;` + /// + #[parol_runtime::function_name::named] + fn include(&mut self, _include_token: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let include_token = pop_item!(self, include_token, IncludeToken, context); + let include_built = Include { + include_token: (&include_token) + .try_into() + .map_err(parol_runtime::ParolError::UserError)?, + }; + // Calling user action here + self.user_grammar.include(&include_built)?; + self.push(ASTType::Include(include_built), context); + Ok(()) + } + + /// Semantic action for production 282: /// /// `Initial: InitialToken : VerylToken;` /// @@ -17959,7 +18108,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 280: + /// Semantic action for production 283: /// /// `Inout: InoutToken : VerylToken;` /// @@ -17979,7 +18128,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 281: + /// Semantic action for production 284: /// /// `Input: InputToken : VerylToken;` /// @@ -17999,7 +18148,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 282: + /// Semantic action for production 285: /// /// `Inside: InsideToken : VerylToken;` /// @@ -18019,7 +18168,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 283: + /// Semantic action for production 286: /// /// `Inst: InstToken : VerylToken;` /// @@ -18039,7 +18188,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 284: + /// Semantic action for production 287: /// /// `Interface: InterfaceToken : VerylToken;` /// @@ -18059,7 +18208,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 285: + /// Semantic action for production 288: /// /// `Let: LetToken : VerylToken;` /// @@ -18079,7 +18228,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 286: + /// Semantic action for production 289: /// /// `Local: LocalToken : VerylToken;` /// @@ -18099,7 +18248,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 287: + /// Semantic action for production 290: /// /// `Logic: LogicToken : VerylToken;` /// @@ -18119,7 +18268,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 288: + /// Semantic action for production 291: /// /// `Lsb: LsbToken : VerylToken;` /// @@ -18139,7 +18288,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 289: + /// Semantic action for production 292: /// /// `Modport: ModportToken : VerylToken;` /// @@ -18159,7 +18308,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 290: + /// Semantic action for production 293: /// /// `Module: ModuleToken : VerylToken;` /// @@ -18179,7 +18328,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 291: + /// Semantic action for production 294: /// /// `Msb: MsbToken : VerylToken;` /// @@ -18199,7 +18348,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 292: + /// Semantic action for production 295: /// /// `Negedge: NegedgeToken : VerylToken;` /// @@ -18219,7 +18368,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 293: + /// Semantic action for production 296: /// /// `Output: OutputToken : VerylToken;` /// @@ -18239,7 +18388,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 294: + /// Semantic action for production 297: /// /// `Outside: OutsideToken : VerylToken;` /// @@ -18259,7 +18408,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 295: + /// Semantic action for production 298: /// /// `Package: PackageToken : VerylToken;` /// @@ -18279,7 +18428,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 296: + /// Semantic action for production 299: /// /// `Param: ParamToken : VerylToken;` /// @@ -18299,7 +18448,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 297: + /// Semantic action for production 300: /// /// `Posedge: PosedgeToken : VerylToken;` /// @@ -18319,7 +18468,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 298: + /// Semantic action for production 301: /// /// `Pub: PubToken : VerylToken;` /// @@ -18339,7 +18488,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 299: + /// Semantic action for production 302: /// /// `Ref: RefToken : VerylToken;` /// @@ -18359,7 +18508,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 300: + /// Semantic action for production 303: /// /// `Repeat: RepeatToken : VerylToken;` /// @@ -18379,7 +18528,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 301: + /// Semantic action for production 304: /// /// `Return: ReturnToken : VerylToken;` /// @@ -18399,7 +18548,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 302: + /// Semantic action for production 305: /// /// `Signed: SignedToken : VerylToken;` /// @@ -18419,7 +18568,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 303: + /// Semantic action for production 306: /// /// `Step: StepToken : VerylToken;` /// @@ -18439,7 +18588,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 304: + /// Semantic action for production 307: /// /// `Strin: StringToken : VerylToken;` /// @@ -18459,7 +18608,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 305: + /// Semantic action for production 308: /// /// `Struct: StructToken : VerylToken;` /// @@ -18479,7 +18628,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 306: + /// Semantic action for production 309: /// /// `SyncHigh: SyncHighToken : VerylToken;` /// @@ -18499,7 +18648,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 307: + /// Semantic action for production 310: /// /// `SyncLow: SyncLowToken : VerylToken;` /// @@ -18519,7 +18668,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 308: + /// Semantic action for production 311: /// /// `Tri: TriToken : VerylToken;` /// @@ -18539,7 +18688,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 309: + /// Semantic action for production 312: /// /// `Type: TypeToken : VerylToken;` /// @@ -18559,7 +18708,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 310: + /// Semantic action for production 313: /// /// `U32: U32Token : VerylToken;` /// @@ -18579,7 +18728,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 311: + /// Semantic action for production 314: /// /// `U64: U64Token : VerylToken;` /// @@ -18599,7 +18748,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 312: + /// Semantic action for production 315: /// /// `Union: UnionToken : VerylToken;` /// @@ -18619,7 +18768,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 313: + /// Semantic action for production 316: /// /// `Var: VarToken : VerylToken;` /// @@ -18639,7 +18788,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 314: + /// Semantic action for production 317: /// /// `DollarIdentifier: DollarIdentifierToken : VerylToken;` /// @@ -18665,7 +18814,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 315: + /// Semantic action for production 318: /// /// `Identifier: IdentifierToken : VerylToken;` /// @@ -18685,7 +18834,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 316: + /// Semantic action for production 319: /// /// `Number: IntegralNumber;` /// @@ -18704,7 +18853,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 317: + /// Semantic action for production 320: /// /// `Number: RealNumber;` /// @@ -18723,7 +18872,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 318: + /// Semantic action for production 321: /// /// `IntegralNumber: Based;` /// @@ -18743,7 +18892,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 319: + /// Semantic action for production 322: /// /// `IntegralNumber: BaseLess;` /// @@ -18763,7 +18912,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 320: + /// Semantic action for production 323: /// /// `IntegralNumber: AllBit;` /// @@ -18783,7 +18932,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 321: + /// Semantic action for production 324: /// /// `RealNumber: FixedPoint;` /// @@ -18802,7 +18951,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 322: + /// Semantic action for production 325: /// /// `RealNumber: Exponent;` /// @@ -18821,7 +18970,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 323: + /// Semantic action for production 326: /// /// `HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */;` /// @@ -18862,7 +19011,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 324: + /// Semantic action for production 327: /// /// `HierarchicalIdentifierList0 /* Vec::Push */: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0;` /// @@ -18904,7 +19053,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 325: + /// Semantic action for production 328: /// /// `HierarchicalIdentifierList0List /* Vec::Push */: Select HierarchicalIdentifierList0List;` /// @@ -18935,7 +19084,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 326: + /// Semantic action for production 329: /// /// `HierarchicalIdentifierList0List /* Vec::New */: ;` /// @@ -18951,7 +19100,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 327: + /// Semantic action for production 330: /// /// `HierarchicalIdentifierList0 /* Vec::New */: ;` /// @@ -18967,7 +19116,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 328: + /// Semantic action for production 331: /// /// `HierarchicalIdentifierList /* Vec::Push */: Select HierarchicalIdentifierList;` /// @@ -18998,7 +19147,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 329: + /// Semantic action for production 332: /// /// `HierarchicalIdentifierList /* Vec::New */: ;` /// @@ -19014,7 +19163,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 330: + /// Semantic action for production 333: /// /// `ScopedIdentifier: ScopedIdentifierGroup ScopedIdentifierList /* Vec */;` /// @@ -19045,7 +19194,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 331: + /// Semantic action for production 334: /// /// `ScopedIdentifierGroup: DollarIdentifier;` /// @@ -19066,7 +19215,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 332: + /// Semantic action for production 335: /// /// `ScopedIdentifierGroup: Identifier;` /// @@ -19087,7 +19236,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 333: + /// Semantic action for production 336: /// /// `ScopedIdentifierList /* Vec::Push */: ColonColon Identifier ScopedIdentifierList;` /// @@ -19117,7 +19266,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 334: + /// Semantic action for production 337: /// /// `ScopedIdentifierList /* Vec::New */: ;` /// @@ -19133,7 +19282,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 335: + /// Semantic action for production 338: /// /// `ExpressionIdentifier: ExpressionIdentifierGroup ExpressionIdentifierGroup0;` /// @@ -19171,7 +19320,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 336: + /// Semantic action for production 339: /// /// `ExpressionIdentifierGroup0: ExpressionIdentifierScoped;` /// @@ -19203,7 +19352,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 337: + /// Semantic action for production 340: /// /// `ExpressionIdentifierGroup0: ExpressionIdentifierMember;` /// @@ -19235,7 +19384,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 338: + /// Semantic action for production 341: /// /// `ExpressionIdentifierGroup: DollarIdentifier;` /// @@ -19259,7 +19408,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 339: + /// Semantic action for production 342: /// /// `ExpressionIdentifierGroup: Identifier;` /// @@ -19280,7 +19429,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 340: + /// Semantic action for production 343: /// /// `ExpressionIdentifierScoped: ColonColon Identifier ExpressionIdentifierScopedList /* Vec */ ExpressionIdentifierScopedList0 /* Vec */;` /// @@ -19324,7 +19473,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 341: + /// Semantic action for production 344: /// /// `ExpressionIdentifierScopedList0 /* Vec::Push */: Select ExpressionIdentifierScopedList0;` /// @@ -19355,7 +19504,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 342: + /// Semantic action for production 345: /// /// `ExpressionIdentifierScopedList0 /* Vec::New */: ;` /// @@ -19371,7 +19520,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 343: + /// Semantic action for production 346: /// /// `ExpressionIdentifierScopedList /* Vec::Push */: ColonColon Identifier ExpressionIdentifierScopedList;` /// @@ -19405,7 +19554,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 344: + /// Semantic action for production 347: /// /// `ExpressionIdentifierScopedList /* Vec::New */: ;` /// @@ -19421,7 +19570,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 345: + /// Semantic action for production 348: /// /// `ExpressionIdentifierMember: ExpressionIdentifierMemberList /* Vec */ ExpressionIdentifierMemberList0 /* Vec */;` /// @@ -19459,7 +19608,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 346: + /// Semantic action for production 349: /// /// `ExpressionIdentifierMemberList0 /* Vec::Push */: Dot Identifier ExpressionIdentifierMemberList0List /* Vec */ ExpressionIdentifierMemberList0;` /// @@ -19501,7 +19650,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 347: + /// Semantic action for production 350: /// /// `ExpressionIdentifierMemberList0List /* Vec::Push */: Select ExpressionIdentifierMemberList0List;` /// @@ -19533,7 +19682,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 348: + /// Semantic action for production 351: /// /// `ExpressionIdentifierMemberList0List /* Vec::New */: ;` /// @@ -19551,7 +19700,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 349: + /// Semantic action for production 352: /// /// `ExpressionIdentifierMemberList0 /* Vec::New */: ;` /// @@ -19567,7 +19716,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 350: + /// Semantic action for production 353: /// /// `ExpressionIdentifierMemberList /* Vec::Push */: Select ExpressionIdentifierMemberList;` /// @@ -19598,7 +19747,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 351: + /// Semantic action for production 354: /// /// `ExpressionIdentifierMemberList /* Vec::New */: ;` /// @@ -19614,7 +19763,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 352: + /// Semantic action for production 355: /// /// `Expression: Expression01 ExpressionList /* Vec */;` /// @@ -19638,7 +19787,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 353: + /// Semantic action for production 356: /// /// `ExpressionList /* Vec::Push */: Operator01 Expression01 ExpressionList;` /// @@ -19664,7 +19813,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 354: + /// Semantic action for production 357: /// /// `ExpressionList /* Vec::New */: ;` /// @@ -19677,7 +19826,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 355: + /// Semantic action for production 358: /// /// `Expression01: Expression02 Expression01List /* Vec */;` /// @@ -19702,7 +19851,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 356: + /// Semantic action for production 359: /// /// `Expression01List /* Vec::Push */: Operator02 Expression02 Expression01List;` /// @@ -19728,7 +19877,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 357: + /// Semantic action for production 360: /// /// `Expression01List /* Vec::New */: ;` /// @@ -19744,7 +19893,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 358: + /// Semantic action for production 361: /// /// `Expression02: Expression03 Expression02List /* Vec */;` /// @@ -19769,7 +19918,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 359: + /// Semantic action for production 362: /// /// `Expression02List /* Vec::Push */: Operator03 Expression03 Expression02List;` /// @@ -19795,7 +19944,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 360: + /// Semantic action for production 363: /// /// `Expression02List /* Vec::New */: ;` /// @@ -19811,7 +19960,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 361: + /// Semantic action for production 364: /// /// `Expression03: Expression04 Expression03List /* Vec */;` /// @@ -19836,7 +19985,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 362: + /// Semantic action for production 365: /// /// `Expression03List /* Vec::Push */: Operator04 Expression04 Expression03List;` /// @@ -19862,7 +20011,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 363: + /// Semantic action for production 366: /// /// `Expression03List /* Vec::New */: ;` /// @@ -19878,7 +20027,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 364: + /// Semantic action for production 367: /// /// `Expression04: Expression05 Expression04List /* Vec */;` /// @@ -19903,7 +20052,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 365: + /// Semantic action for production 368: /// /// `Expression04List /* Vec::Push */: Operator05 Expression05 Expression04List;` /// @@ -19929,7 +20078,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 366: + /// Semantic action for production 369: /// /// `Expression04List /* Vec::New */: ;` /// @@ -19945,7 +20094,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 367: + /// Semantic action for production 370: /// /// `Expression05: Expression06 Expression05List /* Vec */;` /// @@ -19970,7 +20119,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 368: + /// Semantic action for production 371: /// /// `Expression05List /* Vec::Push */: Operator06 Expression06 Expression05List;` /// @@ -19996,7 +20145,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 369: + /// Semantic action for production 372: /// /// `Expression05List /* Vec::New */: ;` /// @@ -20012,7 +20161,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 370: + /// Semantic action for production 373: /// /// `Expression06: Expression07 Expression06List /* Vec */;` /// @@ -20037,7 +20186,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 371: + /// Semantic action for production 374: /// /// `Expression06List /* Vec::Push */: Operator07 Expression07 Expression06List;` /// @@ -20063,7 +20212,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 372: + /// Semantic action for production 375: /// /// `Expression06List /* Vec::New */: ;` /// @@ -20079,7 +20228,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 373: + /// Semantic action for production 376: /// /// `Expression07: Expression08 Expression07List /* Vec */;` /// @@ -20104,7 +20253,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 374: + /// Semantic action for production 377: /// /// `Expression07List /* Vec::Push */: Operator08 Expression08 Expression07List;` /// @@ -20130,7 +20279,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 375: + /// Semantic action for production 378: /// /// `Expression07List /* Vec::New */: ;` /// @@ -20146,7 +20295,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 376: + /// Semantic action for production 379: /// /// `Expression08: Expression09 Expression08List /* Vec */;` /// @@ -20171,7 +20320,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 377: + /// Semantic action for production 380: /// /// `Expression08List /* Vec::Push */: Operator09 Expression09 Expression08List;` /// @@ -20197,7 +20346,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 378: + /// Semantic action for production 381: /// /// `Expression08List /* Vec::New */: ;` /// @@ -20213,7 +20362,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 379: + /// Semantic action for production 382: /// /// `Expression09: Expression10 Expression09List /* Vec */;` /// @@ -20238,7 +20387,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 380: + /// Semantic action for production 383: /// /// `Expression09List /* Vec::Push */: Expression09ListGroup Expression10 Expression09List;` /// @@ -20269,7 +20418,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 381: + /// Semantic action for production 384: /// /// `Expression09ListGroup: Operator10;` /// @@ -20290,7 +20439,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 382: + /// Semantic action for production 385: /// /// `Expression09ListGroup: Star;` /// @@ -20311,7 +20460,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 383: + /// Semantic action for production 386: /// /// `Expression09List /* Vec::New */: ;` /// @@ -20327,7 +20476,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 384: + /// Semantic action for production 387: /// /// `Expression10: Expression11 Expression10List /* Vec */;` /// @@ -20352,7 +20501,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 385: + /// Semantic action for production 388: /// /// `Expression10List /* Vec::Push */: Operator11 Expression11 Expression10List;` /// @@ -20378,7 +20527,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 386: + /// Semantic action for production 389: /// /// `Expression10List /* Vec::New */: ;` /// @@ -20394,7 +20543,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 387: + /// Semantic action for production 390: /// /// `Expression11: Expression12 Expression11List /* Vec */;` /// @@ -20419,7 +20568,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 388: + /// Semantic action for production 391: /// /// `Expression11List /* Vec::Push */: As ScopedIdentifier Expression11List;` /// @@ -20445,7 +20594,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 389: + /// Semantic action for production 392: /// /// `Expression11List /* Vec::New */: ;` /// @@ -20461,7 +20610,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 390: + /// Semantic action for production 393: /// /// `Expression12: Expression12List /* Vec */ Factor;` /// @@ -20486,7 +20635,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 391: + /// Semantic action for production 394: /// /// `Expression12List /* Vec::Push */: Expression12ListGroup Expression12List;` /// @@ -20514,7 +20663,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 392: + /// Semantic action for production 395: /// /// `Expression12ListGroup: UnaryOperator;` /// @@ -20535,7 +20684,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 393: + /// Semantic action for production 396: /// /// `Expression12ListGroup: Operator09;` /// @@ -20556,7 +20705,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 394: + /// Semantic action for production 397: /// /// `Expression12ListGroup: Operator05;` /// @@ -20577,7 +20726,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 395: + /// Semantic action for production 398: /// /// `Expression12ListGroup: Operator03;` /// @@ -20598,7 +20747,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 396: + /// Semantic action for production 399: /// /// `Expression12ListGroup: Operator04;` /// @@ -20619,7 +20768,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 397: + /// Semantic action for production 400: /// /// `Expression12List /* Vec::New */: ;` /// @@ -20635,7 +20784,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 398: + /// Semantic action for production 401: /// /// `Factor: Number;` /// @@ -20654,7 +20803,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 399: + /// Semantic action for production 402: /// /// `Factor: ExpressionIdentifier FactorOpt /* Option */;` /// @@ -20680,7 +20829,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 400: + /// Semantic action for production 403: /// /// `Factor: LParen Expression RParen;` /// @@ -20708,7 +20857,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 401: + /// Semantic action for production 404: /// /// `Factor: LBrace ConcatenationList RBrace;` /// @@ -20736,7 +20885,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 402: + /// Semantic action for production 405: /// /// `Factor: QuoteLBrace ArrayLiteralList RBrace;` /// @@ -20764,7 +20913,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 403: + /// Semantic action for production 406: /// /// `Factor: IfExpression;` /// @@ -20783,7 +20932,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 404: + /// Semantic action for production 407: /// /// `Factor: CaseExpression;` /// @@ -20802,7 +20951,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 405: + /// Semantic action for production 408: /// /// `Factor: StringLiteral;` /// @@ -20821,7 +20970,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 406: + /// Semantic action for production 409: /// /// `Factor: FactorGroup;` /// @@ -20840,7 +20989,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 407: + /// Semantic action for production 410: /// /// `FactorGroup: Msb;` /// @@ -20855,7 +21004,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 408: + /// Semantic action for production 411: /// /// `FactorGroup: Lsb;` /// @@ -20870,7 +21019,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 409: + /// Semantic action for production 412: /// /// `Factor: InsideExpression;` /// @@ -20889,7 +21038,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 410: + /// Semantic action for production 413: /// /// `Factor: OutsideExpression;` /// @@ -20908,7 +21057,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 411: + /// Semantic action for production 414: /// /// `FactorOpt /* Option::Some */: FunctionCall;` /// @@ -20924,7 +21073,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 412: + /// Semantic action for production 415: /// /// `FactorOpt /* Option::None */: ;` /// @@ -20936,7 +21085,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 413: + /// Semantic action for production 416: /// /// `FunctionCall: LParen FunctionCallOpt /* Option */ RParen;` /// @@ -20963,7 +21112,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 414: + /// Semantic action for production 417: /// /// `FunctionCallOpt /* Option::Some */: ArgumentList;` /// @@ -20982,7 +21131,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 415: + /// Semantic action for production 418: /// /// `FunctionCallOpt /* Option::None */: ;` /// @@ -20994,7 +21143,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 416: + /// Semantic action for production 419: /// /// `ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */;` /// @@ -21022,7 +21171,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 417: + /// Semantic action for production 420: /// /// `ArgumentListList /* Vec::Push */: Comma ArgumentItem ArgumentListList;` /// @@ -21048,7 +21197,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 418: + /// Semantic action for production 421: /// /// `ArgumentListList /* Vec::New */: ;` /// @@ -21064,7 +21213,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 419: + /// Semantic action for production 422: /// /// `ArgumentListOpt /* Option::Some */: Comma;` /// @@ -21083,7 +21232,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 420: + /// Semantic action for production 423: /// /// `ArgumentListOpt /* Option::None */: ;` /// @@ -21095,7 +21244,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 421: + /// Semantic action for production 424: /// /// `ArgumentItem: Expression;` /// @@ -21113,7 +21262,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 422: + /// Semantic action for production 425: /// /// `ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */;` /// @@ -21150,7 +21299,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 423: + /// Semantic action for production 426: /// /// `ConcatenationListList /* Vec::Push */: Comma ConcatenationItem ConcatenationListList;` /// @@ -21184,7 +21333,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 424: + /// Semantic action for production 427: /// /// `ConcatenationListList /* Vec::New */: ;` /// @@ -21200,7 +21349,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 425: + /// Semantic action for production 428: /// /// `ConcatenationListOpt /* Option::Some */: Comma;` /// @@ -21219,7 +21368,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 426: + /// Semantic action for production 429: /// /// `ConcatenationListOpt /* Option::None */: ;` /// @@ -21231,7 +21380,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 427: + /// Semantic action for production 430: /// /// `ConcatenationItem: Expression ConcatenationItemOpt /* Option */;` /// @@ -21260,7 +21409,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 428: + /// Semantic action for production 431: /// /// `ConcatenationItemOpt /* Option::Some */: Repeat Expression;` /// @@ -21285,7 +21434,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 429: + /// Semantic action for production 432: /// /// `ConcatenationItemOpt /* Option::None */: ;` /// @@ -21297,7 +21446,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 430: + /// Semantic action for production 433: /// /// `ArrayLiteralList: ArrayLiteralItem ArrayLiteralListList /* Vec */ ArrayLiteralListOpt /* Option */;` /// @@ -21327,7 +21476,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 431: + /// Semantic action for production 434: /// /// `ArrayLiteralListList /* Vec::Push */: Comma ArrayLiteralItem ArrayLiteralListList;` /// @@ -21357,7 +21506,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 432: + /// Semantic action for production 435: /// /// `ArrayLiteralListList /* Vec::New */: ;` /// @@ -21373,7 +21522,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 433: + /// Semantic action for production 436: /// /// `ArrayLiteralListOpt /* Option::Some */: Comma;` /// @@ -21392,7 +21541,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 434: + /// Semantic action for production 437: /// /// `ArrayLiteralListOpt /* Option::None */: ;` /// @@ -21404,7 +21553,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 435: + /// Semantic action for production 438: /// /// `ArrayLiteralItem: ArrayLiteralItemGroup;` /// @@ -21428,7 +21577,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 436: + /// Semantic action for production 439: /// /// `ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */;` /// @@ -21456,7 +21605,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 437: + /// Semantic action for production 440: /// /// `ArrayLiteralItemGroup: Defaul Colon Expression;` /// @@ -21486,7 +21635,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 438: + /// Semantic action for production 441: /// /// `ArrayLiteralItemOpt /* Option::Some */: Repeat Expression;` /// @@ -21511,7 +21660,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 439: + /// Semantic action for production 442: /// /// `ArrayLiteralItemOpt /* Option::None */: ;` /// @@ -21523,7 +21672,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 440: + /// Semantic action for production 443: /// /// `IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace;` /// @@ -21572,7 +21721,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 441: + /// Semantic action for production 444: /// /// `IfExpressionList /* Vec::Push */: Else If Expression LBrace Expression RBrace IfExpressionList;` /// @@ -21610,7 +21759,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 442: + /// Semantic action for production 445: /// /// `IfExpressionList /* Vec::New */: ;` /// @@ -21626,7 +21775,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 443: + /// Semantic action for production 446: /// /// `CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace;` /// @@ -21688,7 +21837,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 444: + /// Semantic action for production 447: /// /// `CaseExpressionList0 /* Vec::Push */: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0;` /// @@ -21729,7 +21878,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 445: + /// Semantic action for production 448: /// /// `CaseExpressionList0List /* Vec::Push */: Comma Expression CaseExpressionList0List;` /// @@ -21763,7 +21912,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 446: + /// Semantic action for production 449: /// /// `CaseExpressionList0List /* Vec::New */: ;` /// @@ -21779,7 +21928,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 447: + /// Semantic action for production 450: /// /// `CaseExpressionList0 /* Vec::New */: ;` /// @@ -21795,7 +21944,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 448: + /// Semantic action for production 451: /// /// `CaseExpressionList /* Vec::Push */: Comma Expression CaseExpressionList;` /// @@ -21822,7 +21971,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 449: + /// Semantic action for production 452: /// /// `CaseExpressionList /* Vec::New */: ;` /// @@ -21838,7 +21987,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 450: + /// Semantic action for production 453: /// /// `CaseExpressionOpt /* Option::Some */: Comma;` /// @@ -21857,7 +22006,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 451: + /// Semantic action for production 454: /// /// `CaseExpressionOpt /* Option::None */: ;` /// @@ -21869,7 +22018,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 452: + /// Semantic action for production 455: /// /// `TypeExpression: ScalarType;` /// @@ -21889,7 +22038,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 453: + /// Semantic action for production 456: /// /// `TypeExpression: Type LParen Expression RParen;` /// @@ -21922,7 +22071,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 454: + /// Semantic action for production 457: /// /// `InsideExpression: Inside Expression LBrace RangeList RBrace;` /// @@ -21956,7 +22105,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 455: + /// Semantic action for production 458: /// /// `OutsideExpression: Outside Expression LBrace RangeList RBrace;` /// @@ -21993,7 +22142,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 456: + /// Semantic action for production 459: /// /// `RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */;` /// @@ -22020,7 +22169,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 457: + /// Semantic action for production 460: /// /// `RangeListList /* Vec::Push */: Comma RangeItem RangeListList;` /// @@ -22046,7 +22195,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 458: + /// Semantic action for production 461: /// /// `RangeListList /* Vec::New */: ;` /// @@ -22059,7 +22208,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 459: + /// Semantic action for production 462: /// /// `RangeListOpt /* Option::Some */: Comma;` /// @@ -22075,7 +22224,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 460: + /// Semantic action for production 463: /// /// `RangeListOpt /* Option::None */: ;` /// @@ -22087,7 +22236,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 461: + /// Semantic action for production 464: /// /// `RangeItem: Range;` /// @@ -22105,7 +22254,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 462: + /// Semantic action for production 465: /// /// `Select: LBracket Expression SelectOpt /* Option */ RBracket;` /// @@ -22135,7 +22284,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 463: + /// Semantic action for production 466: /// /// `SelectOpt /* Option::Some */: SelectOperator Expression;` /// @@ -22157,7 +22306,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 464: + /// Semantic action for production 467: /// /// `SelectOpt /* Option::None */: ;` /// @@ -22169,7 +22318,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 465: + /// Semantic action for production 468: /// /// `SelectOperator: Colon;` /// @@ -22189,7 +22338,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 466: + /// Semantic action for production 469: /// /// `SelectOperator: PlusColon;` /// @@ -22209,7 +22358,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 467: + /// Semantic action for production 470: /// /// `SelectOperator: MinusColon;` /// @@ -22229,7 +22378,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 468: + /// Semantic action for production 471: /// /// `SelectOperator: Step;` /// @@ -22249,7 +22398,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 469: + /// Semantic action for production 472: /// /// `Width: LAngle Expression WidthList /* Vec */ RAngle;` /// @@ -22279,7 +22428,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 470: + /// Semantic action for production 473: /// /// `WidthList /* Vec::Push */: Comma Expression WidthList;` /// @@ -22305,7 +22454,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 471: + /// Semantic action for production 474: /// /// `WidthList /* Vec::New */: ;` /// @@ -22318,7 +22467,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 472: + /// Semantic action for production 475: /// /// `Array: LBracket Expression ArrayList /* Vec */ RBracket;` /// @@ -22348,7 +22497,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 473: + /// Semantic action for production 476: /// /// `ArrayList /* Vec::Push */: Comma Expression ArrayList;` /// @@ -22374,7 +22523,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 474: + /// Semantic action for production 477: /// /// `ArrayList /* Vec::New */: ;` /// @@ -22387,7 +22536,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 475: + /// Semantic action for production 478: /// /// `Range: Expression RangeOpt /* Option */;` /// @@ -22411,7 +22560,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 476: + /// Semantic action for production 479: /// /// `RangeOpt /* Option::Some */: RangeOperator Expression;` /// @@ -22433,7 +22582,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 477: + /// Semantic action for production 480: /// /// `RangeOpt /* Option::None */: ;` /// @@ -22445,7 +22594,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 478: + /// Semantic action for production 481: /// /// `RangeOperator: DotDot;` /// @@ -22464,7 +22613,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 479: + /// Semantic action for production 482: /// /// `RangeOperator: DotDotEqu;` /// @@ -22483,7 +22632,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 480: + /// Semantic action for production 483: /// /// `FixedType: U32;` /// @@ -22500,7 +22649,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 481: + /// Semantic action for production 484: /// /// `FixedType: U64;` /// @@ -22517,7 +22666,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 482: + /// Semantic action for production 485: /// /// `FixedType: I32;` /// @@ -22534,7 +22683,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 483: + /// Semantic action for production 486: /// /// `FixedType: I64;` /// @@ -22551,7 +22700,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 484: + /// Semantic action for production 487: /// /// `FixedType: F32;` /// @@ -22568,7 +22717,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 485: + /// Semantic action for production 488: /// /// `FixedType: F64;` /// @@ -22585,7 +22734,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 486: + /// Semantic action for production 489: /// /// `FixedType: Strin;` /// @@ -22604,7 +22753,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 487: + /// Semantic action for production 490: /// /// `VariableType: VariableTypeGroup VariableTypeOpt /* Option */;` /// @@ -22628,7 +22777,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 488: + /// Semantic action for production 491: /// /// `VariableTypeGroup: Logic;` /// @@ -22648,7 +22797,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 489: + /// Semantic action for production 492: /// /// `VariableTypeGroup: Bit;` /// @@ -22666,7 +22815,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 490: + /// Semantic action for production 493: /// /// `VariableTypeGroup: ScopedIdentifier;` /// @@ -22687,7 +22836,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 491: + /// Semantic action for production 494: /// /// `VariableTypeOpt /* Option::Some */: Width;` /// @@ -22706,7 +22855,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 492: + /// Semantic action for production 495: /// /// `VariableTypeOpt /* Option::None */: ;` /// @@ -22718,7 +22867,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 493: + /// Semantic action for production 496: /// /// `TypeModifier: Tri;` /// @@ -22735,7 +22884,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 494: + /// Semantic action for production 497: /// /// `TypeModifier: Signed;` /// @@ -22754,7 +22903,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 495: + /// Semantic action for production 498: /// /// `ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup;` /// @@ -22779,7 +22928,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 496: + /// Semantic action for production 499: /// /// `ScalarTypeGroup: VariableType;` /// @@ -22796,7 +22945,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 497: + /// Semantic action for production 500: /// /// `ScalarTypeGroup: FixedType;` /// @@ -22813,7 +22962,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 498: + /// Semantic action for production 501: /// /// `ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList;` /// @@ -22836,7 +22985,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 499: + /// Semantic action for production 502: /// /// `ScalarTypeList /* Vec::New */: ;` /// @@ -22849,7 +22998,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 500: + /// Semantic action for production 503: /// /// `ArrayType: ScalarType ArrayTypeOpt /* Option */;` /// @@ -22873,7 +23022,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 501: + /// Semantic action for production 504: /// /// `ArrayTypeOpt /* Option::Some */: Array;` /// @@ -22889,7 +23038,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 502: + /// Semantic action for production 505: /// /// `ArrayTypeOpt /* Option::None */: ;` /// @@ -22901,7 +23050,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 503: + /// Semantic action for production 506: /// /// `Statement: LetStatement;` /// @@ -22920,7 +23069,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 504: + /// Semantic action for production 507: /// /// `Statement: IdentifierStatement;` /// @@ -22940,7 +23089,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 505: + /// Semantic action for production 508: /// /// `Statement: IfStatement;` /// @@ -22959,7 +23108,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 506: + /// Semantic action for production 509: /// /// `Statement: IfResetStatement;` /// @@ -22978,7 +23127,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 507: + /// Semantic action for production 510: /// /// `Statement: ReturnStatement;` /// @@ -22997,7 +23146,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 508: + /// Semantic action for production 511: /// /// `Statement: BreakStatement;` /// @@ -23016,7 +23165,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 509: + /// Semantic action for production 512: /// /// `Statement: ForStatement;` /// @@ -23035,7 +23184,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 510: + /// Semantic action for production 513: /// /// `Statement: CaseStatement;` /// @@ -23054,7 +23203,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 511: + /// Semantic action for production 514: /// /// `LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon;` /// @@ -23093,7 +23242,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 512: + /// Semantic action for production 515: /// /// `IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon;` /// @@ -23130,7 +23279,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 513: + /// Semantic action for production 516: /// /// `IdentifierStatementGroup: FunctionCall;` /// @@ -23151,7 +23300,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 514: + /// Semantic action for production 517: /// /// `IdentifierStatementGroup: Assignment;` /// @@ -23172,7 +23321,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 515: + /// Semantic action for production 518: /// /// `Assignment: AssignmentGroup Expression;` /// @@ -23196,7 +23345,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 516: + /// Semantic action for production 519: /// /// `AssignmentGroup: Equ;` /// @@ -23211,7 +23360,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 517: + /// Semantic action for production 520: /// /// `AssignmentGroup: AssignmentOperator;` /// @@ -23229,7 +23378,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 518: + /// Semantic action for production 521: /// /// `IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */;` /// @@ -23270,7 +23419,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 519: + /// Semantic action for production 522: /// /// `IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0;` /// @@ -23309,7 +23458,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 520: + /// Semantic action for production 523: /// /// `IfStatementList0List /* Vec::Push */: Statement IfStatementList0List;` /// @@ -23336,7 +23485,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 521: + /// Semantic action for production 524: /// /// `IfStatementList0List /* Vec::New */: ;` /// @@ -23352,7 +23501,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 522: + /// Semantic action for production 525: /// /// `IfStatementList0 /* Vec::New */: ;` /// @@ -23368,7 +23517,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 523: + /// Semantic action for production 526: /// /// `IfStatementList /* Vec::Push */: Statement IfStatementList;` /// @@ -23391,7 +23540,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 524: + /// Semantic action for production 527: /// /// `IfStatementList /* Vec::New */: ;` /// @@ -23404,7 +23553,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 525: + /// Semantic action for production 528: /// /// `IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace;` /// @@ -23436,7 +23585,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 526: + /// Semantic action for production 529: /// /// `IfStatementOptList /* Vec::Push */: Statement IfStatementOptList;` /// @@ -23460,7 +23609,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 527: + /// Semantic action for production 530: /// /// `IfStatementOptList /* Vec::New */: ;` /// @@ -23476,7 +23625,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 528: + /// Semantic action for production 531: /// /// `IfStatementOpt /* Option::None */: ;` /// @@ -23488,7 +23637,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 529: + /// Semantic action for production 532: /// /// `IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */;` /// @@ -23532,7 +23681,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 530: + /// Semantic action for production 533: /// /// `IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0;` /// @@ -23583,7 +23732,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 531: + /// Semantic action for production 534: /// /// `IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List;` /// @@ -23614,7 +23763,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 532: + /// Semantic action for production 535: /// /// `IfResetStatementList0List /* Vec::New */: ;` /// @@ -23630,7 +23779,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 533: + /// Semantic action for production 536: /// /// `IfResetStatementList0 /* Vec::New */: ;` /// @@ -23646,7 +23795,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 534: + /// Semantic action for production 537: /// /// `IfResetStatementList /* Vec::Push */: Statement IfResetStatementList;` /// @@ -23673,7 +23822,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 535: + /// Semantic action for production 538: /// /// `IfResetStatementList /* Vec::New */: ;` /// @@ -23689,7 +23838,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 536: + /// Semantic action for production 539: /// /// `IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace;` /// @@ -23725,7 +23874,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 537: + /// Semantic action for production 540: /// /// `IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList;` /// @@ -23756,7 +23905,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 538: + /// Semantic action for production 541: /// /// `IfResetStatementOptList /* Vec::New */: ;` /// @@ -23772,7 +23921,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 539: + /// Semantic action for production 542: /// /// `IfResetStatementOpt /* Option::None */: ;` /// @@ -23784,7 +23933,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 540: + /// Semantic action for production 543: /// /// `ReturnStatement: Return Expression Semicolon;` /// @@ -23812,7 +23961,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 541: + /// Semantic action for production 544: /// /// `BreakStatement: Break Semicolon;` /// @@ -23836,7 +23985,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 542: + /// Semantic action for production 545: /// /// `ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace;` /// @@ -23885,7 +24034,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 543: + /// Semantic action for production 546: /// /// `ForStatementList /* Vec::Push */: Statement ForStatementList;` /// @@ -23908,7 +24057,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 544: + /// Semantic action for production 547: /// /// `ForStatementList /* Vec::New */: ;` /// @@ -23924,7 +24073,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 545: + /// Semantic action for production 548: /// /// `ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -23952,7 +24101,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 546: + /// Semantic action for production 549: /// /// `ForStatementOpt /* Option::None */: ;` /// @@ -23964,7 +24113,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 547: + /// Semantic action for production 550: /// /// `CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace;` /// @@ -23998,7 +24147,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 548: + /// Semantic action for production 551: /// /// `CaseStatementList /* Vec::Push */: CaseItem CaseStatementList;` /// @@ -24022,7 +24171,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 549: + /// Semantic action for production 552: /// /// `CaseStatementList /* Vec::New */: ;` /// @@ -24038,7 +24187,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 550: + /// Semantic action for production 553: /// /// `CaseItem: CaseItemGroup Colon CaseItemGroup0;` /// @@ -24065,7 +24214,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 551: + /// Semantic action for production 554: /// /// `CaseItemGroup0: Statement;` /// @@ -24082,7 +24231,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 552: + /// Semantic action for production 555: /// /// `CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace;` /// @@ -24110,7 +24259,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 553: + /// Semantic action for production 556: /// /// `CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List;` /// @@ -24134,7 +24283,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 554: + /// Semantic action for production 557: /// /// `CaseItemGroup0List /* Vec::New */: ;` /// @@ -24150,7 +24299,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 555: + /// Semantic action for production 558: /// /// `CaseItemGroup: Expression CaseItemGroupList /* Vec */;` /// @@ -24175,7 +24324,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 556: + /// Semantic action for production 559: /// /// `CaseItemGroupList /* Vec::Push */: Comma Expression CaseItemGroupList;` /// @@ -24202,7 +24351,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 557: + /// Semantic action for production 560: /// /// `CaseItemGroupList /* Vec::New */: ;` /// @@ -24218,7 +24367,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 558: + /// Semantic action for production 561: /// /// `CaseItemGroup: Defaul;` /// @@ -24235,7 +24384,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 559: + /// Semantic action for production 562: /// /// `Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket;` /// @@ -24268,7 +24417,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 560: + /// Semantic action for production 563: /// /// `AttributeOpt /* Option::Some */: LParen AttributeList RParen;` /// @@ -24293,7 +24442,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 561: + /// Semantic action for production 564: /// /// `AttributeOpt /* Option::None */: ;` /// @@ -24305,7 +24454,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 562: + /// Semantic action for production 565: /// /// `AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */;` /// @@ -24333,7 +24482,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 563: + /// Semantic action for production 566: /// /// `AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList;` /// @@ -24360,7 +24509,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 564: + /// Semantic action for production 567: /// /// `AttributeListList /* Vec::New */: ;` /// @@ -24376,7 +24525,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 565: + /// Semantic action for production 568: /// /// `AttributeListOpt /* Option::Some */: Comma;` /// @@ -24395,7 +24544,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 566: + /// Semantic action for production 569: /// /// `AttributeListOpt /* Option::None */: ;` /// @@ -24407,7 +24556,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 567: + /// Semantic action for production 570: /// /// `AttributeItem: Identifier;` /// @@ -24426,7 +24575,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 568: + /// Semantic action for production 571: /// /// `AttributeItem: StringLiteral;` /// @@ -24445,7 +24594,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 569: + /// Semantic action for production 572: /// /// `LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon;` /// @@ -24484,7 +24633,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 570: + /// Semantic action for production 573: /// /// `VarDeclaration: Var Identifier Colon ArrayType Semicolon;` /// @@ -24517,7 +24666,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 571: + /// Semantic action for production 574: /// /// `LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon;` /// @@ -24556,7 +24705,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 572: + /// Semantic action for production 575: /// /// `LocalDeclarationGroup: ArrayType Equ Expression;` /// @@ -24586,7 +24735,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 573: + /// Semantic action for production 576: /// /// `LocalDeclarationGroup: Type Equ TypeExpression;` /// @@ -24616,7 +24765,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 574: + /// Semantic action for production 577: /// /// `TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon;` /// @@ -24653,7 +24802,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 575: + /// Semantic action for production 578: /// /// `AlwaysFfDeclaration: AlwaysFf LParen AlwaysFfClock AlwaysFfDeclarationOpt /* Option */ RParen LBrace AlwaysFfDeclarationList /* Vec */ RBrace;` /// @@ -24709,7 +24858,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 576: + /// Semantic action for production 579: /// /// `AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList;` /// @@ -24740,7 +24889,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 577: + /// Semantic action for production 580: /// /// `AlwaysFfDeclarationList /* Vec::New */: ;` /// @@ -24756,7 +24905,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 578: + /// Semantic action for production 581: /// /// `AlwaysFfDeclarationOpt /* Option::Some */: Comma AlwaysFfReset;` /// @@ -24781,7 +24930,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 579: + /// Semantic action for production 582: /// /// `AlwaysFfDeclarationOpt /* Option::None */: ;` /// @@ -24793,7 +24942,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 580: + /// Semantic action for production 583: /// /// `AlwaysFfClock: AlwaysFfClockOpt /* Option */ HierarchicalIdentifier;` /// @@ -24822,7 +24971,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 581: + /// Semantic action for production 584: /// /// `AlwaysFfClockOpt /* Option::Some */: AlwaysFfClockOptGroup;` /// @@ -24849,7 +24998,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 582: + /// Semantic action for production 585: /// /// `AlwaysFfClockOptGroup: Posedge;` /// @@ -24870,7 +25019,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 583: + /// Semantic action for production 586: /// /// `AlwaysFfClockOptGroup: Negedge;` /// @@ -24891,7 +25040,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 584: + /// Semantic action for production 587: /// /// `AlwaysFfClockOpt /* Option::None */: ;` /// @@ -24903,7 +25052,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 585: + /// Semantic action for production 588: /// /// `AlwaysFfReset: AlwaysFfResetOpt /* Option */ HierarchicalIdentifier;` /// @@ -24932,7 +25081,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 586: + /// Semantic action for production 589: /// /// `AlwaysFfResetOpt /* Option::Some */: AlwaysFfResetOptGroup;` /// @@ -24959,7 +25108,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 587: + /// Semantic action for production 590: /// /// `AlwaysFfResetOptGroup: AsyncLow;` /// @@ -24980,7 +25129,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 588: + /// Semantic action for production 591: /// /// `AlwaysFfResetOptGroup: AsyncHigh;` /// @@ -25001,7 +25150,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 589: + /// Semantic action for production 592: /// /// `AlwaysFfResetOptGroup: SyncLow;` /// @@ -25022,7 +25171,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 590: + /// Semantic action for production 593: /// /// `AlwaysFfResetOptGroup: SyncHigh;` /// @@ -25043,7 +25192,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 591: + /// Semantic action for production 594: /// /// `AlwaysFfResetOpt /* Option::None */: ;` /// @@ -25055,7 +25204,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 592: + /// Semantic action for production 595: /// /// `AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace;` /// @@ -25094,7 +25243,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 593: + /// Semantic action for production 596: /// /// `AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList;` /// @@ -25125,7 +25274,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 594: + /// Semantic action for production 597: /// /// `AlwaysCombDeclarationList /* Vec::New */: ;` /// @@ -25141,7 +25290,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 595: + /// Semantic action for production 598: /// /// `AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon;` /// @@ -25183,7 +25332,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 596: + /// Semantic action for production 599: /// /// `ModportDeclaration: Modport Identifier LBrace ModportList RBrace;` /// @@ -25220,7 +25369,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 597: + /// Semantic action for production 600: /// /// `ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */;` /// @@ -25248,7 +25397,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 598: + /// Semantic action for production 601: /// /// `ModportListList /* Vec::Push */: Comma ModportGroup ModportListList;` /// @@ -25274,7 +25423,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 599: + /// Semantic action for production 602: /// /// `ModportListList /* Vec::New */: ;` /// @@ -25287,7 +25436,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 600: + /// Semantic action for production 603: /// /// `ModportListOpt /* Option::Some */: Comma;` /// @@ -25306,7 +25455,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 601: + /// Semantic action for production 604: /// /// `ModportListOpt /* Option::None */: ;` /// @@ -25318,7 +25467,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 602: + /// Semantic action for production 605: /// /// `ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup;` /// @@ -25343,7 +25492,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 603: + /// Semantic action for production 606: /// /// `ModportGroupGroup: LBrace ModportList RBrace;` /// @@ -25373,7 +25522,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 604: + /// Semantic action for production 607: /// /// `ModportGroupGroup: ModportItem;` /// @@ -25394,7 +25543,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 605: + /// Semantic action for production 608: /// /// `ModportGroupList /* Vec::Push */: Attribute ModportGroupList;` /// @@ -25417,7 +25566,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 606: + /// Semantic action for production 609: /// /// `ModportGroupList /* Vec::New */: ;` /// @@ -25433,7 +25582,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 607: + /// Semantic action for production 610: /// /// `ModportItem: Identifier Colon Direction;` /// @@ -25460,7 +25609,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 608: + /// Semantic action for production 611: /// /// `EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace;` /// @@ -25500,7 +25649,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 609: + /// Semantic action for production 612: /// /// `EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */;` /// @@ -25527,7 +25676,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 610: + /// Semantic action for production 613: /// /// `EnumListList /* Vec::Push */: Comma EnumGroup EnumListList;` /// @@ -25553,7 +25702,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 611: + /// Semantic action for production 614: /// /// `EnumListList /* Vec::New */: ;` /// @@ -25566,7 +25715,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 612: + /// Semantic action for production 615: /// /// `EnumListOpt /* Option::Some */: Comma;` /// @@ -25582,7 +25731,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 613: + /// Semantic action for production 616: /// /// `EnumListOpt /* Option::None */: ;` /// @@ -25594,7 +25743,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 614: + /// Semantic action for production 617: /// /// `EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup;` /// @@ -25618,7 +25767,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 615: + /// Semantic action for production 618: /// /// `EnumGroupGroup: LBrace EnumList RBrace;` /// @@ -25645,7 +25794,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 616: + /// Semantic action for production 619: /// /// `EnumGroupGroup: EnumItem;` /// @@ -25662,7 +25811,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 617: + /// Semantic action for production 620: /// /// `EnumGroupList /* Vec::Push */: Attribute EnumGroupList;` /// @@ -25685,7 +25834,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 618: + /// Semantic action for production 621: /// /// `EnumGroupList /* Vec::New */: ;` /// @@ -25698,7 +25847,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 619: + /// Semantic action for production 622: /// /// `EnumItem: Identifier EnumItemOpt /* Option */;` /// @@ -25722,7 +25871,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 620: + /// Semantic action for production 623: /// /// `EnumItemOpt /* Option::Some */: Equ Expression;` /// @@ -25744,7 +25893,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 621: + /// Semantic action for production 624: /// /// `EnumItemOpt /* Option::None */: ;` /// @@ -25756,7 +25905,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 622: + /// Semantic action for production 625: /// /// `StructUnion: Struct;` /// @@ -25775,7 +25924,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 623: + /// Semantic action for production 626: /// /// `StructUnion: Union;` /// @@ -25794,7 +25943,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 624: + /// Semantic action for production 627: /// /// `StructUnionDeclaration: StructUnion Identifier LBrace StructUnionList RBrace;` /// @@ -25831,7 +25980,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 625: + /// Semantic action for production 628: /// /// `StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */;` /// @@ -25861,7 +26010,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 626: + /// Semantic action for production 629: /// /// `StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList;` /// @@ -25891,7 +26040,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 627: + /// Semantic action for production 630: /// /// `StructUnionListList /* Vec::New */: ;` /// @@ -25907,7 +26056,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 628: + /// Semantic action for production 631: /// /// `StructUnionListOpt /* Option::Some */: Comma;` /// @@ -25926,7 +26075,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 629: + /// Semantic action for production 632: /// /// `StructUnionListOpt /* Option::None */: ;` /// @@ -25938,7 +26087,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 630: + /// Semantic action for production 633: /// /// `StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup;` /// @@ -25969,7 +26118,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 631: + /// Semantic action for production 634: /// /// `StructUnionGroupGroup: LBrace StructUnionList RBrace;` /// @@ -25999,7 +26148,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 632: + /// Semantic action for production 635: /// /// `StructUnionGroupGroup: StructUnionItem;` /// @@ -26020,7 +26169,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 633: + /// Semantic action for production 636: /// /// `StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList;` /// @@ -26047,7 +26196,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 634: + /// Semantic action for production 637: /// /// `StructUnionGroupList /* Vec::New */: ;` /// @@ -26063,7 +26212,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 635: + /// Semantic action for production 638: /// /// `StructUnionItem: Identifier Colon ScalarType;` /// @@ -26091,7 +26240,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 636: + /// Semantic action for production 639: /// /// `InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace;` /// @@ -26130,7 +26279,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 637: + /// Semantic action for production 640: /// /// `InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList;` /// @@ -26161,7 +26310,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 638: + /// Semantic action for production 641: /// /// `InitialDeclarationList /* Vec::New */: ;` /// @@ -26177,7 +26326,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 639: + /// Semantic action for production 642: /// /// `FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace;` /// @@ -26209,7 +26358,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 640: + /// Semantic action for production 643: /// /// `FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList;` /// @@ -26236,7 +26385,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 641: + /// Semantic action for production 644: /// /// `FinalDeclarationList /* Vec::New */: ;` /// @@ -26252,7 +26401,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 642: + /// Semantic action for production 645: /// /// `InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon;` /// @@ -26298,7 +26447,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 643: + /// Semantic action for production 646: /// /// `InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen;` /// @@ -26327,7 +26476,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 644: + /// Semantic action for production 647: /// /// `InstDeclarationOpt2 /* Option::Some */: InstPortList;` /// @@ -26346,7 +26495,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 645: + /// Semantic action for production 648: /// /// `InstDeclarationOpt2 /* Option::None */: ;` /// @@ -26358,7 +26507,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 646: + /// Semantic action for production 649: /// /// `InstDeclarationOpt1 /* Option::None */: ;` /// @@ -26370,7 +26519,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 647: + /// Semantic action for production 650: /// /// `InstDeclarationOpt0 /* Option::Some */: InstParameter;` /// @@ -26389,7 +26538,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 648: + /// Semantic action for production 651: /// /// `InstDeclarationOpt0 /* Option::None */: ;` /// @@ -26401,7 +26550,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 649: + /// Semantic action for production 652: /// /// `InstDeclarationOpt /* Option::Some */: Array;` /// @@ -26420,7 +26569,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 650: + /// Semantic action for production 653: /// /// `InstDeclarationOpt /* Option::None */: ;` /// @@ -26432,7 +26581,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 651: + /// Semantic action for production 654: /// /// `InstParameter: Hash LParen InstParameterOpt /* Option */ RParen;` /// @@ -26462,7 +26611,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 652: + /// Semantic action for production 655: /// /// `InstParameterOpt /* Option::Some */: InstParameterList;` /// @@ -26481,7 +26630,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 653: + /// Semantic action for production 656: /// /// `InstParameterOpt /* Option::None */: ;` /// @@ -26493,7 +26642,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 654: + /// Semantic action for production 657: /// /// `InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */;` /// @@ -26531,7 +26680,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 655: + /// Semantic action for production 658: /// /// `InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList;` /// @@ -26566,7 +26715,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 656: + /// Semantic action for production 659: /// /// `InstParameterListList /* Vec::New */: ;` /// @@ -26582,7 +26731,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 657: + /// Semantic action for production 660: /// /// `InstParameterListOpt /* Option::Some */: Comma;` /// @@ -26601,7 +26750,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 658: + /// Semantic action for production 661: /// /// `InstParameterListOpt /* Option::None */: ;` /// @@ -26613,7 +26762,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 659: + /// Semantic action for production 662: /// /// `InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup;` /// @@ -26651,7 +26800,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 660: + /// Semantic action for production 663: /// /// `InstParameterGroupGroup: LBrace InstParameterList RBrace;` /// @@ -26684,7 +26833,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 661: + /// Semantic action for production 664: /// /// `InstParameterGroupGroup: InstParameterItem;` /// @@ -26708,7 +26857,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 662: + /// Semantic action for production 665: /// /// `InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList;` /// @@ -26739,7 +26888,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 663: + /// Semantic action for production 666: /// /// `InstParameterGroupList /* Vec::New */: ;` /// @@ -26755,7 +26904,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 664: + /// Semantic action for production 667: /// /// `InstParameterItem: Identifier InstParameterItemOpt /* Option */;` /// @@ -26784,7 +26933,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 665: + /// Semantic action for production 668: /// /// `InstParameterItemOpt /* Option::Some */: Colon Expression;` /// @@ -26809,7 +26958,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 666: + /// Semantic action for production 669: /// /// `InstParameterItemOpt /* Option::None */: ;` /// @@ -26821,7 +26970,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 667: + /// Semantic action for production 670: /// /// `InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */;` /// @@ -26849,7 +26998,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 668: + /// Semantic action for production 671: /// /// `InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList;` /// @@ -26876,7 +27025,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 669: + /// Semantic action for production 672: /// /// `InstPortListList /* Vec::New */: ;` /// @@ -26892,7 +27041,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 670: + /// Semantic action for production 673: /// /// `InstPortListOpt /* Option::Some */: Comma;` /// @@ -26911,7 +27060,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 671: + /// Semantic action for production 674: /// /// `InstPortListOpt /* Option::None */: ;` /// @@ -26923,7 +27072,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 672: + /// Semantic action for production 675: /// /// `InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup;` /// @@ -26949,7 +27098,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 673: + /// Semantic action for production 676: /// /// `InstPortGroupGroup: LBrace InstPortList RBrace;` /// @@ -26979,7 +27128,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 674: + /// Semantic action for production 677: /// /// `InstPortGroupGroup: InstPortItem;` /// @@ -27000,7 +27149,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 675: + /// Semantic action for production 678: /// /// `InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList;` /// @@ -27024,7 +27173,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 676: + /// Semantic action for production 679: /// /// `InstPortGroupList /* Vec::New */: ;` /// @@ -27040,7 +27189,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 677: + /// Semantic action for production 680: /// /// `InstPortItem: Identifier InstPortItemOpt /* Option */;` /// @@ -27064,7 +27213,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 678: + /// Semantic action for production 681: /// /// `InstPortItemOpt /* Option::Some */: Colon Expression;` /// @@ -27089,7 +27238,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 679: + /// Semantic action for production 682: /// /// `InstPortItemOpt /* Option::None */: ;` /// @@ -27101,7 +27250,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 680: + /// Semantic action for production 683: /// /// `WithParameter: Hash LParen WithParameterOpt /* Option */ RParen;` /// @@ -27131,7 +27280,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 681: + /// Semantic action for production 684: /// /// `WithParameterOpt /* Option::Some */: WithParameterList;` /// @@ -27150,7 +27299,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 682: + /// Semantic action for production 685: /// /// `WithParameterOpt /* Option::None */: ;` /// @@ -27162,7 +27311,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 683: + /// Semantic action for production 686: /// /// `WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */;` /// @@ -27200,7 +27349,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 684: + /// Semantic action for production 687: /// /// `WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList;` /// @@ -27235,7 +27384,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 685: + /// Semantic action for production 688: /// /// `WithParameterListList /* Vec::New */: ;` /// @@ -27251,7 +27400,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 686: + /// Semantic action for production 689: /// /// `WithParameterListOpt /* Option::Some */: Comma;` /// @@ -27270,7 +27419,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 687: + /// Semantic action for production 690: /// /// `WithParameterListOpt /* Option::None */: ;` /// @@ -27282,7 +27431,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 688: + /// Semantic action for production 691: /// /// `WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup;` /// @@ -27320,7 +27469,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 689: + /// Semantic action for production 692: /// /// `WithParameterGroupGroup: LBrace WithParameterList RBrace;` /// @@ -27353,7 +27502,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 690: + /// Semantic action for production 693: /// /// `WithParameterGroupGroup: WithParameterItem;` /// @@ -27377,7 +27526,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 691: + /// Semantic action for production 694: /// /// `WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList;` /// @@ -27408,7 +27557,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 692: + /// Semantic action for production 695: /// /// `WithParameterGroupList /* Vec::New */: ;` /// @@ -27424,7 +27573,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 693: + /// Semantic action for production 696: /// /// `WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0;` /// @@ -27468,7 +27617,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 694: + /// Semantic action for production 697: /// /// `WithParameterItemGroup0: ArrayType Equ Expression;` /// @@ -27498,7 +27647,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 695: + /// Semantic action for production 698: /// /// `WithParameterItemGroup0: Type Equ TypeExpression;` /// @@ -27528,7 +27677,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 696: + /// Semantic action for production 699: /// /// `WithParameterItemGroup: Param;` /// @@ -27549,7 +27698,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 697: + /// Semantic action for production 700: /// /// `WithParameterItemGroup: Local;` /// @@ -27570,7 +27719,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 698: + /// Semantic action for production 701: /// /// `PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen;` /// @@ -27599,7 +27748,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 699: + /// Semantic action for production 702: /// /// `PortDeclarationOpt /* Option::Some */: PortDeclarationList;` /// @@ -27619,7 +27768,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 700: + /// Semantic action for production 703: /// /// `PortDeclarationOpt /* Option::None */: ;` /// @@ -27631,7 +27780,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 701: + /// Semantic action for production 704: /// /// `PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */;` /// @@ -27673,7 +27822,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 702: + /// Semantic action for production 705: /// /// `PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList;` /// @@ -27708,7 +27857,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 703: + /// Semantic action for production 706: /// /// `PortDeclarationListList /* Vec::New */: ;` /// @@ -27724,7 +27873,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 704: + /// Semantic action for production 707: /// /// `PortDeclarationListOpt /* Option::Some */: Comma;` /// @@ -27743,7 +27892,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 705: + /// Semantic action for production 708: /// /// `PortDeclarationListOpt /* Option::None */: ;` /// @@ -27755,7 +27904,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 706: + /// Semantic action for production 709: /// /// `PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup;` /// @@ -27793,7 +27942,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 707: + /// Semantic action for production 710: /// /// `PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace;` /// @@ -27827,7 +27976,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 708: + /// Semantic action for production 711: /// /// `PortDeclarationGroupGroup: PortDeclarationItem;` /// @@ -27852,7 +28001,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 709: + /// Semantic action for production 712: /// /// `PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList;` /// @@ -27883,7 +28032,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 710: + /// Semantic action for production 713: /// /// `PortDeclarationGroupList /* Vec::New */: ;` /// @@ -27899,7 +28048,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 711: + /// Semantic action for production 714: /// /// `PortDeclarationItem: Identifier Colon PortDeclarationItemGroup;` /// @@ -27935,7 +28084,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 712: + /// Semantic action for production 715: /// /// `PortDeclarationItemGroup: Direction ArrayType;` /// @@ -27962,7 +28111,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 713: + /// Semantic action for production 716: /// /// `PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */;` /// @@ -27997,7 +28146,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 714: + /// Semantic action for production 717: /// /// `PortDeclarationItemOpt /* Option::Some */: Array;` /// @@ -28016,7 +28165,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 715: + /// Semantic action for production 718: /// /// `PortDeclarationItemOpt /* Option::None */: ;` /// @@ -28028,7 +28177,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 716: + /// Semantic action for production 719: /// /// `Direction: Input;` /// @@ -28047,7 +28196,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 717: + /// Semantic action for production 720: /// /// `Direction: Output;` /// @@ -28066,7 +28215,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 718: + /// Semantic action for production 721: /// /// `Direction: Inout;` /// @@ -28085,7 +28234,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 719: + /// Semantic action for production 722: /// /// `Direction: Ref;` /// @@ -28104,7 +28253,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 720: + /// Semantic action for production 723: /// /// `Direction: Modport;` /// @@ -28123,7 +28272,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 721: + /// Semantic action for production 724: /// /// `FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace;` /// @@ -28189,7 +28338,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 722: + /// Semantic action for production 725: /// /// `FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList;` /// @@ -28220,7 +28369,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 723: + /// Semantic action for production 726: /// /// `FunctionDeclarationList /* Vec::New */: ;` /// @@ -28236,7 +28385,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 724: + /// Semantic action for production 727: /// /// `FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType;` /// @@ -28261,7 +28410,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 725: + /// Semantic action for production 728: /// /// `FunctionDeclarationOpt1 /* Option::None */: ;` /// @@ -28273,7 +28422,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 726: + /// Semantic action for production 729: /// /// `FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration;` /// @@ -28292,7 +28441,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 727: + /// Semantic action for production 730: /// /// `FunctionDeclarationOpt0 /* Option::None */: ;` /// @@ -28304,7 +28453,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 728: + /// Semantic action for production 731: /// /// `FunctionDeclarationOpt /* Option::Some */: WithParameter;` /// @@ -28323,7 +28472,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 729: + /// Semantic action for production 732: /// /// `FunctionDeclarationOpt /* Option::None */: ;` /// @@ -28335,7 +28484,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 730: + /// Semantic action for production 733: /// /// `FunctionItem: VarDeclaration;` /// @@ -28354,7 +28503,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 731: + /// Semantic action for production 734: /// /// `FunctionItem: Statement;` /// @@ -28373,7 +28522,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 732: + /// Semantic action for production 735: /// /// `ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon;` /// @@ -28408,7 +28557,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 733: + /// Semantic action for production 736: /// /// `ImportDeclarationOpt /* Option::Some */: ColonColon Star;` /// @@ -28433,7 +28582,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 734: + /// Semantic action for production 737: /// /// `ImportDeclarationOpt /* Option::None */: ;` /// @@ -28445,7 +28594,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 735: + /// Semantic action for production 738: /// /// `ExportDeclaration: Export ExportDeclarationGroup Semicolon;` /// @@ -28481,7 +28630,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 736: + /// Semantic action for production 739: /// /// `ExportDeclarationGroup: Star;` /// @@ -28502,7 +28651,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 737: + /// Semantic action for production 740: /// /// `ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */;` /// @@ -28533,7 +28682,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 738: + /// Semantic action for production 741: /// /// `ExportDeclarationOpt /* Option::Some */: ColonColon Star;` /// @@ -28558,7 +28707,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 739: + /// Semantic action for production 742: /// /// `ExportDeclarationOpt /* Option::None */: ;` /// @@ -28570,7 +28719,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 740: + /// Semantic action for production 743: /// /// `ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace;` /// @@ -28632,7 +28781,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 741: + /// Semantic action for production 744: /// /// `ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList;` /// @@ -28663,7 +28812,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 742: + /// Semantic action for production 745: /// /// `ModuleDeclarationList /* Vec::New */: ;` /// @@ -28679,7 +28828,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 743: + /// Semantic action for production 746: /// /// `ModuleDeclarationOpt1 /* Option::Some */: PortDeclaration;` /// @@ -28698,7 +28847,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 744: + /// Semantic action for production 747: /// /// `ModuleDeclarationOpt1 /* Option::None */: ;` /// @@ -28710,7 +28859,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 745: + /// Semantic action for production 748: /// /// `ModuleDeclarationOpt0 /* Option::Some */: WithParameter;` /// @@ -28729,7 +28878,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 746: + /// Semantic action for production 749: /// /// `ModuleDeclarationOpt0 /* Option::None */: ;` /// @@ -28741,7 +28890,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 747: + /// Semantic action for production 750: /// /// `ModuleDeclarationOpt /* Option::Some */: Pub;` /// @@ -28760,7 +28909,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 748: + /// Semantic action for production 751: /// /// `ModuleDeclarationOpt /* Option::None */: ;` /// @@ -28772,7 +28921,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 749: + /// Semantic action for production 752: /// /// `ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */;` /// @@ -28819,7 +28968,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 750: + /// Semantic action for production 753: /// /// `ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList;` /// @@ -28864,7 +29013,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 751: + /// Semantic action for production 754: /// /// `ModuleIfDeclarationList /* Vec::New */: ;` /// @@ -28880,7 +29029,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 752: + /// Semantic action for production 755: /// /// `ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock;` /// @@ -28910,7 +29059,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 753: + /// Semantic action for production 756: /// /// `ModuleIfDeclarationOpt /* Option::None */: ;` /// @@ -28922,7 +29071,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 754: + /// Semantic action for production 757: /// /// `ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock;` /// @@ -28967,7 +29116,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 755: + /// Semantic action for production 758: /// /// `ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -28995,7 +29144,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 756: + /// Semantic action for production 759: /// /// `ModuleForDeclarationOpt /* Option::None */: ;` /// @@ -29007,7 +29156,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 757: + /// Semantic action for production 760: /// /// `ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace;` /// @@ -29042,7 +29191,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 758: + /// Semantic action for production 761: /// /// `ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList;` /// @@ -29069,7 +29218,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 759: + /// Semantic action for production 762: /// /// `ModuleNamedBlockList /* Vec::New */: ;` /// @@ -29085,7 +29234,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 760: + /// Semantic action for production 763: /// /// `ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace;` /// @@ -29129,7 +29278,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 761: + /// Semantic action for production 764: /// /// `ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList;` /// @@ -29160,7 +29309,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 762: + /// Semantic action for production 765: /// /// `ModuleOptionalNamedBlockList /* Vec::New */: ;` /// @@ -29176,7 +29325,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 763: + /// Semantic action for production 766: /// /// `ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier;` /// @@ -29201,7 +29350,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 764: + /// Semantic action for production 767: /// /// `ModuleOptionalNamedBlockOpt /* Option::None */: ;` /// @@ -29213,7 +29362,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 765: + /// Semantic action for production 768: /// /// `ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup;` /// @@ -29238,7 +29387,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 766: + /// Semantic action for production 769: /// /// `ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace;` /// @@ -29269,7 +29418,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 767: + /// Semantic action for production 770: /// /// `ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList;` /// @@ -29296,7 +29445,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 768: + /// Semantic action for production 771: /// /// `ModuleGroupGroupList /* Vec::New */: ;` /// @@ -29312,7 +29461,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 769: + /// Semantic action for production 772: /// /// `ModuleGroupGroup: ModuleItem;` /// @@ -29332,7 +29481,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 770: + /// Semantic action for production 773: /// /// `ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList;` /// @@ -29355,7 +29504,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 771: + /// Semantic action for production 774: /// /// `ModuleGroupList /* Vec::New */: ;` /// @@ -29368,7 +29517,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 772: + /// Semantic action for production 775: /// /// `ModuleItem: LetDeclaration;` /// @@ -29387,7 +29536,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 773: + /// Semantic action for production 776: /// /// `ModuleItem: VarDeclaration;` /// @@ -29406,7 +29555,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 774: + /// Semantic action for production 777: /// /// `ModuleItem: InstDeclaration;` /// @@ -29425,7 +29574,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 775: + /// Semantic action for production 778: /// /// `ModuleItem: TypeDefDeclaration;` /// @@ -29445,7 +29594,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 776: + /// Semantic action for production 779: /// /// `ModuleItem: LocalDeclaration;` /// @@ -29464,7 +29613,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 777: + /// Semantic action for production 780: /// /// `ModuleItem: AlwaysFfDeclaration;` /// @@ -29484,7 +29633,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 778: + /// Semantic action for production 781: /// /// `ModuleItem: AlwaysCombDeclaration;` /// @@ -29508,7 +29657,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 779: + /// Semantic action for production 782: /// /// `ModuleItem: AssignDeclaration;` /// @@ -29527,7 +29676,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 780: + /// Semantic action for production 783: /// /// `ModuleItem: FunctionDeclaration;` /// @@ -29547,7 +29696,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 781: + /// Semantic action for production 784: /// /// `ModuleItem: ModuleIfDeclaration;` /// @@ -29567,7 +29716,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 782: + /// Semantic action for production 785: /// /// `ModuleItem: ModuleForDeclaration;` /// @@ -29587,7 +29736,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 783: + /// Semantic action for production 786: /// /// `ModuleItem: EnumDeclaration;` /// @@ -29606,7 +29755,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 784: + /// Semantic action for production 787: /// /// `ModuleItem: StructUnionDeclaration;` /// @@ -29630,7 +29779,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 785: + /// Semantic action for production 788: /// /// `ModuleItem: ModuleNamedBlock;` /// @@ -29649,7 +29798,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 786: + /// Semantic action for production 789: /// /// `ModuleItem: ImportDeclaration;` /// @@ -29668,7 +29817,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 787: + /// Semantic action for production 790: /// /// `ModuleItem: InitialDeclaration;` /// @@ -29687,7 +29836,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 788: + /// Semantic action for production 791: /// /// `ModuleItem: FinalDeclaration;` /// @@ -29706,7 +29855,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 789: + /// Semantic action for production 792: /// /// `InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace;` /// @@ -29764,7 +29913,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 790: + /// Semantic action for production 793: /// /// `InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList;` /// @@ -29795,7 +29944,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 791: + /// Semantic action for production 794: /// /// `InterfaceDeclarationList /* Vec::New */: ;` /// @@ -29811,7 +29960,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 792: + /// Semantic action for production 795: /// /// `InterfaceDeclarationOpt0 /* Option::Some */: WithParameter;` /// @@ -29830,7 +29979,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 793: + /// Semantic action for production 796: /// /// `InterfaceDeclarationOpt0 /* Option::None */: ;` /// @@ -29842,7 +29991,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 794: + /// Semantic action for production 797: /// /// `InterfaceDeclarationOpt /* Option::Some */: Pub;` /// @@ -29861,7 +30010,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 795: + /// Semantic action for production 798: /// /// `InterfaceDeclarationOpt /* Option::None */: ;` /// @@ -29873,7 +30022,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 796: + /// Semantic action for production 799: /// /// `InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */;` /// @@ -29921,7 +30070,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 797: + /// Semantic action for production 800: /// /// `InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList;` /// @@ -29966,7 +30115,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 798: + /// Semantic action for production 801: /// /// `InterfaceIfDeclarationList /* Vec::New */: ;` /// @@ -29982,7 +30131,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 799: + /// Semantic action for production 802: /// /// `InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock;` /// @@ -30012,7 +30161,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 800: + /// Semantic action for production 803: /// /// `InterfaceIfDeclarationOpt /* Option::None */: ;` /// @@ -30024,7 +30173,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 801: + /// Semantic action for production 804: /// /// `InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock;` /// @@ -30070,7 +30219,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 802: + /// Semantic action for production 805: /// /// `InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -30098,7 +30247,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 803: + /// Semantic action for production 806: /// /// `InterfaceForDeclarationOpt /* Option::None */: ;` /// @@ -30110,7 +30259,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 804: + /// Semantic action for production 807: /// /// `InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace;` /// @@ -30152,7 +30301,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 805: + /// Semantic action for production 808: /// /// `InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList;` /// @@ -30183,7 +30332,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 806: + /// Semantic action for production 809: /// /// `InterfaceNamedBlockList /* Vec::New */: ;` /// @@ -30199,7 +30348,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 807: + /// Semantic action for production 810: /// /// `InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace;` /// @@ -30243,7 +30392,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 808: + /// Semantic action for production 811: /// /// `InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList;` /// @@ -30274,7 +30423,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 809: + /// Semantic action for production 812: /// /// `InterfaceOptionalNamedBlockList /* Vec::New */: ;` /// @@ -30290,7 +30439,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 810: + /// Semantic action for production 813: /// /// `InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier;` /// @@ -30317,7 +30466,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 811: + /// Semantic action for production 814: /// /// `InterfaceOptionalNamedBlockOpt /* Option::None */: ;` /// @@ -30329,7 +30478,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 812: + /// Semantic action for production 815: /// /// `InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup;` /// @@ -30355,7 +30504,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 813: + /// Semantic action for production 816: /// /// `InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace;` /// @@ -30391,7 +30540,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 814: + /// Semantic action for production 817: /// /// `InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList;` /// @@ -30422,7 +30571,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 815: + /// Semantic action for production 818: /// /// `InterfaceGroupGroupList /* Vec::New */: ;` /// @@ -30438,7 +30587,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 816: + /// Semantic action for production 819: /// /// `InterfaceGroupGroup: InterfaceItem;` /// @@ -30459,7 +30608,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 817: + /// Semantic action for production 820: /// /// `InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList;` /// @@ -30483,7 +30632,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 818: + /// Semantic action for production 821: /// /// `InterfaceGroupList /* Vec::New */: ;` /// @@ -30499,7 +30648,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 819: + /// Semantic action for production 822: /// /// `InterfaceItem: LetDeclaration;` /// @@ -30518,7 +30667,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 820: + /// Semantic action for production 823: /// /// `InterfaceItem: VarDeclaration;` /// @@ -30537,7 +30686,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 821: + /// Semantic action for production 824: /// /// `InterfaceItem: LocalDeclaration;` /// @@ -30556,7 +30705,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 822: + /// Semantic action for production 825: /// /// `InterfaceItem: ModportDeclaration;` /// @@ -30575,7 +30724,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 823: + /// Semantic action for production 826: /// /// `InterfaceItem: InterfaceIfDeclaration;` /// @@ -30599,7 +30748,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 824: + /// Semantic action for production 827: /// /// `InterfaceItem: InterfaceForDeclaration;` /// @@ -30623,7 +30772,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 825: + /// Semantic action for production 828: /// /// `InterfaceItem: TypeDefDeclaration;` /// @@ -30643,7 +30792,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 826: + /// Semantic action for production 829: /// /// `InterfaceItem: EnumDeclaration;` /// @@ -30662,7 +30811,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 827: + /// Semantic action for production 830: /// /// `InterfaceItem: StructUnionDeclaration;` /// @@ -30686,7 +30835,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 828: + /// Semantic action for production 831: /// /// `InterfaceItem: InterfaceNamedBlock;` /// @@ -30706,7 +30855,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 829: + /// Semantic action for production 832: /// /// `InterfaceItem: FunctionDeclaration;` /// @@ -30726,7 +30875,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 830: + /// Semantic action for production 833: /// /// `InterfaceItem: ImportDeclaration;` /// @@ -30745,7 +30894,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 831: + /// Semantic action for production 834: /// /// `InterfaceItem: InitialDeclaration;` /// @@ -30764,7 +30913,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 832: + /// Semantic action for production 835: /// /// `InterfaceItem: FinalDeclaration;` /// @@ -30783,7 +30932,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 833: + /// Semantic action for production 836: /// /// `PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier LBrace PackageDeclarationList /* Vec */ RBrace;` /// @@ -30833,7 +30982,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 834: + /// Semantic action for production 837: /// /// `PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList;` /// @@ -30864,7 +31013,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 835: + /// Semantic action for production 838: /// /// `PackageDeclarationList /* Vec::New */: ;` /// @@ -30880,7 +31029,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 836: + /// Semantic action for production 839: /// /// `PackageDeclarationOpt /* Option::Some */: Pub;` /// @@ -30899,7 +31048,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 837: + /// Semantic action for production 840: /// /// `PackageDeclarationOpt /* Option::None */: ;` /// @@ -30911,7 +31060,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 838: + /// Semantic action for production 841: /// /// `PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup;` /// @@ -30936,7 +31085,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 839: + /// Semantic action for production 842: /// /// `PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace;` /// @@ -30971,7 +31120,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 840: + /// Semantic action for production 843: /// /// `PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList;` /// @@ -31002,7 +31151,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 841: + /// Semantic action for production 844: /// /// `PackageGroupGroupList /* Vec::New */: ;` /// @@ -31018,7 +31167,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 842: + /// Semantic action for production 845: /// /// `PackageGroupGroup: PackageItem;` /// @@ -31039,7 +31188,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 843: + /// Semantic action for production 846: /// /// `PackageGroupList /* Vec::Push */: Attribute PackageGroupList;` /// @@ -31062,7 +31211,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 844: + /// Semantic action for production 847: /// /// `PackageGroupList /* Vec::New */: ;` /// @@ -31078,7 +31227,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 845: + /// Semantic action for production 848: /// /// `PackageItem: VarDeclaration;` /// @@ -31097,7 +31246,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 846: + /// Semantic action for production 849: /// /// `PackageItem: LocalDeclaration;` /// @@ -31116,7 +31265,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 847: + /// Semantic action for production 850: /// /// `PackageItem: TypeDefDeclaration;` /// @@ -31136,7 +31285,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 848: + /// Semantic action for production 851: /// /// `PackageItem: EnumDeclaration;` /// @@ -31155,7 +31304,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 849: + /// Semantic action for production 852: /// /// `PackageItem: StructUnionDeclaration;` /// @@ -31179,7 +31328,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 850: + /// Semantic action for production 853: /// /// `PackageItem: FunctionDeclaration;` /// @@ -31199,7 +31348,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 851: + /// Semantic action for production 854: /// /// `PackageItem: ImportDeclaration;` /// @@ -31218,7 +31367,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 852: + /// Semantic action for production 855: /// /// `PackageItem: ExportDeclaration;` /// @@ -31237,7 +31386,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 853: + /// Semantic action for production 856: /// /// `PackageItem: InitialDeclaration;` /// @@ -31256,7 +31405,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 854: + /// Semantic action for production 857: /// /// `PackageItem: FinalDeclaration;` /// @@ -31275,7 +31424,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 855: + /// Semantic action for production 858: /// /// `EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent;` /// @@ -31312,7 +31461,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 856: + /// Semantic action for production 859: /// /// `EmbedContent: EmbedContentToken : VerylToken;` /// @@ -31332,7 +31481,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 857: + /// Semantic action for production 860: /// /// `EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm %pop();` /// @@ -31380,7 +31529,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 858: + /// Semantic action for production 861: /// /// `EmbedContentTokenList /* Vec::Push */: EmbedItem EmbedContentTokenList;` /// @@ -31411,7 +31560,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 859: + /// Semantic action for production 862: /// /// `EmbedContentTokenList /* Vec::New */: ;` /// @@ -31427,7 +31576,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 860: + /// Semantic action for production 863: /// /// `EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm;` /// @@ -31455,7 +31604,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 861: + /// Semantic action for production 864: /// /// `EmbedItemList /* Vec::Push */: EmbedItem EmbedItemList;` /// @@ -31478,7 +31627,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 862: + /// Semantic action for production 865: /// /// `EmbedItemList /* Vec::New */: ;` /// @@ -31491,7 +31640,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 863: + /// Semantic action for production 866: /// /// `EmbedItem: AnyTerm;` /// @@ -31510,7 +31659,50 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 864: + /// Semantic action for production 867: + /// + /// `IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon;` + /// + #[parol_runtime::function_name::named] + fn include_declaration( + &mut self, + _include: &ParseTreeType<'t>, + _l_paren: &ParseTreeType<'t>, + _identifier: &ParseTreeType<'t>, + _comma: &ParseTreeType<'t>, + _string_literal: &ParseTreeType<'t>, + _r_paren: &ParseTreeType<'t>, + _semicolon: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let semicolon = pop_item!(self, semicolon, Semicolon, context); + let r_paren = pop_item!(self, r_paren, RParen, context); + let string_literal = pop_item!(self, string_literal, StringLiteral, context); + let comma = pop_item!(self, comma, Comma, context); + let identifier = pop_item!(self, identifier, Identifier, context); + let l_paren = pop_item!(self, l_paren, LParen, context); + let include = pop_item!(self, include, Include, context); + let include_declaration_built = IncludeDeclaration { + include: Box::new(include), + l_paren: Box::new(l_paren), + identifier: Box::new(identifier), + comma: Box::new(comma), + string_literal: Box::new(string_literal), + r_paren: Box::new(r_paren), + semicolon: Box::new(semicolon), + }; + // Calling user action here + self.user_grammar + .include_declaration(&include_declaration_built)?; + self.push( + ASTType::IncludeDeclaration(include_declaration_built), + context, + ); + Ok(()) + } + + /// Semantic action for production 868: /// /// `DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup;` /// @@ -31541,7 +31733,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 865: + /// Semantic action for production 869: /// /// `DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace;` /// @@ -31579,7 +31771,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 866: + /// Semantic action for production 870: /// /// `DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList;` /// @@ -31610,7 +31802,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 867: + /// Semantic action for production 871: /// /// `DescriptionGroupGroupList /* Vec::New */: ;` /// @@ -31626,7 +31818,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 868: + /// Semantic action for production 872: /// /// `DescriptionGroupGroup: DescriptionItem;` /// @@ -31647,7 +31839,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 869: + /// Semantic action for production 873: /// /// `DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList;` /// @@ -31674,7 +31866,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 870: + /// Semantic action for production 874: /// /// `DescriptionGroupList /* Vec::New */: ;` /// @@ -31690,7 +31882,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 871: + /// Semantic action for production 875: /// /// `DescriptionItem: ModuleDeclaration;` /// @@ -31710,7 +31902,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 872: + /// Semantic action for production 876: /// /// `DescriptionItem: InterfaceDeclaration;` /// @@ -31732,7 +31924,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 873: + /// Semantic action for production 877: /// /// `DescriptionItem: PackageDeclaration;` /// @@ -31753,7 +31945,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 874: + /// Semantic action for production 878: /// /// `DescriptionItem: ImportDeclaration;` /// @@ -31773,7 +31965,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 875: + /// Semantic action for production 879: /// /// `DescriptionItem: EmbedDeclaration;` /// @@ -31793,7 +31985,28 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 876: + /// Semantic action for production 880: + /// + /// `DescriptionItem: IncludeDeclaration;` + /// + #[parol_runtime::function_name::named] + fn description_item_5(&mut self, _include_declaration: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let include_declaration = pop_item!(self, include_declaration, IncludeDeclaration, context); + let description_item_5_built = DescriptionItemIncludeDeclaration { + include_declaration: Box::new(include_declaration), + }; + let description_item_5_built = + DescriptionItem::IncludeDeclaration(description_item_5_built); + // Calling user action here + self.user_grammar + .description_item(&description_item_5_built)?; + self.push(ASTType::DescriptionItem(description_item_5_built), context); + Ok(()) + } + + /// Semantic action for production 881: /// /// `Veryl: Start VerylList /* Vec */;` /// @@ -31813,7 +32026,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 877: + /// Semantic action for production 882: /// /// `VerylList /* Vec::Push */: DescriptionGroup VerylList;` /// @@ -31836,7 +32049,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 878: + /// Semantic action for production 883: /// /// `VerylList /* Vec::New */: ;` /// @@ -31925,399 +32138,402 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { 62 => self.if_reset_term(&children[0]), 63 => self.if_term(&children[0]), 64 => self.import_term(&children[0]), - 65 => self.initial_term(&children[0]), - 66 => self.inout_term(&children[0]), - 67 => self.input_term(&children[0]), - 68 => self.inside_term(&children[0]), - 69 => self.inst_term(&children[0]), - 70 => self.interface_term(&children[0]), - 71 => self.in_term(&children[0]), - 72 => self.let_term(&children[0]), - 73 => self.local_term(&children[0]), - 74 => self.logic_term(&children[0]), - 75 => self.lsb_term(&children[0]), - 76 => self.modport_term(&children[0]), - 77 => self.module_term(&children[0]), - 78 => self.msb_term(&children[0]), - 79 => self.negedge_term(&children[0]), - 80 => self.output_term(&children[0]), - 81 => self.outside_term(&children[0]), - 82 => self.package_term(&children[0]), - 83 => self.param_term(&children[0]), - 84 => self.posedge_term(&children[0]), - 85 => self.pub_term(&children[0]), - 86 => self.ref_term(&children[0]), - 87 => self.repeat_term(&children[0]), - 88 => self.return_term(&children[0]), - 89 => self.break_term(&children[0]), - 90 => self.signed_term(&children[0]), - 91 => self.step_term(&children[0]), - 92 => self.string_term(&children[0]), - 93 => self.struct_term(&children[0]), - 94 => self.sync_high_term(&children[0]), - 95 => self.sync_low_term(&children[0]), - 96 => self.tri_term(&children[0]), - 97 => self.type_term(&children[0]), - 98 => self.u32_term(&children[0]), - 99 => self.u64_term(&children[0]), - 100 => self.union_term(&children[0]), - 101 => self.var_term(&children[0]), - 102 => self.dollar_identifier_term(&children[0]), - 103 => self.identifier_term(&children[0]), - 104 => self.any_term(&children[0]), - 105 => self.comments(&children[0]), - 106 => self.comments_opt_0(&children[0]), - 107 => self.comments_opt_1(), - 108 => self.start_token(&children[0]), - 109 => self.string_literal_token(&children[0], &children[1]), - 110 => self.exponent_token(&children[0], &children[1]), - 111 => self.fixed_point_token(&children[0], &children[1]), - 112 => self.based_token(&children[0], &children[1]), - 113 => self.base_less_token(&children[0], &children[1]), - 114 => self.all_bit_token(&children[0], &children[1]), - 115 => self.assignment_operator_token(&children[0], &children[1]), - 116 => self.operator01_token(&children[0], &children[1]), - 117 => self.operator02_token(&children[0], &children[1]), - 118 => self.operator03_token(&children[0], &children[1]), - 119 => self.operator04_token(&children[0], &children[1]), - 120 => self.operator05_token(&children[0], &children[1]), - 121 => self.operator06_token(&children[0], &children[1]), - 122 => self.operator07_token(&children[0], &children[1]), - 123 => self.operator08_token(&children[0], &children[1]), - 124 => self.operator09_token(&children[0], &children[1]), - 125 => self.operator10_token(&children[0], &children[1]), - 126 => self.operator11_token(&children[0], &children[1]), - 127 => self.unary_operator_token(&children[0], &children[1]), - 128 => self.colon_token(&children[0], &children[1]), - 129 => self.colon_colon_token(&children[0], &children[1]), - 130 => self.comma_token(&children[0], &children[1]), - 131 => self.dot_dot_token(&children[0], &children[1]), - 132 => self.dot_dot_equ_token(&children[0], &children[1]), - 133 => self.dot_token(&children[0], &children[1]), - 134 => self.equ_token(&children[0], &children[1]), - 135 => self.hash_token(&children[0], &children[1]), - 136 => self.quote_l_brace_token(&children[0], &children[1]), - 137 => self.l_angle_token(&children[0], &children[1]), - 138 => self.l_brace_token(&children[0], &children[1]), - 139 => self.l_bracket_token(&children[0], &children[1]), - 140 => self.l_paren_token(&children[0], &children[1]), - 141 => self.minus_colon_token(&children[0], &children[1]), - 142 => self.minus_g_t_token(&children[0], &children[1]), - 143 => self.plus_colon_token(&children[0], &children[1]), - 144 => self.r_angle_token(&children[0], &children[1]), - 145 => self.r_brace_token(&children[0], &children[1]), - 146 => self.r_bracket_token(&children[0], &children[1]), - 147 => self.r_paren_token(&children[0], &children[1]), - 148 => self.semicolon_token(&children[0], &children[1]), - 149 => self.star_token(&children[0], &children[1]), - 150 => self.always_comb_token(&children[0], &children[1]), - 151 => self.always_ff_token(&children[0], &children[1]), - 152 => self.as_token(&children[0], &children[1]), - 153 => self.assign_token(&children[0], &children[1]), - 154 => self.async_high_token(&children[0], &children[1]), - 155 => self.async_low_token(&children[0], &children[1]), - 156 => self.bit_token(&children[0], &children[1]), - 157 => self.case_token(&children[0], &children[1]), - 158 => self.default_token(&children[0], &children[1]), - 159 => self.else_token(&children[0], &children[1]), - 160 => self.embed_token(&children[0], &children[1]), - 161 => self.enum_token(&children[0], &children[1]), - 162 => self.export_token(&children[0], &children[1]), - 163 => self.f32_token(&children[0], &children[1]), - 164 => self.f64_token(&children[0], &children[1]), - 165 => self.final_token(&children[0], &children[1]), - 166 => self.for_token(&children[0], &children[1]), - 167 => self.function_token(&children[0], &children[1]), - 168 => self.i32_token(&children[0], &children[1]), - 169 => self.i64_token(&children[0], &children[1]), - 170 => self.if_reset_token(&children[0], &children[1]), - 171 => self.if_token(&children[0], &children[1]), - 172 => self.import_token(&children[0], &children[1]), - 173 => self.initial_token(&children[0], &children[1]), - 174 => self.inout_token(&children[0], &children[1]), - 175 => self.input_token(&children[0], &children[1]), - 176 => self.inside_token(&children[0], &children[1]), - 177 => self.inst_token(&children[0], &children[1]), - 178 => self.interface_token(&children[0], &children[1]), - 179 => self.in_token(&children[0], &children[1]), - 180 => self.let_token(&children[0], &children[1]), - 181 => self.local_token(&children[0], &children[1]), - 182 => self.logic_token(&children[0], &children[1]), - 183 => self.lsb_token(&children[0], &children[1]), - 184 => self.modport_token(&children[0], &children[1]), - 185 => self.module_token(&children[0], &children[1]), - 186 => self.msb_token(&children[0], &children[1]), - 187 => self.negedge_token(&children[0], &children[1]), - 188 => self.output_token(&children[0], &children[1]), - 189 => self.outside_token(&children[0], &children[1]), - 190 => self.package_token(&children[0], &children[1]), - 191 => self.param_token(&children[0], &children[1]), - 192 => self.posedge_token(&children[0], &children[1]), - 193 => self.pub_token(&children[0], &children[1]), - 194 => self.ref_token(&children[0], &children[1]), - 195 => self.repeat_token(&children[0], &children[1]), - 196 => self.return_token(&children[0], &children[1]), - 197 => self.break_token(&children[0], &children[1]), - 198 => self.signed_token(&children[0], &children[1]), - 199 => self.step_token(&children[0], &children[1]), - 200 => self.string_token(&children[0], &children[1]), - 201 => self.struct_token(&children[0], &children[1]), - 202 => self.sync_high_token(&children[0], &children[1]), - 203 => self.sync_low_token(&children[0], &children[1]), - 204 => self.tri_token(&children[0], &children[1]), - 205 => self.type_token(&children[0], &children[1]), - 206 => self.u32_token(&children[0], &children[1]), - 207 => self.u64_token(&children[0], &children[1]), - 208 => self.union_token(&children[0], &children[1]), - 209 => self.var_token(&children[0], &children[1]), - 210 => self.dollar_identifier_token(&children[0], &children[1]), - 211 => self.identifier_token(&children[0], &children[1]), - 212 => self.start(&children[0]), - 213 => self.string_literal(&children[0]), - 214 => self.exponent(&children[0]), - 215 => self.fixed_point(&children[0]), - 216 => self.based(&children[0]), - 217 => self.base_less(&children[0]), - 218 => self.all_bit(&children[0]), - 219 => self.assignment_operator(&children[0]), - 220 => self.operator01(&children[0]), - 221 => self.operator02(&children[0]), - 222 => self.operator03(&children[0]), - 223 => self.operator04(&children[0]), - 224 => self.operator05(&children[0]), - 225 => self.operator06(&children[0]), - 226 => self.operator07(&children[0]), - 227 => self.operator08(&children[0]), - 228 => self.operator09(&children[0]), - 229 => self.operator10(&children[0]), - 230 => self.operator11(&children[0]), - 231 => self.unary_operator(&children[0]), - 232 => self.colon(&children[0]), - 233 => self.colon_colon(&children[0]), - 234 => self.comma(&children[0]), - 235 => self.dot_dot(&children[0]), - 236 => self.dot_dot_equ(&children[0]), - 237 => self.dot(&children[0]), - 238 => self.equ(&children[0]), - 239 => self.hash(&children[0]), - 240 => self.quote_l_brace(&children[0]), - 241 => self.l_angle(&children[0]), - 242 => self.l_brace(&children[0]), - 243 => self.l_bracket(&children[0]), - 244 => self.l_paren(&children[0]), - 245 => self.minus_colon(&children[0]), - 246 => self.minus_g_t(&children[0]), - 247 => self.plus_colon(&children[0]), - 248 => self.r_angle(&children[0]), - 249 => self.r_brace(&children[0]), - 250 => self.r_bracket(&children[0]), - 251 => self.r_paren(&children[0]), - 252 => self.semicolon(&children[0]), - 253 => self.star(&children[0]), - 254 => self.always_comb(&children[0]), - 255 => self.always_ff(&children[0]), - 256 => self.r#as(&children[0]), - 257 => self.assign(&children[0]), - 258 => self.async_high(&children[0]), - 259 => self.async_low(&children[0]), - 260 => self.bit(&children[0]), - 261 => self.r#break(&children[0]), - 262 => self.case(&children[0]), - 263 => self.defaul(&children[0]), - 264 => self.r#else(&children[0]), - 265 => self.embed(&children[0]), - 266 => self.r#enum(&children[0]), - 267 => self.export(&children[0]), - 268 => self.f32(&children[0]), - 269 => self.f64(&children[0]), - 270 => self.r#final(&children[0]), - 271 => self.r#for(&children[0]), - 272 => self.function(&children[0]), - 273 => self.i32(&children[0]), - 274 => self.i64(&children[0]), - 275 => self.r#if(&children[0]), - 276 => self.if_reset(&children[0]), - 277 => self.import(&children[0]), - 278 => self.r#in(&children[0]), - 279 => self.initial(&children[0]), - 280 => self.inout(&children[0]), - 281 => self.input(&children[0]), - 282 => self.inside(&children[0]), - 283 => self.inst(&children[0]), - 284 => self.interface(&children[0]), - 285 => self.r#let(&children[0]), - 286 => self.local(&children[0]), - 287 => self.logic(&children[0]), - 288 => self.lsb(&children[0]), - 289 => self.modport(&children[0]), - 290 => self.module(&children[0]), - 291 => self.msb(&children[0]), - 292 => self.negedge(&children[0]), - 293 => self.output(&children[0]), - 294 => self.outside(&children[0]), - 295 => self.package(&children[0]), - 296 => self.param(&children[0]), - 297 => self.posedge(&children[0]), - 298 => self.r#pub(&children[0]), - 299 => self.r#ref(&children[0]), - 300 => self.repeat(&children[0]), - 301 => self.r#return(&children[0]), - 302 => self.signed(&children[0]), - 303 => self.step(&children[0]), - 304 => self.strin(&children[0]), - 305 => self.r#struct(&children[0]), - 306 => self.sync_high(&children[0]), - 307 => self.sync_low(&children[0]), - 308 => self.tri(&children[0]), - 309 => self.r#type(&children[0]), - 310 => self.u32(&children[0]), - 311 => self.u64(&children[0]), - 312 => self.r#union(&children[0]), - 313 => self.var(&children[0]), - 314 => self.dollar_identifier(&children[0]), - 315 => self.identifier(&children[0]), - 316 => self.number_0(&children[0]), - 317 => self.number_1(&children[0]), - 318 => self.integral_number_0(&children[0]), - 319 => self.integral_number_1(&children[0]), - 320 => self.integral_number_2(&children[0]), - 321 => self.real_number_0(&children[0]), - 322 => self.real_number_1(&children[0]), - 323 => self.hierarchical_identifier(&children[0], &children[1], &children[2]), - 324 => self.hierarchical_identifier_list0_0( + 65 => self.include_term(&children[0]), + 66 => self.initial_term(&children[0]), + 67 => self.inout_term(&children[0]), + 68 => self.input_term(&children[0]), + 69 => self.inside_term(&children[0]), + 70 => self.inst_term(&children[0]), + 71 => self.interface_term(&children[0]), + 72 => self.in_term(&children[0]), + 73 => self.let_term(&children[0]), + 74 => self.local_term(&children[0]), + 75 => self.logic_term(&children[0]), + 76 => self.lsb_term(&children[0]), + 77 => self.modport_term(&children[0]), + 78 => self.module_term(&children[0]), + 79 => self.msb_term(&children[0]), + 80 => self.negedge_term(&children[0]), + 81 => self.output_term(&children[0]), + 82 => self.outside_term(&children[0]), + 83 => self.package_term(&children[0]), + 84 => self.param_term(&children[0]), + 85 => self.posedge_term(&children[0]), + 86 => self.pub_term(&children[0]), + 87 => self.ref_term(&children[0]), + 88 => self.repeat_term(&children[0]), + 89 => self.return_term(&children[0]), + 90 => self.break_term(&children[0]), + 91 => self.signed_term(&children[0]), + 92 => self.step_term(&children[0]), + 93 => self.string_term(&children[0]), + 94 => self.struct_term(&children[0]), + 95 => self.sync_high_term(&children[0]), + 96 => self.sync_low_term(&children[0]), + 97 => self.tri_term(&children[0]), + 98 => self.type_term(&children[0]), + 99 => self.u32_term(&children[0]), + 100 => self.u64_term(&children[0]), + 101 => self.union_term(&children[0]), + 102 => self.var_term(&children[0]), + 103 => self.dollar_identifier_term(&children[0]), + 104 => self.identifier_term(&children[0]), + 105 => self.any_term(&children[0]), + 106 => self.comments(&children[0]), + 107 => self.comments_opt_0(&children[0]), + 108 => self.comments_opt_1(), + 109 => self.start_token(&children[0]), + 110 => self.string_literal_token(&children[0], &children[1]), + 111 => self.exponent_token(&children[0], &children[1]), + 112 => self.fixed_point_token(&children[0], &children[1]), + 113 => self.based_token(&children[0], &children[1]), + 114 => self.base_less_token(&children[0], &children[1]), + 115 => self.all_bit_token(&children[0], &children[1]), + 116 => self.assignment_operator_token(&children[0], &children[1]), + 117 => self.operator01_token(&children[0], &children[1]), + 118 => self.operator02_token(&children[0], &children[1]), + 119 => self.operator03_token(&children[0], &children[1]), + 120 => self.operator04_token(&children[0], &children[1]), + 121 => self.operator05_token(&children[0], &children[1]), + 122 => self.operator06_token(&children[0], &children[1]), + 123 => self.operator07_token(&children[0], &children[1]), + 124 => self.operator08_token(&children[0], &children[1]), + 125 => self.operator09_token(&children[0], &children[1]), + 126 => self.operator10_token(&children[0], &children[1]), + 127 => self.operator11_token(&children[0], &children[1]), + 128 => self.unary_operator_token(&children[0], &children[1]), + 129 => self.colon_token(&children[0], &children[1]), + 130 => self.colon_colon_token(&children[0], &children[1]), + 131 => self.comma_token(&children[0], &children[1]), + 132 => self.dot_dot_token(&children[0], &children[1]), + 133 => self.dot_dot_equ_token(&children[0], &children[1]), + 134 => self.dot_token(&children[0], &children[1]), + 135 => self.equ_token(&children[0], &children[1]), + 136 => self.hash_token(&children[0], &children[1]), + 137 => self.quote_l_brace_token(&children[0], &children[1]), + 138 => self.l_angle_token(&children[0], &children[1]), + 139 => self.l_brace_token(&children[0], &children[1]), + 140 => self.l_bracket_token(&children[0], &children[1]), + 141 => self.l_paren_token(&children[0], &children[1]), + 142 => self.minus_colon_token(&children[0], &children[1]), + 143 => self.minus_g_t_token(&children[0], &children[1]), + 144 => self.plus_colon_token(&children[0], &children[1]), + 145 => self.r_angle_token(&children[0], &children[1]), + 146 => self.r_brace_token(&children[0], &children[1]), + 147 => self.r_bracket_token(&children[0], &children[1]), + 148 => self.r_paren_token(&children[0], &children[1]), + 149 => self.semicolon_token(&children[0], &children[1]), + 150 => self.star_token(&children[0], &children[1]), + 151 => self.always_comb_token(&children[0], &children[1]), + 152 => self.always_ff_token(&children[0], &children[1]), + 153 => self.as_token(&children[0], &children[1]), + 154 => self.assign_token(&children[0], &children[1]), + 155 => self.async_high_token(&children[0], &children[1]), + 156 => self.async_low_token(&children[0], &children[1]), + 157 => self.bit_token(&children[0], &children[1]), + 158 => self.case_token(&children[0], &children[1]), + 159 => self.default_token(&children[0], &children[1]), + 160 => self.else_token(&children[0], &children[1]), + 161 => self.embed_token(&children[0], &children[1]), + 162 => self.enum_token(&children[0], &children[1]), + 163 => self.export_token(&children[0], &children[1]), + 164 => self.f32_token(&children[0], &children[1]), + 165 => self.f64_token(&children[0], &children[1]), + 166 => self.final_token(&children[0], &children[1]), + 167 => self.for_token(&children[0], &children[1]), + 168 => self.function_token(&children[0], &children[1]), + 169 => self.i32_token(&children[0], &children[1]), + 170 => self.i64_token(&children[0], &children[1]), + 171 => self.if_reset_token(&children[0], &children[1]), + 172 => self.if_token(&children[0], &children[1]), + 173 => self.import_token(&children[0], &children[1]), + 174 => self.include_token(&children[0], &children[1]), + 175 => self.initial_token(&children[0], &children[1]), + 176 => self.inout_token(&children[0], &children[1]), + 177 => self.input_token(&children[0], &children[1]), + 178 => self.inside_token(&children[0], &children[1]), + 179 => self.inst_token(&children[0], &children[1]), + 180 => self.interface_token(&children[0], &children[1]), + 181 => self.in_token(&children[0], &children[1]), + 182 => self.let_token(&children[0], &children[1]), + 183 => self.local_token(&children[0], &children[1]), + 184 => self.logic_token(&children[0], &children[1]), + 185 => self.lsb_token(&children[0], &children[1]), + 186 => self.modport_token(&children[0], &children[1]), + 187 => self.module_token(&children[0], &children[1]), + 188 => self.msb_token(&children[0], &children[1]), + 189 => self.negedge_token(&children[0], &children[1]), + 190 => self.output_token(&children[0], &children[1]), + 191 => self.outside_token(&children[0], &children[1]), + 192 => self.package_token(&children[0], &children[1]), + 193 => self.param_token(&children[0], &children[1]), + 194 => self.posedge_token(&children[0], &children[1]), + 195 => self.pub_token(&children[0], &children[1]), + 196 => self.ref_token(&children[0], &children[1]), + 197 => self.repeat_token(&children[0], &children[1]), + 198 => self.return_token(&children[0], &children[1]), + 199 => self.break_token(&children[0], &children[1]), + 200 => self.signed_token(&children[0], &children[1]), + 201 => self.step_token(&children[0], &children[1]), + 202 => self.string_token(&children[0], &children[1]), + 203 => self.struct_token(&children[0], &children[1]), + 204 => self.sync_high_token(&children[0], &children[1]), + 205 => self.sync_low_token(&children[0], &children[1]), + 206 => self.tri_token(&children[0], &children[1]), + 207 => self.type_token(&children[0], &children[1]), + 208 => self.u32_token(&children[0], &children[1]), + 209 => self.u64_token(&children[0], &children[1]), + 210 => self.union_token(&children[0], &children[1]), + 211 => self.var_token(&children[0], &children[1]), + 212 => self.dollar_identifier_token(&children[0], &children[1]), + 213 => self.identifier_token(&children[0], &children[1]), + 214 => self.start(&children[0]), + 215 => self.string_literal(&children[0]), + 216 => self.exponent(&children[0]), + 217 => self.fixed_point(&children[0]), + 218 => self.based(&children[0]), + 219 => self.base_less(&children[0]), + 220 => self.all_bit(&children[0]), + 221 => self.assignment_operator(&children[0]), + 222 => self.operator01(&children[0]), + 223 => self.operator02(&children[0]), + 224 => self.operator03(&children[0]), + 225 => self.operator04(&children[0]), + 226 => self.operator05(&children[0]), + 227 => self.operator06(&children[0]), + 228 => self.operator07(&children[0]), + 229 => self.operator08(&children[0]), + 230 => self.operator09(&children[0]), + 231 => self.operator10(&children[0]), + 232 => self.operator11(&children[0]), + 233 => self.unary_operator(&children[0]), + 234 => self.colon(&children[0]), + 235 => self.colon_colon(&children[0]), + 236 => self.comma(&children[0]), + 237 => self.dot_dot(&children[0]), + 238 => self.dot_dot_equ(&children[0]), + 239 => self.dot(&children[0]), + 240 => self.equ(&children[0]), + 241 => self.hash(&children[0]), + 242 => self.quote_l_brace(&children[0]), + 243 => self.l_angle(&children[0]), + 244 => self.l_brace(&children[0]), + 245 => self.l_bracket(&children[0]), + 246 => self.l_paren(&children[0]), + 247 => self.minus_colon(&children[0]), + 248 => self.minus_g_t(&children[0]), + 249 => self.plus_colon(&children[0]), + 250 => self.r_angle(&children[0]), + 251 => self.r_brace(&children[0]), + 252 => self.r_bracket(&children[0]), + 253 => self.r_paren(&children[0]), + 254 => self.semicolon(&children[0]), + 255 => self.star(&children[0]), + 256 => self.always_comb(&children[0]), + 257 => self.always_ff(&children[0]), + 258 => self.r#as(&children[0]), + 259 => self.assign(&children[0]), + 260 => self.async_high(&children[0]), + 261 => self.async_low(&children[0]), + 262 => self.bit(&children[0]), + 263 => self.r#break(&children[0]), + 264 => self.case(&children[0]), + 265 => self.defaul(&children[0]), + 266 => self.r#else(&children[0]), + 267 => self.embed(&children[0]), + 268 => self.r#enum(&children[0]), + 269 => self.export(&children[0]), + 270 => self.f32(&children[0]), + 271 => self.f64(&children[0]), + 272 => self.r#final(&children[0]), + 273 => self.r#for(&children[0]), + 274 => self.function(&children[0]), + 275 => self.i32(&children[0]), + 276 => self.i64(&children[0]), + 277 => self.r#if(&children[0]), + 278 => self.if_reset(&children[0]), + 279 => self.import(&children[0]), + 280 => self.r#in(&children[0]), + 281 => self.include(&children[0]), + 282 => self.initial(&children[0]), + 283 => self.inout(&children[0]), + 284 => self.input(&children[0]), + 285 => self.inside(&children[0]), + 286 => self.inst(&children[0]), + 287 => self.interface(&children[0]), + 288 => self.r#let(&children[0]), + 289 => self.local(&children[0]), + 290 => self.logic(&children[0]), + 291 => self.lsb(&children[0]), + 292 => self.modport(&children[0]), + 293 => self.module(&children[0]), + 294 => self.msb(&children[0]), + 295 => self.negedge(&children[0]), + 296 => self.output(&children[0]), + 297 => self.outside(&children[0]), + 298 => self.package(&children[0]), + 299 => self.param(&children[0]), + 300 => self.posedge(&children[0]), + 301 => self.r#pub(&children[0]), + 302 => self.r#ref(&children[0]), + 303 => self.repeat(&children[0]), + 304 => self.r#return(&children[0]), + 305 => self.signed(&children[0]), + 306 => self.step(&children[0]), + 307 => self.strin(&children[0]), + 308 => self.r#struct(&children[0]), + 309 => self.sync_high(&children[0]), + 310 => self.sync_low(&children[0]), + 311 => self.tri(&children[0]), + 312 => self.r#type(&children[0]), + 313 => self.u32(&children[0]), + 314 => self.u64(&children[0]), + 315 => self.r#union(&children[0]), + 316 => self.var(&children[0]), + 317 => self.dollar_identifier(&children[0]), + 318 => self.identifier(&children[0]), + 319 => self.number_0(&children[0]), + 320 => self.number_1(&children[0]), + 321 => self.integral_number_0(&children[0]), + 322 => self.integral_number_1(&children[0]), + 323 => self.integral_number_2(&children[0]), + 324 => self.real_number_0(&children[0]), + 325 => self.real_number_1(&children[0]), + 326 => self.hierarchical_identifier(&children[0], &children[1], &children[2]), + 327 => self.hierarchical_identifier_list0_0( &children[0], &children[1], &children[2], &children[3], ), - 325 => self.hierarchical_identifier_list0_list_0(&children[0], &children[1]), - 326 => self.hierarchical_identifier_list0_list_1(), - 327 => self.hierarchical_identifier_list0_1(), - 328 => self.hierarchical_identifier_list_0(&children[0], &children[1]), - 329 => self.hierarchical_identifier_list_1(), - 330 => self.scoped_identifier(&children[0], &children[1]), - 331 => self.scoped_identifier_group_0(&children[0]), - 332 => self.scoped_identifier_group_1(&children[0]), - 333 => self.scoped_identifier_list_0(&children[0], &children[1], &children[2]), - 334 => self.scoped_identifier_list_1(), - 335 => self.expression_identifier(&children[0], &children[1]), - 336 => self.expression_identifier_group0_0(&children[0]), - 337 => self.expression_identifier_group0_1(&children[0]), - 338 => self.expression_identifier_group_0(&children[0]), - 339 => self.expression_identifier_group_1(&children[0]), - 340 => self.expression_identifier_scoped( + 328 => self.hierarchical_identifier_list0_list_0(&children[0], &children[1]), + 329 => self.hierarchical_identifier_list0_list_1(), + 330 => self.hierarchical_identifier_list0_1(), + 331 => self.hierarchical_identifier_list_0(&children[0], &children[1]), + 332 => self.hierarchical_identifier_list_1(), + 333 => self.scoped_identifier(&children[0], &children[1]), + 334 => self.scoped_identifier_group_0(&children[0]), + 335 => self.scoped_identifier_group_1(&children[0]), + 336 => self.scoped_identifier_list_0(&children[0], &children[1], &children[2]), + 337 => self.scoped_identifier_list_1(), + 338 => self.expression_identifier(&children[0], &children[1]), + 339 => self.expression_identifier_group0_0(&children[0]), + 340 => self.expression_identifier_group0_1(&children[0]), + 341 => self.expression_identifier_group_0(&children[0]), + 342 => self.expression_identifier_group_1(&children[0]), + 343 => self.expression_identifier_scoped( &children[0], &children[1], &children[2], &children[3], ), - 341 => self.expression_identifier_scoped_list0_0(&children[0], &children[1]), - 342 => self.expression_identifier_scoped_list0_1(), - 343 => { + 344 => self.expression_identifier_scoped_list0_0(&children[0], &children[1]), + 345 => self.expression_identifier_scoped_list0_1(), + 346 => { self.expression_identifier_scoped_list_0(&children[0], &children[1], &children[2]) } - 344 => self.expression_identifier_scoped_list_1(), - 345 => self.expression_identifier_member(&children[0], &children[1]), - 346 => self.expression_identifier_member_list0_0( + 347 => self.expression_identifier_scoped_list_1(), + 348 => self.expression_identifier_member(&children[0], &children[1]), + 349 => self.expression_identifier_member_list0_0( &children[0], &children[1], &children[2], &children[3], ), - 347 => self.expression_identifier_member_list0_list_0(&children[0], &children[1]), - 348 => self.expression_identifier_member_list0_list_1(), - 349 => self.expression_identifier_member_list0_1(), - 350 => self.expression_identifier_member_list_0(&children[0], &children[1]), - 351 => self.expression_identifier_member_list_1(), - 352 => self.expression(&children[0], &children[1]), - 353 => self.expression_list_0(&children[0], &children[1], &children[2]), - 354 => self.expression_list_1(), - 355 => self.expression01(&children[0], &children[1]), - 356 => self.expression01_list_0(&children[0], &children[1], &children[2]), - 357 => self.expression01_list_1(), - 358 => self.expression02(&children[0], &children[1]), - 359 => self.expression02_list_0(&children[0], &children[1], &children[2]), - 360 => self.expression02_list_1(), - 361 => self.expression03(&children[0], &children[1]), - 362 => self.expression03_list_0(&children[0], &children[1], &children[2]), - 363 => self.expression03_list_1(), - 364 => self.expression04(&children[0], &children[1]), - 365 => self.expression04_list_0(&children[0], &children[1], &children[2]), - 366 => self.expression04_list_1(), - 367 => self.expression05(&children[0], &children[1]), - 368 => self.expression05_list_0(&children[0], &children[1], &children[2]), - 369 => self.expression05_list_1(), - 370 => self.expression06(&children[0], &children[1]), - 371 => self.expression06_list_0(&children[0], &children[1], &children[2]), - 372 => self.expression06_list_1(), - 373 => self.expression07(&children[0], &children[1]), - 374 => self.expression07_list_0(&children[0], &children[1], &children[2]), - 375 => self.expression07_list_1(), - 376 => self.expression08(&children[0], &children[1]), - 377 => self.expression08_list_0(&children[0], &children[1], &children[2]), - 378 => self.expression08_list_1(), - 379 => self.expression09(&children[0], &children[1]), - 380 => self.expression09_list_0(&children[0], &children[1], &children[2]), - 381 => self.expression09_list_group_0(&children[0]), - 382 => self.expression09_list_group_1(&children[0]), - 383 => self.expression09_list_1(), - 384 => self.expression10(&children[0], &children[1]), - 385 => self.expression10_list_0(&children[0], &children[1], &children[2]), - 386 => self.expression10_list_1(), - 387 => self.expression11(&children[0], &children[1]), - 388 => self.expression11_list_0(&children[0], &children[1], &children[2]), - 389 => self.expression11_list_1(), - 390 => self.expression12(&children[0], &children[1]), - 391 => self.expression12_list_0(&children[0], &children[1]), - 392 => self.expression12_list_group_0(&children[0]), - 393 => self.expression12_list_group_1(&children[0]), - 394 => self.expression12_list_group_2(&children[0]), - 395 => self.expression12_list_group_3(&children[0]), - 396 => self.expression12_list_group_4(&children[0]), - 397 => self.expression12_list_1(), - 398 => self.factor_0(&children[0]), - 399 => self.factor_1(&children[0], &children[1]), - 400 => self.factor_2(&children[0], &children[1], &children[2]), - 401 => self.factor_3(&children[0], &children[1], &children[2]), - 402 => self.factor_4(&children[0], &children[1], &children[2]), - 403 => self.factor_5(&children[0]), - 404 => self.factor_6(&children[0]), - 405 => self.factor_7(&children[0]), - 406 => self.factor_8(&children[0]), - 407 => self.factor_group_0(&children[0]), - 408 => self.factor_group_1(&children[0]), - 409 => self.factor_9(&children[0]), - 410 => self.factor_10(&children[0]), - 411 => self.factor_opt_0(&children[0]), - 412 => self.factor_opt_1(), - 413 => self.function_call(&children[0], &children[1], &children[2]), - 414 => self.function_call_opt_0(&children[0]), - 415 => self.function_call_opt_1(), - 416 => self.argument_list(&children[0], &children[1], &children[2]), - 417 => self.argument_list_list_0(&children[0], &children[1], &children[2]), - 418 => self.argument_list_list_1(), - 419 => self.argument_list_opt_0(&children[0]), - 420 => self.argument_list_opt_1(), - 421 => self.argument_item(&children[0]), - 422 => self.concatenation_list(&children[0], &children[1], &children[2]), - 423 => self.concatenation_list_list_0(&children[0], &children[1], &children[2]), - 424 => self.concatenation_list_list_1(), - 425 => self.concatenation_list_opt_0(&children[0]), - 426 => self.concatenation_list_opt_1(), - 427 => self.concatenation_item(&children[0], &children[1]), - 428 => self.concatenation_item_opt_0(&children[0], &children[1]), - 429 => self.concatenation_item_opt_1(), - 430 => self.array_literal_list(&children[0], &children[1], &children[2]), - 431 => self.array_literal_list_list_0(&children[0], &children[1], &children[2]), - 432 => self.array_literal_list_list_1(), - 433 => self.array_literal_list_opt_0(&children[0]), - 434 => self.array_literal_list_opt_1(), - 435 => self.array_literal_item(&children[0]), - 436 => self.array_literal_item_group_0(&children[0], &children[1]), - 437 => self.array_literal_item_group_1(&children[0], &children[1], &children[2]), - 438 => self.array_literal_item_opt_0(&children[0], &children[1]), - 439 => self.array_literal_item_opt_1(), - 440 => self.if_expression( + 350 => self.expression_identifier_member_list0_list_0(&children[0], &children[1]), + 351 => self.expression_identifier_member_list0_list_1(), + 352 => self.expression_identifier_member_list0_1(), + 353 => self.expression_identifier_member_list_0(&children[0], &children[1]), + 354 => self.expression_identifier_member_list_1(), + 355 => self.expression(&children[0], &children[1]), + 356 => self.expression_list_0(&children[0], &children[1], &children[2]), + 357 => self.expression_list_1(), + 358 => self.expression01(&children[0], &children[1]), + 359 => self.expression01_list_0(&children[0], &children[1], &children[2]), + 360 => self.expression01_list_1(), + 361 => self.expression02(&children[0], &children[1]), + 362 => self.expression02_list_0(&children[0], &children[1], &children[2]), + 363 => self.expression02_list_1(), + 364 => self.expression03(&children[0], &children[1]), + 365 => self.expression03_list_0(&children[0], &children[1], &children[2]), + 366 => self.expression03_list_1(), + 367 => self.expression04(&children[0], &children[1]), + 368 => self.expression04_list_0(&children[0], &children[1], &children[2]), + 369 => self.expression04_list_1(), + 370 => self.expression05(&children[0], &children[1]), + 371 => self.expression05_list_0(&children[0], &children[1], &children[2]), + 372 => self.expression05_list_1(), + 373 => self.expression06(&children[0], &children[1]), + 374 => self.expression06_list_0(&children[0], &children[1], &children[2]), + 375 => self.expression06_list_1(), + 376 => self.expression07(&children[0], &children[1]), + 377 => self.expression07_list_0(&children[0], &children[1], &children[2]), + 378 => self.expression07_list_1(), + 379 => self.expression08(&children[0], &children[1]), + 380 => self.expression08_list_0(&children[0], &children[1], &children[2]), + 381 => self.expression08_list_1(), + 382 => self.expression09(&children[0], &children[1]), + 383 => self.expression09_list_0(&children[0], &children[1], &children[2]), + 384 => self.expression09_list_group_0(&children[0]), + 385 => self.expression09_list_group_1(&children[0]), + 386 => self.expression09_list_1(), + 387 => self.expression10(&children[0], &children[1]), + 388 => self.expression10_list_0(&children[0], &children[1], &children[2]), + 389 => self.expression10_list_1(), + 390 => self.expression11(&children[0], &children[1]), + 391 => self.expression11_list_0(&children[0], &children[1], &children[2]), + 392 => self.expression11_list_1(), + 393 => self.expression12(&children[0], &children[1]), + 394 => self.expression12_list_0(&children[0], &children[1]), + 395 => self.expression12_list_group_0(&children[0]), + 396 => self.expression12_list_group_1(&children[0]), + 397 => self.expression12_list_group_2(&children[0]), + 398 => self.expression12_list_group_3(&children[0]), + 399 => self.expression12_list_group_4(&children[0]), + 400 => self.expression12_list_1(), + 401 => self.factor_0(&children[0]), + 402 => self.factor_1(&children[0], &children[1]), + 403 => self.factor_2(&children[0], &children[1], &children[2]), + 404 => self.factor_3(&children[0], &children[1], &children[2]), + 405 => self.factor_4(&children[0], &children[1], &children[2]), + 406 => self.factor_5(&children[0]), + 407 => self.factor_6(&children[0]), + 408 => self.factor_7(&children[0]), + 409 => self.factor_8(&children[0]), + 410 => self.factor_group_0(&children[0]), + 411 => self.factor_group_1(&children[0]), + 412 => self.factor_9(&children[0]), + 413 => self.factor_10(&children[0]), + 414 => self.factor_opt_0(&children[0]), + 415 => self.factor_opt_1(), + 416 => self.function_call(&children[0], &children[1], &children[2]), + 417 => self.function_call_opt_0(&children[0]), + 418 => self.function_call_opt_1(), + 419 => self.argument_list(&children[0], &children[1], &children[2]), + 420 => self.argument_list_list_0(&children[0], &children[1], &children[2]), + 421 => self.argument_list_list_1(), + 422 => self.argument_list_opt_0(&children[0]), + 423 => self.argument_list_opt_1(), + 424 => self.argument_item(&children[0]), + 425 => self.concatenation_list(&children[0], &children[1], &children[2]), + 426 => self.concatenation_list_list_0(&children[0], &children[1], &children[2]), + 427 => self.concatenation_list_list_1(), + 428 => self.concatenation_list_opt_0(&children[0]), + 429 => self.concatenation_list_opt_1(), + 430 => self.concatenation_item(&children[0], &children[1]), + 431 => self.concatenation_item_opt_0(&children[0], &children[1]), + 432 => self.concatenation_item_opt_1(), + 433 => self.array_literal_list(&children[0], &children[1], &children[2]), + 434 => self.array_literal_list_list_0(&children[0], &children[1], &children[2]), + 435 => self.array_literal_list_list_1(), + 436 => self.array_literal_list_opt_0(&children[0]), + 437 => self.array_literal_list_opt_1(), + 438 => self.array_literal_item(&children[0]), + 439 => self.array_literal_item_group_0(&children[0], &children[1]), + 440 => self.array_literal_item_group_1(&children[0], &children[1], &children[2]), + 441 => self.array_literal_item_opt_0(&children[0], &children[1]), + 442 => self.array_literal_item_opt_1(), + 443 => self.if_expression( &children[0], &children[1], &children[2], @@ -32329,7 +32545,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[8], &children[9], ), - 441 => self.if_expression_list_0( + 444 => self.if_expression_list_0( &children[0], &children[1], &children[2], @@ -32338,8 +32554,8 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 442 => self.if_expression_list_1(), - 443 => self.case_expression( + 445 => self.if_expression_list_1(), + 446 => self.case_expression( &children[0], &children[1], &children[2], @@ -32355,7 +32571,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[12], &children[13], ), - 444 => self.case_expression_list0_0( + 447 => self.case_expression_list0_0( &children[0], &children[1], &children[2], @@ -32363,85 +32579,85 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 445 => self.case_expression_list0_list_0(&children[0], &children[1], &children[2]), - 446 => self.case_expression_list0_list_1(), - 447 => self.case_expression_list0_1(), - 448 => self.case_expression_list_0(&children[0], &children[1], &children[2]), - 449 => self.case_expression_list_1(), - 450 => self.case_expression_opt_0(&children[0]), - 451 => self.case_expression_opt_1(), - 452 => self.type_expression_0(&children[0]), - 453 => self.type_expression_1(&children[0], &children[1], &children[2], &children[3]), - 454 => self.inside_expression( + 448 => self.case_expression_list0_list_0(&children[0], &children[1], &children[2]), + 449 => self.case_expression_list0_list_1(), + 450 => self.case_expression_list0_1(), + 451 => self.case_expression_list_0(&children[0], &children[1], &children[2]), + 452 => self.case_expression_list_1(), + 453 => self.case_expression_opt_0(&children[0]), + 454 => self.case_expression_opt_1(), + 455 => self.type_expression_0(&children[0]), + 456 => self.type_expression_1(&children[0], &children[1], &children[2], &children[3]), + 457 => self.inside_expression( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 455 => self.outside_expression( + 458 => self.outside_expression( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 456 => self.range_list(&children[0], &children[1], &children[2]), - 457 => self.range_list_list_0(&children[0], &children[1], &children[2]), - 458 => self.range_list_list_1(), - 459 => self.range_list_opt_0(&children[0]), - 460 => self.range_list_opt_1(), - 461 => self.range_item(&children[0]), - 462 => self.select(&children[0], &children[1], &children[2], &children[3]), - 463 => self.select_opt_0(&children[0], &children[1]), - 464 => self.select_opt_1(), - 465 => self.select_operator_0(&children[0]), - 466 => self.select_operator_1(&children[0]), - 467 => self.select_operator_2(&children[0]), - 468 => self.select_operator_3(&children[0]), - 469 => self.width(&children[0], &children[1], &children[2], &children[3]), - 470 => self.width_list_0(&children[0], &children[1], &children[2]), - 471 => self.width_list_1(), - 472 => self.array(&children[0], &children[1], &children[2], &children[3]), - 473 => self.array_list_0(&children[0], &children[1], &children[2]), - 474 => self.array_list_1(), - 475 => self.range(&children[0], &children[1]), - 476 => self.range_opt_0(&children[0], &children[1]), - 477 => self.range_opt_1(), - 478 => self.range_operator_0(&children[0]), - 479 => self.range_operator_1(&children[0]), - 480 => self.fixed_type_0(&children[0]), - 481 => self.fixed_type_1(&children[0]), - 482 => self.fixed_type_2(&children[0]), - 483 => self.fixed_type_3(&children[0]), - 484 => self.fixed_type_4(&children[0]), - 485 => self.fixed_type_5(&children[0]), - 486 => self.fixed_type_6(&children[0]), - 487 => self.variable_type(&children[0], &children[1]), - 488 => self.variable_type_group_0(&children[0]), - 489 => self.variable_type_group_1(&children[0]), - 490 => self.variable_type_group_2(&children[0]), - 491 => self.variable_type_opt_0(&children[0]), - 492 => self.variable_type_opt_1(), - 493 => self.type_modifier_0(&children[0]), - 494 => self.type_modifier_1(&children[0]), - 495 => self.scalar_type(&children[0], &children[1]), - 496 => self.scalar_type_group_0(&children[0]), - 497 => self.scalar_type_group_1(&children[0]), - 498 => self.scalar_type_list_0(&children[0], &children[1]), - 499 => self.scalar_type_list_1(), - 500 => self.array_type(&children[0], &children[1]), - 501 => self.array_type_opt_0(&children[0]), - 502 => self.array_type_opt_1(), - 503 => self.statement_0(&children[0]), - 504 => self.statement_1(&children[0]), - 505 => self.statement_2(&children[0]), - 506 => self.statement_3(&children[0]), - 507 => self.statement_4(&children[0]), - 508 => self.statement_5(&children[0]), - 509 => self.statement_6(&children[0]), - 510 => self.statement_7(&children[0]), - 511 => self.let_statement( + 459 => self.range_list(&children[0], &children[1], &children[2]), + 460 => self.range_list_list_0(&children[0], &children[1], &children[2]), + 461 => self.range_list_list_1(), + 462 => self.range_list_opt_0(&children[0]), + 463 => self.range_list_opt_1(), + 464 => self.range_item(&children[0]), + 465 => self.select(&children[0], &children[1], &children[2], &children[3]), + 466 => self.select_opt_0(&children[0], &children[1]), + 467 => self.select_opt_1(), + 468 => self.select_operator_0(&children[0]), + 469 => self.select_operator_1(&children[0]), + 470 => self.select_operator_2(&children[0]), + 471 => self.select_operator_3(&children[0]), + 472 => self.width(&children[0], &children[1], &children[2], &children[3]), + 473 => self.width_list_0(&children[0], &children[1], &children[2]), + 474 => self.width_list_1(), + 475 => self.array(&children[0], &children[1], &children[2], &children[3]), + 476 => self.array_list_0(&children[0], &children[1], &children[2]), + 477 => self.array_list_1(), + 478 => self.range(&children[0], &children[1]), + 479 => self.range_opt_0(&children[0], &children[1]), + 480 => self.range_opt_1(), + 481 => self.range_operator_0(&children[0]), + 482 => self.range_operator_1(&children[0]), + 483 => self.fixed_type_0(&children[0]), + 484 => self.fixed_type_1(&children[0]), + 485 => self.fixed_type_2(&children[0]), + 486 => self.fixed_type_3(&children[0]), + 487 => self.fixed_type_4(&children[0]), + 488 => self.fixed_type_5(&children[0]), + 489 => self.fixed_type_6(&children[0]), + 490 => self.variable_type(&children[0], &children[1]), + 491 => self.variable_type_group_0(&children[0]), + 492 => self.variable_type_group_1(&children[0]), + 493 => self.variable_type_group_2(&children[0]), + 494 => self.variable_type_opt_0(&children[0]), + 495 => self.variable_type_opt_1(), + 496 => self.type_modifier_0(&children[0]), + 497 => self.type_modifier_1(&children[0]), + 498 => self.scalar_type(&children[0], &children[1]), + 499 => self.scalar_type_group_0(&children[0]), + 500 => self.scalar_type_group_1(&children[0]), + 501 => self.scalar_type_list_0(&children[0], &children[1]), + 502 => self.scalar_type_list_1(), + 503 => self.array_type(&children[0], &children[1]), + 504 => self.array_type_opt_0(&children[0]), + 505 => self.array_type_opt_1(), + 506 => self.statement_0(&children[0]), + 507 => self.statement_1(&children[0]), + 508 => self.statement_2(&children[0]), + 509 => self.statement_3(&children[0]), + 510 => self.statement_4(&children[0]), + 511 => self.statement_5(&children[0]), + 512 => self.statement_6(&children[0]), + 513 => self.statement_7(&children[0]), + 514 => self.let_statement( &children[0], &children[1], &children[2], @@ -32450,13 +32666,13 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 512 => self.identifier_statement(&children[0], &children[1], &children[2]), - 513 => self.identifier_statement_group_0(&children[0]), - 514 => self.identifier_statement_group_1(&children[0]), - 515 => self.assignment(&children[0], &children[1]), - 516 => self.assignment_group_0(&children[0]), - 517 => self.assignment_group_1(&children[0]), - 518 => self.if_statement( + 515 => self.identifier_statement(&children[0], &children[1], &children[2]), + 516 => self.identifier_statement_group_0(&children[0]), + 517 => self.identifier_statement_group_1(&children[0]), + 518 => self.assignment(&children[0], &children[1]), + 519 => self.assignment_group_0(&children[0]), + 520 => self.assignment_group_1(&children[0]), + 521 => self.if_statement( &children[0], &children[1], &children[2], @@ -32465,7 +32681,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 519 => self.if_statement_list0_0( + 522 => self.if_statement_list0_0( &children[0], &children[1], &children[2], @@ -32474,16 +32690,16 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 520 => self.if_statement_list0_list_0(&children[0], &children[1]), - 521 => self.if_statement_list0_list_1(), - 522 => self.if_statement_list0_1(), - 523 => self.if_statement_list_0(&children[0], &children[1]), - 524 => self.if_statement_list_1(), - 525 => self.if_statement_opt_0(&children[0], &children[1], &children[2], &children[3]), - 526 => self.if_statement_opt_list_0(&children[0], &children[1]), - 527 => self.if_statement_opt_list_1(), - 528 => self.if_statement_opt_1(), - 529 => self.if_reset_statement( + 523 => self.if_statement_list0_list_0(&children[0], &children[1]), + 524 => self.if_statement_list0_list_1(), + 525 => self.if_statement_list0_1(), + 526 => self.if_statement_list_0(&children[0], &children[1]), + 527 => self.if_statement_list_1(), + 528 => self.if_statement_opt_0(&children[0], &children[1], &children[2], &children[3]), + 529 => self.if_statement_opt_list_0(&children[0], &children[1]), + 530 => self.if_statement_opt_list_1(), + 531 => self.if_statement_opt_1(), + 532 => self.if_reset_statement( &children[0], &children[1], &children[2], @@ -32491,7 +32707,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 530 => self.if_reset_statement_list0_0( + 533 => self.if_reset_statement_list0_0( &children[0], &children[1], &children[2], @@ -32500,23 +32716,23 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 531 => self.if_reset_statement_list0_list_0(&children[0], &children[1]), - 532 => self.if_reset_statement_list0_list_1(), - 533 => self.if_reset_statement_list0_1(), - 534 => self.if_reset_statement_list_0(&children[0], &children[1]), - 535 => self.if_reset_statement_list_1(), - 536 => self.if_reset_statement_opt_0( + 534 => self.if_reset_statement_list0_list_0(&children[0], &children[1]), + 535 => self.if_reset_statement_list0_list_1(), + 536 => self.if_reset_statement_list0_1(), + 537 => self.if_reset_statement_list_0(&children[0], &children[1]), + 538 => self.if_reset_statement_list_1(), + 539 => self.if_reset_statement_opt_0( &children[0], &children[1], &children[2], &children[3], ), - 537 => self.if_reset_statement_opt_list_0(&children[0], &children[1]), - 538 => self.if_reset_statement_opt_list_1(), - 539 => self.if_reset_statement_opt_1(), - 540 => self.return_statement(&children[0], &children[1], &children[2]), - 541 => self.break_statement(&children[0], &children[1]), - 542 => self.for_statement( + 540 => self.if_reset_statement_opt_list_0(&children[0], &children[1]), + 541 => self.if_reset_statement_opt_list_1(), + 542 => self.if_reset_statement_opt_1(), + 543 => self.return_statement(&children[0], &children[1], &children[2]), + 544 => self.break_statement(&children[0], &children[1]), + 545 => self.for_statement( &children[0], &children[1], &children[2], @@ -32528,45 +32744,45 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[8], &children[9], ), - 543 => self.for_statement_list_0(&children[0], &children[1]), - 544 => self.for_statement_list_1(), - 545 => self.for_statement_opt_0(&children[0], &children[1], &children[2]), - 546 => self.for_statement_opt_1(), - 547 => self.case_statement( + 546 => self.for_statement_list_0(&children[0], &children[1]), + 547 => self.for_statement_list_1(), + 548 => self.for_statement_opt_0(&children[0], &children[1], &children[2]), + 549 => self.for_statement_opt_1(), + 550 => self.case_statement( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 548 => self.case_statement_list_0(&children[0], &children[1]), - 549 => self.case_statement_list_1(), - 550 => self.case_item(&children[0], &children[1], &children[2]), - 551 => self.case_item_group0_0(&children[0]), - 552 => self.case_item_group0_1(&children[0], &children[1], &children[2]), - 553 => self.case_item_group0_list_0(&children[0], &children[1]), - 554 => self.case_item_group0_list_1(), - 555 => self.case_item_group_0(&children[0], &children[1]), - 556 => self.case_item_group_list_0(&children[0], &children[1], &children[2]), - 557 => self.case_item_group_list_1(), - 558 => self.case_item_group_1(&children[0]), - 559 => self.attribute( + 551 => self.case_statement_list_0(&children[0], &children[1]), + 552 => self.case_statement_list_1(), + 553 => self.case_item(&children[0], &children[1], &children[2]), + 554 => self.case_item_group0_0(&children[0]), + 555 => self.case_item_group0_1(&children[0], &children[1], &children[2]), + 556 => self.case_item_group0_list_0(&children[0], &children[1]), + 557 => self.case_item_group0_list_1(), + 558 => self.case_item_group_0(&children[0], &children[1]), + 559 => self.case_item_group_list_0(&children[0], &children[1], &children[2]), + 560 => self.case_item_group_list_1(), + 561 => self.case_item_group_1(&children[0]), + 562 => self.attribute( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 560 => self.attribute_opt_0(&children[0], &children[1], &children[2]), - 561 => self.attribute_opt_1(), - 562 => self.attribute_list(&children[0], &children[1], &children[2]), - 563 => self.attribute_list_list_0(&children[0], &children[1], &children[2]), - 564 => self.attribute_list_list_1(), - 565 => self.attribute_list_opt_0(&children[0]), - 566 => self.attribute_list_opt_1(), - 567 => self.attribute_item_0(&children[0]), - 568 => self.attribute_item_1(&children[0]), - 569 => self.let_declaration( + 563 => self.attribute_opt_0(&children[0], &children[1], &children[2]), + 564 => self.attribute_opt_1(), + 565 => self.attribute_list(&children[0], &children[1], &children[2]), + 566 => self.attribute_list_list_0(&children[0], &children[1], &children[2]), + 567 => self.attribute_list_list_1(), + 568 => self.attribute_list_opt_0(&children[0]), + 569 => self.attribute_list_opt_1(), + 570 => self.attribute_item_0(&children[0]), + 571 => self.attribute_item_1(&children[0]), + 572 => self.let_declaration( &children[0], &children[1], &children[2], @@ -32575,30 +32791,30 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 570 => self.var_declaration( + 573 => self.var_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 571 => self.local_declaration( + 574 => self.local_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 572 => self.local_declaration_group_0(&children[0], &children[1], &children[2]), - 573 => self.local_declaration_group_1(&children[0], &children[1], &children[2]), - 574 => self.type_def_declaration( + 575 => self.local_declaration_group_0(&children[0], &children[1], &children[2]), + 576 => self.local_declaration_group_1(&children[0], &children[1], &children[2]), + 577 => self.type_def_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 575 => self.always_ff_declaration( + 578 => self.always_ff_declaration( &children[0], &children[1], &children[2], @@ -32608,53 +32824,53 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 576 => self.always_ff_declaration_list_0(&children[0], &children[1]), - 577 => self.always_ff_declaration_list_1(), - 578 => self.always_ff_declaration_opt_0(&children[0], &children[1]), - 579 => self.always_ff_declaration_opt_1(), - 580 => self.always_ff_clock(&children[0], &children[1]), - 581 => self.always_ff_clock_opt_0(&children[0]), - 582 => self.always_ff_clock_opt_group_0(&children[0]), - 583 => self.always_ff_clock_opt_group_1(&children[0]), - 584 => self.always_ff_clock_opt_1(), - 585 => self.always_ff_reset(&children[0], &children[1]), - 586 => self.always_ff_reset_opt_0(&children[0]), - 587 => self.always_ff_reset_opt_group_0(&children[0]), - 588 => self.always_ff_reset_opt_group_1(&children[0]), - 589 => self.always_ff_reset_opt_group_2(&children[0]), - 590 => self.always_ff_reset_opt_group_3(&children[0]), - 591 => self.always_ff_reset_opt_1(), - 592 => { + 579 => self.always_ff_declaration_list_0(&children[0], &children[1]), + 580 => self.always_ff_declaration_list_1(), + 581 => self.always_ff_declaration_opt_0(&children[0], &children[1]), + 582 => self.always_ff_declaration_opt_1(), + 583 => self.always_ff_clock(&children[0], &children[1]), + 584 => self.always_ff_clock_opt_0(&children[0]), + 585 => self.always_ff_clock_opt_group_0(&children[0]), + 586 => self.always_ff_clock_opt_group_1(&children[0]), + 587 => self.always_ff_clock_opt_1(), + 588 => self.always_ff_reset(&children[0], &children[1]), + 589 => self.always_ff_reset_opt_0(&children[0]), + 590 => self.always_ff_reset_opt_group_0(&children[0]), + 591 => self.always_ff_reset_opt_group_1(&children[0]), + 592 => self.always_ff_reset_opt_group_2(&children[0]), + 593 => self.always_ff_reset_opt_group_3(&children[0]), + 594 => self.always_ff_reset_opt_1(), + 595 => { self.always_comb_declaration(&children[0], &children[1], &children[2], &children[3]) } - 593 => self.always_comb_declaration_list_0(&children[0], &children[1]), - 594 => self.always_comb_declaration_list_1(), - 595 => self.assign_declaration( + 596 => self.always_comb_declaration_list_0(&children[0], &children[1]), + 597 => self.always_comb_declaration_list_1(), + 598 => self.assign_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 596 => self.modport_declaration( + 599 => self.modport_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 597 => self.modport_list(&children[0], &children[1], &children[2]), - 598 => self.modport_list_list_0(&children[0], &children[1], &children[2]), - 599 => self.modport_list_list_1(), - 600 => self.modport_list_opt_0(&children[0]), - 601 => self.modport_list_opt_1(), - 602 => self.modport_group(&children[0], &children[1]), - 603 => self.modport_group_group_0(&children[0], &children[1], &children[2]), - 604 => self.modport_group_group_1(&children[0]), - 605 => self.modport_group_list_0(&children[0], &children[1]), - 606 => self.modport_group_list_1(), - 607 => self.modport_item(&children[0], &children[1], &children[2]), - 608 => self.enum_declaration( + 600 => self.modport_list(&children[0], &children[1], &children[2]), + 601 => self.modport_list_list_0(&children[0], &children[1], &children[2]), + 602 => self.modport_list_list_1(), + 603 => self.modport_list_opt_0(&children[0]), + 604 => self.modport_list_opt_1(), + 605 => self.modport_group(&children[0], &children[1]), + 606 => self.modport_group_group_0(&children[0], &children[1], &children[2]), + 607 => self.modport_group_group_1(&children[0]), + 608 => self.modport_group_list_0(&children[0], &children[1]), + 609 => self.modport_group_list_1(), + 610 => self.modport_item(&children[0], &children[1], &children[2]), + 611 => self.enum_declaration( &children[0], &children[1], &children[2], @@ -32663,46 +32879,46 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 609 => self.enum_list(&children[0], &children[1], &children[2]), - 610 => self.enum_list_list_0(&children[0], &children[1], &children[2]), - 611 => self.enum_list_list_1(), - 612 => self.enum_list_opt_0(&children[0]), - 613 => self.enum_list_opt_1(), - 614 => self.enum_group(&children[0], &children[1]), - 615 => self.enum_group_group_0(&children[0], &children[1], &children[2]), - 616 => self.enum_group_group_1(&children[0]), - 617 => self.enum_group_list_0(&children[0], &children[1]), - 618 => self.enum_group_list_1(), - 619 => self.enum_item(&children[0], &children[1]), - 620 => self.enum_item_opt_0(&children[0], &children[1]), - 621 => self.enum_item_opt_1(), - 622 => self.struct_union_0(&children[0]), - 623 => self.struct_union_1(&children[0]), - 624 => self.struct_union_declaration( + 612 => self.enum_list(&children[0], &children[1], &children[2]), + 613 => self.enum_list_list_0(&children[0], &children[1], &children[2]), + 614 => self.enum_list_list_1(), + 615 => self.enum_list_opt_0(&children[0]), + 616 => self.enum_list_opt_1(), + 617 => self.enum_group(&children[0], &children[1]), + 618 => self.enum_group_group_0(&children[0], &children[1], &children[2]), + 619 => self.enum_group_group_1(&children[0]), + 620 => self.enum_group_list_0(&children[0], &children[1]), + 621 => self.enum_group_list_1(), + 622 => self.enum_item(&children[0], &children[1]), + 623 => self.enum_item_opt_0(&children[0], &children[1]), + 624 => self.enum_item_opt_1(), + 625 => self.struct_union_0(&children[0]), + 626 => self.struct_union_1(&children[0]), + 627 => self.struct_union_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 625 => self.struct_union_list(&children[0], &children[1], &children[2]), - 626 => self.struct_union_list_list_0(&children[0], &children[1], &children[2]), - 627 => self.struct_union_list_list_1(), - 628 => self.struct_union_list_opt_0(&children[0]), - 629 => self.struct_union_list_opt_1(), - 630 => self.struct_union_group(&children[0], &children[1]), - 631 => self.struct_union_group_group_0(&children[0], &children[1], &children[2]), - 632 => self.struct_union_group_group_1(&children[0]), - 633 => self.struct_union_group_list_0(&children[0], &children[1]), - 634 => self.struct_union_group_list_1(), - 635 => self.struct_union_item(&children[0], &children[1], &children[2]), - 636 => self.initial_declaration(&children[0], &children[1], &children[2], &children[3]), - 637 => self.initial_declaration_list_0(&children[0], &children[1]), - 638 => self.initial_declaration_list_1(), - 639 => self.final_declaration(&children[0], &children[1], &children[2], &children[3]), - 640 => self.final_declaration_list_0(&children[0], &children[1]), - 641 => self.final_declaration_list_1(), - 642 => self.inst_declaration( + 628 => self.struct_union_list(&children[0], &children[1], &children[2]), + 629 => self.struct_union_list_list_0(&children[0], &children[1], &children[2]), + 630 => self.struct_union_list_list_1(), + 631 => self.struct_union_list_opt_0(&children[0]), + 632 => self.struct_union_list_opt_1(), + 633 => self.struct_union_group(&children[0], &children[1]), + 634 => self.struct_union_group_group_0(&children[0], &children[1], &children[2]), + 635 => self.struct_union_group_group_1(&children[0]), + 636 => self.struct_union_group_list_0(&children[0], &children[1]), + 637 => self.struct_union_group_list_1(), + 638 => self.struct_union_item(&children[0], &children[1], &children[2]), + 639 => self.initial_declaration(&children[0], &children[1], &children[2], &children[3]), + 640 => self.initial_declaration_list_0(&children[0], &children[1]), + 641 => self.initial_declaration_list_1(), + 642 => self.final_declaration(&children[0], &children[1], &children[2], &children[3]), + 643 => self.final_declaration_list_0(&children[0], &children[1]), + 644 => self.final_declaration_list_1(), + 645 => self.inst_declaration( &children[0], &children[1], &children[2], @@ -32712,85 +32928,85 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 643 => self.inst_declaration_opt1_0(&children[0], &children[1], &children[2]), - 644 => self.inst_declaration_opt2_0(&children[0]), - 645 => self.inst_declaration_opt2_1(), - 646 => self.inst_declaration_opt1_1(), - 647 => self.inst_declaration_opt0_0(&children[0]), - 648 => self.inst_declaration_opt0_1(), - 649 => self.inst_declaration_opt_0(&children[0]), - 650 => self.inst_declaration_opt_1(), - 651 => self.inst_parameter(&children[0], &children[1], &children[2], &children[3]), - 652 => self.inst_parameter_opt_0(&children[0]), - 653 => self.inst_parameter_opt_1(), - 654 => self.inst_parameter_list(&children[0], &children[1], &children[2]), - 655 => self.inst_parameter_list_list_0(&children[0], &children[1], &children[2]), - 656 => self.inst_parameter_list_list_1(), - 657 => self.inst_parameter_list_opt_0(&children[0]), - 658 => self.inst_parameter_list_opt_1(), - 659 => self.inst_parameter_group(&children[0], &children[1]), - 660 => self.inst_parameter_group_group_0(&children[0], &children[1], &children[2]), - 661 => self.inst_parameter_group_group_1(&children[0]), - 662 => self.inst_parameter_group_list_0(&children[0], &children[1]), - 663 => self.inst_parameter_group_list_1(), - 664 => self.inst_parameter_item(&children[0], &children[1]), - 665 => self.inst_parameter_item_opt_0(&children[0], &children[1]), - 666 => self.inst_parameter_item_opt_1(), - 667 => self.inst_port_list(&children[0], &children[1], &children[2]), - 668 => self.inst_port_list_list_0(&children[0], &children[1], &children[2]), - 669 => self.inst_port_list_list_1(), - 670 => self.inst_port_list_opt_0(&children[0]), - 671 => self.inst_port_list_opt_1(), - 672 => self.inst_port_group(&children[0], &children[1]), - 673 => self.inst_port_group_group_0(&children[0], &children[1], &children[2]), - 674 => self.inst_port_group_group_1(&children[0]), - 675 => self.inst_port_group_list_0(&children[0], &children[1]), - 676 => self.inst_port_group_list_1(), - 677 => self.inst_port_item(&children[0], &children[1]), - 678 => self.inst_port_item_opt_0(&children[0], &children[1]), - 679 => self.inst_port_item_opt_1(), - 680 => self.with_parameter(&children[0], &children[1], &children[2], &children[3]), - 681 => self.with_parameter_opt_0(&children[0]), - 682 => self.with_parameter_opt_1(), - 683 => self.with_parameter_list(&children[0], &children[1], &children[2]), - 684 => self.with_parameter_list_list_0(&children[0], &children[1], &children[2]), - 685 => self.with_parameter_list_list_1(), - 686 => self.with_parameter_list_opt_0(&children[0]), - 687 => self.with_parameter_list_opt_1(), - 688 => self.with_parameter_group(&children[0], &children[1]), - 689 => self.with_parameter_group_group_0(&children[0], &children[1], &children[2]), - 690 => self.with_parameter_group_group_1(&children[0]), - 691 => self.with_parameter_group_list_0(&children[0], &children[1]), - 692 => self.with_parameter_group_list_1(), - 693 => self.with_parameter_item(&children[0], &children[1], &children[2], &children[3]), - 694 => self.with_parameter_item_group0_0(&children[0], &children[1], &children[2]), - 695 => self.with_parameter_item_group0_1(&children[0], &children[1], &children[2]), - 696 => self.with_parameter_item_group_0(&children[0]), - 697 => self.with_parameter_item_group_1(&children[0]), - 698 => self.port_declaration(&children[0], &children[1], &children[2]), - 699 => self.port_declaration_opt_0(&children[0]), - 700 => self.port_declaration_opt_1(), - 701 => self.port_declaration_list(&children[0], &children[1], &children[2]), - 702 => self.port_declaration_list_list_0(&children[0], &children[1], &children[2]), - 703 => self.port_declaration_list_list_1(), - 704 => self.port_declaration_list_opt_0(&children[0]), - 705 => self.port_declaration_list_opt_1(), - 706 => self.port_declaration_group(&children[0], &children[1]), - 707 => self.port_declaration_group_group_0(&children[0], &children[1], &children[2]), - 708 => self.port_declaration_group_group_1(&children[0]), - 709 => self.port_declaration_group_list_0(&children[0], &children[1]), - 710 => self.port_declaration_group_list_1(), - 711 => self.port_declaration_item(&children[0], &children[1], &children[2]), - 712 => self.port_declaration_item_group_0(&children[0], &children[1]), - 713 => self.port_declaration_item_group_1(&children[0], &children[1]), - 714 => self.port_declaration_item_opt_0(&children[0]), - 715 => self.port_declaration_item_opt_1(), - 716 => self.direction_0(&children[0]), - 717 => self.direction_1(&children[0]), - 718 => self.direction_2(&children[0]), - 719 => self.direction_3(&children[0]), - 720 => self.direction_4(&children[0]), - 721 => self.function_declaration( + 646 => self.inst_declaration_opt1_0(&children[0], &children[1], &children[2]), + 647 => self.inst_declaration_opt2_0(&children[0]), + 648 => self.inst_declaration_opt2_1(), + 649 => self.inst_declaration_opt1_1(), + 650 => self.inst_declaration_opt0_0(&children[0]), + 651 => self.inst_declaration_opt0_1(), + 652 => self.inst_declaration_opt_0(&children[0]), + 653 => self.inst_declaration_opt_1(), + 654 => self.inst_parameter(&children[0], &children[1], &children[2], &children[3]), + 655 => self.inst_parameter_opt_0(&children[0]), + 656 => self.inst_parameter_opt_1(), + 657 => self.inst_parameter_list(&children[0], &children[1], &children[2]), + 658 => self.inst_parameter_list_list_0(&children[0], &children[1], &children[2]), + 659 => self.inst_parameter_list_list_1(), + 660 => self.inst_parameter_list_opt_0(&children[0]), + 661 => self.inst_parameter_list_opt_1(), + 662 => self.inst_parameter_group(&children[0], &children[1]), + 663 => self.inst_parameter_group_group_0(&children[0], &children[1], &children[2]), + 664 => self.inst_parameter_group_group_1(&children[0]), + 665 => self.inst_parameter_group_list_0(&children[0], &children[1]), + 666 => self.inst_parameter_group_list_1(), + 667 => self.inst_parameter_item(&children[0], &children[1]), + 668 => self.inst_parameter_item_opt_0(&children[0], &children[1]), + 669 => self.inst_parameter_item_opt_1(), + 670 => self.inst_port_list(&children[0], &children[1], &children[2]), + 671 => self.inst_port_list_list_0(&children[0], &children[1], &children[2]), + 672 => self.inst_port_list_list_1(), + 673 => self.inst_port_list_opt_0(&children[0]), + 674 => self.inst_port_list_opt_1(), + 675 => self.inst_port_group(&children[0], &children[1]), + 676 => self.inst_port_group_group_0(&children[0], &children[1], &children[2]), + 677 => self.inst_port_group_group_1(&children[0]), + 678 => self.inst_port_group_list_0(&children[0], &children[1]), + 679 => self.inst_port_group_list_1(), + 680 => self.inst_port_item(&children[0], &children[1]), + 681 => self.inst_port_item_opt_0(&children[0], &children[1]), + 682 => self.inst_port_item_opt_1(), + 683 => self.with_parameter(&children[0], &children[1], &children[2], &children[3]), + 684 => self.with_parameter_opt_0(&children[0]), + 685 => self.with_parameter_opt_1(), + 686 => self.with_parameter_list(&children[0], &children[1], &children[2]), + 687 => self.with_parameter_list_list_0(&children[0], &children[1], &children[2]), + 688 => self.with_parameter_list_list_1(), + 689 => self.with_parameter_list_opt_0(&children[0]), + 690 => self.with_parameter_list_opt_1(), + 691 => self.with_parameter_group(&children[0], &children[1]), + 692 => self.with_parameter_group_group_0(&children[0], &children[1], &children[2]), + 693 => self.with_parameter_group_group_1(&children[0]), + 694 => self.with_parameter_group_list_0(&children[0], &children[1]), + 695 => self.with_parameter_group_list_1(), + 696 => self.with_parameter_item(&children[0], &children[1], &children[2], &children[3]), + 697 => self.with_parameter_item_group0_0(&children[0], &children[1], &children[2]), + 698 => self.with_parameter_item_group0_1(&children[0], &children[1], &children[2]), + 699 => self.with_parameter_item_group_0(&children[0]), + 700 => self.with_parameter_item_group_1(&children[0]), + 701 => self.port_declaration(&children[0], &children[1], &children[2]), + 702 => self.port_declaration_opt_0(&children[0]), + 703 => self.port_declaration_opt_1(), + 704 => self.port_declaration_list(&children[0], &children[1], &children[2]), + 705 => self.port_declaration_list_list_0(&children[0], &children[1], &children[2]), + 706 => self.port_declaration_list_list_1(), + 707 => self.port_declaration_list_opt_0(&children[0]), + 708 => self.port_declaration_list_opt_1(), + 709 => self.port_declaration_group(&children[0], &children[1]), + 710 => self.port_declaration_group_group_0(&children[0], &children[1], &children[2]), + 711 => self.port_declaration_group_group_1(&children[0]), + 712 => self.port_declaration_group_list_0(&children[0], &children[1]), + 713 => self.port_declaration_group_list_1(), + 714 => self.port_declaration_item(&children[0], &children[1], &children[2]), + 715 => self.port_declaration_item_group_0(&children[0], &children[1]), + 716 => self.port_declaration_item_group_1(&children[0], &children[1]), + 717 => self.port_declaration_item_opt_0(&children[0]), + 718 => self.port_declaration_item_opt_1(), + 719 => self.direction_0(&children[0]), + 720 => self.direction_1(&children[0]), + 721 => self.direction_2(&children[0]), + 722 => self.direction_3(&children[0]), + 723 => self.direction_4(&children[0]), + 724 => self.function_declaration( &children[0], &children[1], &children[2], @@ -32800,25 +33016,25 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 722 => self.function_declaration_list_0(&children[0], &children[1]), - 723 => self.function_declaration_list_1(), - 724 => self.function_declaration_opt1_0(&children[0], &children[1]), - 725 => self.function_declaration_opt1_1(), - 726 => self.function_declaration_opt0_0(&children[0]), - 727 => self.function_declaration_opt0_1(), - 728 => self.function_declaration_opt_0(&children[0]), - 729 => self.function_declaration_opt_1(), - 730 => self.function_item_0(&children[0]), - 731 => self.function_item_1(&children[0]), - 732 => self.import_declaration(&children[0], &children[1], &children[2], &children[3]), - 733 => self.import_declaration_opt_0(&children[0], &children[1]), - 734 => self.import_declaration_opt_1(), - 735 => self.export_declaration(&children[0], &children[1], &children[2]), - 736 => self.export_declaration_group_0(&children[0]), - 737 => self.export_declaration_group_1(&children[0], &children[1]), - 738 => self.export_declaration_opt_0(&children[0], &children[1]), - 739 => self.export_declaration_opt_1(), - 740 => self.module_declaration( + 725 => self.function_declaration_list_0(&children[0], &children[1]), + 726 => self.function_declaration_list_1(), + 727 => self.function_declaration_opt1_0(&children[0], &children[1]), + 728 => self.function_declaration_opt1_1(), + 729 => self.function_declaration_opt0_0(&children[0]), + 730 => self.function_declaration_opt0_1(), + 731 => self.function_declaration_opt_0(&children[0]), + 732 => self.function_declaration_opt_1(), + 733 => self.function_item_0(&children[0]), + 734 => self.function_item_1(&children[0]), + 735 => self.import_declaration(&children[0], &children[1], &children[2], &children[3]), + 736 => self.import_declaration_opt_0(&children[0], &children[1]), + 737 => self.import_declaration_opt_1(), + 738 => self.export_declaration(&children[0], &children[1], &children[2]), + 739 => self.export_declaration_group_0(&children[0]), + 740 => self.export_declaration_group_1(&children[0], &children[1]), + 741 => self.export_declaration_opt_0(&children[0], &children[1]), + 742 => self.export_declaration_opt_1(), + 743 => self.module_declaration( &children[0], &children[1], &children[2], @@ -32828,32 +33044,32 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 741 => self.module_declaration_list_0(&children[0], &children[1]), - 742 => self.module_declaration_list_1(), - 743 => self.module_declaration_opt1_0(&children[0]), - 744 => self.module_declaration_opt1_1(), - 745 => self.module_declaration_opt0_0(&children[0]), - 746 => self.module_declaration_opt0_1(), - 747 => self.module_declaration_opt_0(&children[0]), - 748 => self.module_declaration_opt_1(), - 749 => self.module_if_declaration( + 744 => self.module_declaration_list_0(&children[0], &children[1]), + 745 => self.module_declaration_list_1(), + 746 => self.module_declaration_opt1_0(&children[0]), + 747 => self.module_declaration_opt1_1(), + 748 => self.module_declaration_opt0_0(&children[0]), + 749 => self.module_declaration_opt0_1(), + 750 => self.module_declaration_opt_0(&children[0]), + 751 => self.module_declaration_opt_1(), + 752 => self.module_if_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 750 => self.module_if_declaration_list_0( + 753 => self.module_if_declaration_list_0( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 751 => self.module_if_declaration_list_1(), - 752 => self.module_if_declaration_opt_0(&children[0], &children[1]), - 753 => self.module_if_declaration_opt_1(), - 754 => self.module_for_declaration( + 754 => self.module_if_declaration_list_1(), + 755 => self.module_if_declaration_opt_0(&children[0], &children[1]), + 756 => self.module_if_declaration_opt_1(), + 757 => self.module_for_declaration( &children[0], &children[1], &children[2], @@ -32861,52 +33077,52 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 755 => self.module_for_declaration_opt_0(&children[0], &children[1], &children[2]), - 756 => self.module_for_declaration_opt_1(), - 757 => self.module_named_block( + 758 => self.module_for_declaration_opt_0(&children[0], &children[1], &children[2]), + 759 => self.module_for_declaration_opt_1(), + 760 => self.module_named_block( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 758 => self.module_named_block_list_0(&children[0], &children[1]), - 759 => self.module_named_block_list_1(), - 760 => self.module_optional_named_block( + 761 => self.module_named_block_list_0(&children[0], &children[1]), + 762 => self.module_named_block_list_1(), + 763 => self.module_optional_named_block( &children[0], &children[1], &children[2], &children[3], ), - 761 => self.module_optional_named_block_list_0(&children[0], &children[1]), - 762 => self.module_optional_named_block_list_1(), - 763 => self.module_optional_named_block_opt_0(&children[0], &children[1]), - 764 => self.module_optional_named_block_opt_1(), - 765 => self.module_group(&children[0], &children[1]), - 766 => self.module_group_group_0(&children[0], &children[1], &children[2]), - 767 => self.module_group_group_list_0(&children[0], &children[1]), - 768 => self.module_group_group_list_1(), - 769 => self.module_group_group_1(&children[0]), - 770 => self.module_group_list_0(&children[0], &children[1]), - 771 => self.module_group_list_1(), - 772 => self.module_item_0(&children[0]), - 773 => self.module_item_1(&children[0]), - 774 => self.module_item_2(&children[0]), - 775 => self.module_item_3(&children[0]), - 776 => self.module_item_4(&children[0]), - 777 => self.module_item_5(&children[0]), - 778 => self.module_item_6(&children[0]), - 779 => self.module_item_7(&children[0]), - 780 => self.module_item_8(&children[0]), - 781 => self.module_item_9(&children[0]), - 782 => self.module_item_10(&children[0]), - 783 => self.module_item_11(&children[0]), - 784 => self.module_item_12(&children[0]), - 785 => self.module_item_13(&children[0]), - 786 => self.module_item_14(&children[0]), - 787 => self.module_item_15(&children[0]), - 788 => self.module_item_16(&children[0]), - 789 => self.interface_declaration( + 764 => self.module_optional_named_block_list_0(&children[0], &children[1]), + 765 => self.module_optional_named_block_list_1(), + 766 => self.module_optional_named_block_opt_0(&children[0], &children[1]), + 767 => self.module_optional_named_block_opt_1(), + 768 => self.module_group(&children[0], &children[1]), + 769 => self.module_group_group_0(&children[0], &children[1], &children[2]), + 770 => self.module_group_group_list_0(&children[0], &children[1]), + 771 => self.module_group_group_list_1(), + 772 => self.module_group_group_1(&children[0]), + 773 => self.module_group_list_0(&children[0], &children[1]), + 774 => self.module_group_list_1(), + 775 => self.module_item_0(&children[0]), + 776 => self.module_item_1(&children[0]), + 777 => self.module_item_2(&children[0]), + 778 => self.module_item_3(&children[0]), + 779 => self.module_item_4(&children[0]), + 780 => self.module_item_5(&children[0]), + 781 => self.module_item_6(&children[0]), + 782 => self.module_item_7(&children[0]), + 783 => self.module_item_8(&children[0]), + 784 => self.module_item_9(&children[0]), + 785 => self.module_item_10(&children[0]), + 786 => self.module_item_11(&children[0]), + 787 => self.module_item_12(&children[0]), + 788 => self.module_item_13(&children[0]), + 789 => self.module_item_14(&children[0]), + 790 => self.module_item_15(&children[0]), + 791 => self.module_item_16(&children[0]), + 792 => self.interface_declaration( &children[0], &children[1], &children[2], @@ -32915,30 +33131,30 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 790 => self.interface_declaration_list_0(&children[0], &children[1]), - 791 => self.interface_declaration_list_1(), - 792 => self.interface_declaration_opt0_0(&children[0]), - 793 => self.interface_declaration_opt0_1(), - 794 => self.interface_declaration_opt_0(&children[0]), - 795 => self.interface_declaration_opt_1(), - 796 => self.interface_if_declaration( + 793 => self.interface_declaration_list_0(&children[0], &children[1]), + 794 => self.interface_declaration_list_1(), + 795 => self.interface_declaration_opt0_0(&children[0]), + 796 => self.interface_declaration_opt0_1(), + 797 => self.interface_declaration_opt_0(&children[0]), + 798 => self.interface_declaration_opt_1(), + 799 => self.interface_if_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 797 => self.interface_if_declaration_list_0( + 800 => self.interface_if_declaration_list_0( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 798 => self.interface_if_declaration_list_1(), - 799 => self.interface_if_declaration_opt_0(&children[0], &children[1]), - 800 => self.interface_if_declaration_opt_1(), - 801 => self.interface_for_declaration( + 801 => self.interface_if_declaration_list_1(), + 802 => self.interface_if_declaration_opt_0(&children[0], &children[1]), + 803 => self.interface_if_declaration_opt_1(), + 804 => self.interface_for_declaration( &children[0], &children[1], &children[2], @@ -32946,49 +33162,49 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 802 => self.interface_for_declaration_opt_0(&children[0], &children[1], &children[2]), - 803 => self.interface_for_declaration_opt_1(), - 804 => self.interface_named_block( + 805 => self.interface_for_declaration_opt_0(&children[0], &children[1], &children[2]), + 806 => self.interface_for_declaration_opt_1(), + 807 => self.interface_named_block( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 805 => self.interface_named_block_list_0(&children[0], &children[1]), - 806 => self.interface_named_block_list_1(), - 807 => self.interface_optional_named_block( + 808 => self.interface_named_block_list_0(&children[0], &children[1]), + 809 => self.interface_named_block_list_1(), + 810 => self.interface_optional_named_block( &children[0], &children[1], &children[2], &children[3], ), - 808 => self.interface_optional_named_block_list_0(&children[0], &children[1]), - 809 => self.interface_optional_named_block_list_1(), - 810 => self.interface_optional_named_block_opt_0(&children[0], &children[1]), - 811 => self.interface_optional_named_block_opt_1(), - 812 => self.interface_group(&children[0], &children[1]), - 813 => self.interface_group_group_0(&children[0], &children[1], &children[2]), - 814 => self.interface_group_group_list_0(&children[0], &children[1]), - 815 => self.interface_group_group_list_1(), - 816 => self.interface_group_group_1(&children[0]), - 817 => self.interface_group_list_0(&children[0], &children[1]), - 818 => self.interface_group_list_1(), - 819 => self.interface_item_0(&children[0]), - 820 => self.interface_item_1(&children[0]), - 821 => self.interface_item_2(&children[0]), - 822 => self.interface_item_3(&children[0]), - 823 => self.interface_item_4(&children[0]), - 824 => self.interface_item_5(&children[0]), - 825 => self.interface_item_6(&children[0]), - 826 => self.interface_item_7(&children[0]), - 827 => self.interface_item_8(&children[0]), - 828 => self.interface_item_9(&children[0]), - 829 => self.interface_item_10(&children[0]), - 830 => self.interface_item_11(&children[0]), - 831 => self.interface_item_12(&children[0]), - 832 => self.interface_item_13(&children[0]), - 833 => self.package_declaration( + 811 => self.interface_optional_named_block_list_0(&children[0], &children[1]), + 812 => self.interface_optional_named_block_list_1(), + 813 => self.interface_optional_named_block_opt_0(&children[0], &children[1]), + 814 => self.interface_optional_named_block_opt_1(), + 815 => self.interface_group(&children[0], &children[1]), + 816 => self.interface_group_group_0(&children[0], &children[1], &children[2]), + 817 => self.interface_group_group_list_0(&children[0], &children[1]), + 818 => self.interface_group_group_list_1(), + 819 => self.interface_group_group_1(&children[0]), + 820 => self.interface_group_list_0(&children[0], &children[1]), + 821 => self.interface_group_list_1(), + 822 => self.interface_item_0(&children[0]), + 823 => self.interface_item_1(&children[0]), + 824 => self.interface_item_2(&children[0]), + 825 => self.interface_item_3(&children[0]), + 826 => self.interface_item_4(&children[0]), + 827 => self.interface_item_5(&children[0]), + 828 => self.interface_item_6(&children[0]), + 829 => self.interface_item_7(&children[0]), + 830 => self.interface_item_8(&children[0]), + 831 => self.interface_item_9(&children[0]), + 832 => self.interface_item_10(&children[0]), + 833 => self.interface_item_11(&children[0]), + 834 => self.interface_item_12(&children[0]), + 835 => self.interface_item_13(&children[0]), + 836 => self.package_declaration( &children[0], &children[1], &children[2], @@ -32996,28 +33212,28 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 834 => self.package_declaration_list_0(&children[0], &children[1]), - 835 => self.package_declaration_list_1(), - 836 => self.package_declaration_opt_0(&children[0]), - 837 => self.package_declaration_opt_1(), - 838 => self.package_group(&children[0], &children[1]), - 839 => self.package_group_group_0(&children[0], &children[1], &children[2]), - 840 => self.package_group_group_list_0(&children[0], &children[1]), - 841 => self.package_group_group_list_1(), - 842 => self.package_group_group_1(&children[0]), - 843 => self.package_group_list_0(&children[0], &children[1]), - 844 => self.package_group_list_1(), - 845 => self.package_item_0(&children[0]), - 846 => self.package_item_1(&children[0]), - 847 => self.package_item_2(&children[0]), - 848 => self.package_item_3(&children[0]), - 849 => self.package_item_4(&children[0]), - 850 => self.package_item_5(&children[0]), - 851 => self.package_item_6(&children[0]), - 852 => self.package_item_7(&children[0]), - 853 => self.package_item_8(&children[0]), - 854 => self.package_item_9(&children[0]), - 855 => self.embed_declaration( + 837 => self.package_declaration_list_0(&children[0], &children[1]), + 838 => self.package_declaration_list_1(), + 839 => self.package_declaration_opt_0(&children[0]), + 840 => self.package_declaration_opt_1(), + 841 => self.package_group(&children[0], &children[1]), + 842 => self.package_group_group_0(&children[0], &children[1], &children[2]), + 843 => self.package_group_group_list_0(&children[0], &children[1]), + 844 => self.package_group_group_list_1(), + 845 => self.package_group_group_1(&children[0]), + 846 => self.package_group_list_0(&children[0], &children[1]), + 847 => self.package_group_list_1(), + 848 => self.package_item_0(&children[0]), + 849 => self.package_item_1(&children[0]), + 850 => self.package_item_2(&children[0]), + 851 => self.package_item_3(&children[0]), + 852 => self.package_item_4(&children[0]), + 853 => self.package_item_5(&children[0]), + 854 => self.package_item_6(&children[0]), + 855 => self.package_item_7(&children[0]), + 856 => self.package_item_8(&children[0]), + 857 => self.package_item_9(&children[0]), + 858 => self.embed_declaration( &children[0], &children[1], &children[2], @@ -33025,8 +33241,23 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 856 => self.embed_content(&children[0]), - 857 => self.embed_content_token( + 859 => self.embed_content(&children[0]), + 860 => self.embed_content_token( + &children[0], + &children[1], + &children[2], + &children[3], + &children[4], + &children[5], + &children[6], + ), + 861 => self.embed_content_token_list_0(&children[0], &children[1]), + 862 => self.embed_content_token_list_1(), + 863 => self.embed_item_0(&children[0], &children[1], &children[2]), + 864 => self.embed_item_list_0(&children[0], &children[1]), + 865 => self.embed_item_list_1(), + 866 => self.embed_item_1(&children[0]), + 867 => self.include_declaration( &children[0], &children[1], &children[2], @@ -33035,27 +33266,22 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 858 => self.embed_content_token_list_0(&children[0], &children[1]), - 859 => self.embed_content_token_list_1(), - 860 => self.embed_item_0(&children[0], &children[1], &children[2]), - 861 => self.embed_item_list_0(&children[0], &children[1]), - 862 => self.embed_item_list_1(), - 863 => self.embed_item_1(&children[0]), - 864 => self.description_group(&children[0], &children[1]), - 865 => self.description_group_group_0(&children[0], &children[1], &children[2]), - 866 => self.description_group_group_list_0(&children[0], &children[1]), - 867 => self.description_group_group_list_1(), - 868 => self.description_group_group_1(&children[0]), - 869 => self.description_group_list_0(&children[0], &children[1]), - 870 => self.description_group_list_1(), - 871 => self.description_item_0(&children[0]), - 872 => self.description_item_1(&children[0]), - 873 => self.description_item_2(&children[0]), - 874 => self.description_item_3(&children[0]), - 875 => self.description_item_4(&children[0]), - 876 => self.veryl(&children[0], &children[1]), - 877 => self.veryl_list_0(&children[0], &children[1]), - 878 => self.veryl_list_1(), + 868 => self.description_group(&children[0], &children[1]), + 869 => self.description_group_group_0(&children[0], &children[1], &children[2]), + 870 => self.description_group_group_list_0(&children[0], &children[1]), + 871 => self.description_group_group_list_1(), + 872 => self.description_group_group_1(&children[0]), + 873 => self.description_group_list_0(&children[0], &children[1]), + 874 => self.description_group_list_1(), + 875 => self.description_item_0(&children[0]), + 876 => self.description_item_1(&children[0]), + 877 => self.description_item_2(&children[0]), + 878 => self.description_item_3(&children[0]), + 879 => self.description_item_4(&children[0]), + 880 => self.description_item_5(&children[0]), + 881 => self.veryl(&children[0], &children[1]), + 882 => self.veryl_list_0(&children[0], &children[1]), + 883 => self.veryl_list_1(), _ => Err(ParserError::InternalError(format!( "Unhandled production number: {}", prod_num diff --git a/crates/parser/src/generated/veryl_parser.rs b/crates/parser/src/generated/veryl_parser.rs index ae9299e2..e1c4de07 100644 --- a/crates/parser/src/generated/veryl_parser.rs +++ b/crates/parser/src/generated/veryl_parser.rs @@ -18,7 +18,7 @@ use parol_runtime::lexer::tokenizer::{ ERROR_TOKEN, NEW_LINE_TOKEN, UNMATCHABLE_TOKEN, WHITESPACE_TOKEN, }; -pub const TERMINALS: &[&str; 111] = &[ +pub const TERMINALS: &[&str; 112] = &[ /* 0 */ UNMATCHABLE_TOKEN, /* 1 */ UNMATCHABLE_TOKEN, /* 2 */ UNMATCHABLE_TOKEN, @@ -90,50 +90,51 @@ pub const TERMINALS: &[&str; 111] = &[ /* 67 */ r"(?-u:\b)if_reset(?-u:\b)", /* 68 */ r"(?-u:\b)if(?-u:\b)", /* 69 */ r"(?-u:\b)import(?-u:\b)", - /* 70 */ r"(?-u:\b)initial(?-u:\b)", - /* 71 */ r"(?-u:\b)inout(?-u:\b)", - /* 72 */ r"(?-u:\b)input(?-u:\b)", - /* 73 */ r"(?-u:\b)inside(?-u:\b)", - /* 74 */ r"(?-u:\b)inst(?-u:\b)", - /* 75 */ r"(?-u:\b)interface(?-u:\b)", - /* 76 */ r"(?-u:\b)in(?-u:\b)", - /* 77 */ r"(?-u:\b)let(?-u:\b)", - /* 78 */ r"(?-u:\b)local(?-u:\b)", - /* 79 */ r"(?-u:\b)logic(?-u:\b)", - /* 80 */ r"(?-u:\b)lsb(?-u:\b)", - /* 81 */ r"(?-u:\b)modport(?-u:\b)", - /* 82 */ r"(?-u:\b)module(?-u:\b)", - /* 83 */ r"(?-u:\b)msb(?-u:\b)", - /* 84 */ r"(?-u:\b)negedge(?-u:\b)", - /* 85 */ r"(?-u:\b)output(?-u:\b)", - /* 86 */ r"(?-u:\b)outside(?-u:\b)", - /* 87 */ r"(?-u:\b)package(?-u:\b)", - /* 88 */ r"(?-u:\b)param(?-u:\b)", - /* 89 */ r"(?-u:\b)posedge(?-u:\b)", - /* 90 */ r"(?-u:\b)pub(?-u:\b)", - /* 91 */ r"(?-u:\b)ref(?-u:\b)", - /* 92 */ r"(?-u:\b)repeat(?-u:\b)", - /* 93 */ r"(?-u:\b)return(?-u:\b)", - /* 94 */ r"(?-u:\b)break(?-u:\b)", - /* 95 */ r"(?-u:\b)signed(?-u:\b)", - /* 96 */ r"(?-u:\b)step(?-u:\b)", - /* 97 */ r"(?-u:\b)string(?-u:\b)", - /* 98 */ r"(?-u:\b)struct(?-u:\b)", - /* 99 */ r"(?-u:\b)sync_high(?-u:\b)", - /* 100 */ r"(?-u:\b)sync_low(?-u:\b)", - /* 101 */ r"(?-u:\b)tri(?-u:\b)", - /* 102 */ r"(?-u:\b)type(?-u:\b)", - /* 103 */ r"(?-u:\b)u32(?-u:\b)", - /* 104 */ r"(?-u:\b)u64(?-u:\b)", - /* 105 */ r"(?-u:\b)union(?-u:\b)", - /* 106 */ r"(?-u:\b)var(?-u:\b)", - /* 107 */ r"\$[a-zA-Z_][0-9a-zA-Z_$]*", - /* 108 */ r"[a-zA-Z_][0-9a-zA-Z_$]*", - /* 109 */ r"[^{}]*", - /* 110 */ ERROR_TOKEN, + /* 70 */ r"(?-u:\b)include(?-u:\b)", + /* 71 */ r"(?-u:\b)initial(?-u:\b)", + /* 72 */ r"(?-u:\b)inout(?-u:\b)", + /* 73 */ r"(?-u:\b)input(?-u:\b)", + /* 74 */ r"(?-u:\b)inside(?-u:\b)", + /* 75 */ r"(?-u:\b)inst(?-u:\b)", + /* 76 */ r"(?-u:\b)interface(?-u:\b)", + /* 77 */ r"(?-u:\b)in(?-u:\b)", + /* 78 */ r"(?-u:\b)let(?-u:\b)", + /* 79 */ r"(?-u:\b)local(?-u:\b)", + /* 80 */ r"(?-u:\b)logic(?-u:\b)", + /* 81 */ r"(?-u:\b)lsb(?-u:\b)", + /* 82 */ r"(?-u:\b)modport(?-u:\b)", + /* 83 */ r"(?-u:\b)module(?-u:\b)", + /* 84 */ r"(?-u:\b)msb(?-u:\b)", + /* 85 */ r"(?-u:\b)negedge(?-u:\b)", + /* 86 */ r"(?-u:\b)output(?-u:\b)", + /* 87 */ r"(?-u:\b)outside(?-u:\b)", + /* 88 */ r"(?-u:\b)package(?-u:\b)", + /* 89 */ r"(?-u:\b)param(?-u:\b)", + /* 90 */ r"(?-u:\b)posedge(?-u:\b)", + /* 91 */ r"(?-u:\b)pub(?-u:\b)", + /* 92 */ r"(?-u:\b)ref(?-u:\b)", + /* 93 */ r"(?-u:\b)repeat(?-u:\b)", + /* 94 */ r"(?-u:\b)return(?-u:\b)", + /* 95 */ r"(?-u:\b)break(?-u:\b)", + /* 96 */ r"(?-u:\b)signed(?-u:\b)", + /* 97 */ r"(?-u:\b)step(?-u:\b)", + /* 98 */ r"(?-u:\b)string(?-u:\b)", + /* 99 */ r"(?-u:\b)struct(?-u:\b)", + /* 100 */ r"(?-u:\b)sync_high(?-u:\b)", + /* 101 */ r"(?-u:\b)sync_low(?-u:\b)", + /* 102 */ r"(?-u:\b)tri(?-u:\b)", + /* 103 */ r"(?-u:\b)type(?-u:\b)", + /* 104 */ r"(?-u:\b)u32(?-u:\b)", + /* 105 */ r"(?-u:\b)u64(?-u:\b)", + /* 106 */ r"(?-u:\b)union(?-u:\b)", + /* 107 */ r"(?-u:\b)var(?-u:\b)", + /* 108 */ r"\$[a-zA-Z_][0-9a-zA-Z_$]*", + /* 109 */ r"[a-zA-Z_][0-9a-zA-Z_$]*", + /* 110 */ r"[^{}]*", + /* 111 */ ERROR_TOKEN, ]; -pub const TERMINAL_NAMES: &[&str; 111] = &[ +pub const TERMINAL_NAMES: &[&str; 112] = &[ /* 0 */ "EndOfInput", /* 1 */ "Newline", /* 2 */ "Whitespace", @@ -204,51 +205,52 @@ pub const TERMINAL_NAMES: &[&str; 111] = &[ /* 67 */ "IfResetTerm", /* 68 */ "IfTerm", /* 69 */ "ImportTerm", - /* 70 */ "InitialTerm", - /* 71 */ "InoutTerm", - /* 72 */ "InputTerm", - /* 73 */ "InsideTerm", - /* 74 */ "InstTerm", - /* 75 */ "InterfaceTerm", - /* 76 */ "InTerm", - /* 77 */ "LetTerm", - /* 78 */ "LocalTerm", - /* 79 */ "LogicTerm", - /* 80 */ "LsbTerm", - /* 81 */ "ModportTerm", - /* 82 */ "ModuleTerm", - /* 83 */ "MsbTerm", - /* 84 */ "NegedgeTerm", - /* 85 */ "OutputTerm", - /* 86 */ "OutsideTerm", - /* 87 */ "PackageTerm", - /* 88 */ "ParamTerm", - /* 89 */ "PosedgeTerm", - /* 90 */ "PubTerm", - /* 91 */ "RefTerm", - /* 92 */ "RepeatTerm", - /* 93 */ "ReturnTerm", - /* 94 */ "BreakTerm", - /* 95 */ "SignedTerm", - /* 96 */ "StepTerm", - /* 97 */ "StringTerm", - /* 98 */ "StructTerm", - /* 99 */ "SyncHighTerm", - /* 100 */ "SyncLowTerm", - /* 101 */ "TriTerm", - /* 102 */ "TypeTerm", - /* 103 */ "U32Term", - /* 104 */ "U64Term", - /* 105 */ "UnionTerm", - /* 106 */ "VarTerm", - /* 107 */ "DollarIdentifierTerm", - /* 108 */ "IdentifierTerm", - /* 109 */ "AnyTerm", - /* 110 */ "Error", + /* 70 */ "IncludeTerm", + /* 71 */ "InitialTerm", + /* 72 */ "InoutTerm", + /* 73 */ "InputTerm", + /* 74 */ "InsideTerm", + /* 75 */ "InstTerm", + /* 76 */ "InterfaceTerm", + /* 77 */ "InTerm", + /* 78 */ "LetTerm", + /* 79 */ "LocalTerm", + /* 80 */ "LogicTerm", + /* 81 */ "LsbTerm", + /* 82 */ "ModportTerm", + /* 83 */ "ModuleTerm", + /* 84 */ "MsbTerm", + /* 85 */ "NegedgeTerm", + /* 86 */ "OutputTerm", + /* 87 */ "OutsideTerm", + /* 88 */ "PackageTerm", + /* 89 */ "ParamTerm", + /* 90 */ "PosedgeTerm", + /* 91 */ "PubTerm", + /* 92 */ "RefTerm", + /* 93 */ "RepeatTerm", + /* 94 */ "ReturnTerm", + /* 95 */ "BreakTerm", + /* 96 */ "SignedTerm", + /* 97 */ "StepTerm", + /* 98 */ "StringTerm", + /* 99 */ "StructTerm", + /* 100 */ "SyncHighTerm", + /* 101 */ "SyncLowTerm", + /* 102 */ "TriTerm", + /* 103 */ "TypeTerm", + /* 104 */ "U32Term", + /* 105 */ "U64Term", + /* 106 */ "UnionTerm", + /* 107 */ "VarTerm", + /* 108 */ "DollarIdentifierTerm", + /* 109 */ "IdentifierTerm", + /* 110 */ "AnyTerm", + /* 111 */ "Error", ]; /* SCANNER_0: "INITIAL" */ -const SCANNER_0: (&[&str; 5], &[TerminalIndex; 104]) = ( +const SCANNER_0: (&[&str; 5], &[TerminalIndex; 105]) = ( &[ /* 0 */ UNMATCHABLE_TOKEN, /* 1 */ NEW_LINE_TOKEN, @@ -322,45 +324,46 @@ const SCANNER_0: (&[&str; 5], &[TerminalIndex; 104]) = ( 67, /* IfResetTerm */ 68, /* IfTerm */ 69, /* ImportTerm */ - 70, /* InitialTerm */ - 71, /* InoutTerm */ - 72, /* InputTerm */ - 73, /* InsideTerm */ - 74, /* InstTerm */ - 75, /* InterfaceTerm */ - 76, /* InTerm */ - 77, /* LetTerm */ - 78, /* LocalTerm */ - 79, /* LogicTerm */ - 80, /* LsbTerm */ - 81, /* ModportTerm */ - 82, /* ModuleTerm */ - 83, /* MsbTerm */ - 84, /* NegedgeTerm */ - 85, /* OutputTerm */ - 86, /* OutsideTerm */ - 87, /* PackageTerm */ - 88, /* ParamTerm */ - 89, /* PosedgeTerm */ - 90, /* PubTerm */ - 91, /* RefTerm */ - 92, /* RepeatTerm */ - 93, /* ReturnTerm */ - 94, /* BreakTerm */ - 95, /* SignedTerm */ - 96, /* StepTerm */ - 97, /* StringTerm */ - 98, /* StructTerm */ - 99, /* SyncHighTerm */ - 100, /* SyncLowTerm */ - 101, /* TriTerm */ - 102, /* TypeTerm */ - 103, /* U32Term */ - 104, /* U64Term */ - 105, /* UnionTerm */ - 106, /* VarTerm */ - 107, /* DollarIdentifierTerm */ - 108, /* IdentifierTerm */ + 70, /* IncludeTerm */ + 71, /* InitialTerm */ + 72, /* InoutTerm */ + 73, /* InputTerm */ + 74, /* InsideTerm */ + 75, /* InstTerm */ + 76, /* InterfaceTerm */ + 77, /* InTerm */ + 78, /* LetTerm */ + 79, /* LocalTerm */ + 80, /* LogicTerm */ + 81, /* LsbTerm */ + 82, /* ModportTerm */ + 83, /* ModuleTerm */ + 84, /* MsbTerm */ + 85, /* NegedgeTerm */ + 86, /* OutputTerm */ + 87, /* OutsideTerm */ + 88, /* PackageTerm */ + 89, /* ParamTerm */ + 90, /* PosedgeTerm */ + 91, /* PubTerm */ + 92, /* RefTerm */ + 93, /* RepeatTerm */ + 94, /* ReturnTerm */ + 95, /* BreakTerm */ + 96, /* SignedTerm */ + 97, /* StepTerm */ + 98, /* StringTerm */ + 99, /* StructTerm */ + 100, /* SyncHighTerm */ + 101, /* SyncLowTerm */ + 102, /* TriTerm */ + 103, /* TypeTerm */ + 104, /* U32Term */ + 105, /* U64Term */ + 106, /* UnionTerm */ + 107, /* VarTerm */ + 108, /* DollarIdentifierTerm */ + 109, /* IdentifierTerm */ ], ); @@ -376,13 +379,13 @@ const SCANNER_1: (&[&str; 5], &[TerminalIndex; 3]) = ( &[ 38, /* LBraceTerm */ 42, /* RBraceTerm */ - 109, /* AnyTerm */ + 110, /* AnyTerm */ ], ); const MAX_K: usize = 3; -pub const NON_TERMINALS: &[&str; 617] = &[ +pub const NON_TERMINALS: &[&str; 621] = &[ /* 0 */ "AllBit", /* 1 */ "AllBitTerm", /* 2 */ "AllBitToken", @@ -663,349 +666,353 @@ pub const NON_TERMINALS: &[&str; 617] = &[ /* 277 */ "In", /* 278 */ "InTerm", /* 279 */ "InToken", - /* 280 */ "Initial", - /* 281 */ "InitialDeclaration", - /* 282 */ "InitialDeclarationList", - /* 283 */ "InitialTerm", - /* 284 */ "InitialToken", - /* 285 */ "Inout", - /* 286 */ "InoutTerm", - /* 287 */ "InoutToken", - /* 288 */ "Input", - /* 289 */ "InputTerm", - /* 290 */ "InputToken", - /* 291 */ "Inside", - /* 292 */ "InsideExpression", - /* 293 */ "InsideTerm", - /* 294 */ "InsideToken", - /* 295 */ "Inst", - /* 296 */ "InstDeclaration", - /* 297 */ "InstDeclarationOpt", - /* 298 */ "InstDeclarationOpt0", - /* 299 */ "InstDeclarationOpt1", - /* 300 */ "InstDeclarationOpt2", - /* 301 */ "InstParameter", - /* 302 */ "InstParameterGroup", - /* 303 */ "InstParameterGroupGroup", - /* 304 */ "InstParameterGroupList", - /* 305 */ "InstParameterItem", - /* 306 */ "InstParameterItemOpt", - /* 307 */ "InstParameterList", - /* 308 */ "InstParameterListList", - /* 309 */ "InstParameterListOpt", - /* 310 */ "InstParameterOpt", - /* 311 */ "InstPortGroup", - /* 312 */ "InstPortGroupGroup", - /* 313 */ "InstPortGroupList", - /* 314 */ "InstPortItem", - /* 315 */ "InstPortItemOpt", - /* 316 */ "InstPortList", - /* 317 */ "InstPortListList", - /* 318 */ "InstPortListOpt", - /* 319 */ "InstTerm", - /* 320 */ "InstToken", - /* 321 */ "IntegralNumber", - /* 322 */ "Interface", - /* 323 */ "InterfaceDeclaration", - /* 324 */ "InterfaceDeclarationList", - /* 325 */ "InterfaceDeclarationOpt", - /* 326 */ "InterfaceDeclarationOpt0", - /* 327 */ "InterfaceForDeclaration", - /* 328 */ "InterfaceForDeclarationOpt", - /* 329 */ "InterfaceGroup", - /* 330 */ "InterfaceGroupGroup", - /* 331 */ "InterfaceGroupGroupList", - /* 332 */ "InterfaceGroupList", - /* 333 */ "InterfaceIfDeclaration", - /* 334 */ "InterfaceIfDeclarationList", - /* 335 */ "InterfaceIfDeclarationOpt", - /* 336 */ "InterfaceItem", - /* 337 */ "InterfaceNamedBlock", - /* 338 */ "InterfaceNamedBlockList", - /* 339 */ "InterfaceOptionalNamedBlock", - /* 340 */ "InterfaceOptionalNamedBlockList", - /* 341 */ "InterfaceOptionalNamedBlockOpt", - /* 342 */ "InterfaceTerm", - /* 343 */ "InterfaceToken", - /* 344 */ "LAngle", - /* 345 */ "LAngleTerm", - /* 346 */ "LAngleToken", - /* 347 */ "LBrace", - /* 348 */ "LBraceTerm", - /* 349 */ "LBraceToken", - /* 350 */ "LBracket", - /* 351 */ "LBracketTerm", - /* 352 */ "LBracketToken", - /* 353 */ "LParen", - /* 354 */ "LParenTerm", - /* 355 */ "LParenToken", - /* 356 */ "Let", - /* 357 */ "LetDeclaration", - /* 358 */ "LetStatement", - /* 359 */ "LetTerm", - /* 360 */ "LetToken", - /* 361 */ "Local", - /* 362 */ "LocalDeclaration", - /* 363 */ "LocalDeclarationGroup", - /* 364 */ "LocalTerm", - /* 365 */ "LocalToken", - /* 366 */ "Logic", - /* 367 */ "LogicTerm", - /* 368 */ "LogicToken", - /* 369 */ "Lsb", - /* 370 */ "LsbTerm", - /* 371 */ "LsbToken", - /* 372 */ "MinusColon", - /* 373 */ "MinusColonTerm", - /* 374 */ "MinusColonToken", - /* 375 */ "MinusGT", - /* 376 */ "MinusGTTerm", - /* 377 */ "MinusGTToken", - /* 378 */ "Modport", - /* 379 */ "ModportDeclaration", - /* 380 */ "ModportGroup", - /* 381 */ "ModportGroupGroup", - /* 382 */ "ModportGroupList", - /* 383 */ "ModportItem", - /* 384 */ "ModportList", - /* 385 */ "ModportListList", - /* 386 */ "ModportListOpt", - /* 387 */ "ModportTerm", - /* 388 */ "ModportToken", - /* 389 */ "Module", - /* 390 */ "ModuleDeclaration", - /* 391 */ "ModuleDeclarationList", - /* 392 */ "ModuleDeclarationOpt", - /* 393 */ "ModuleDeclarationOpt0", - /* 394 */ "ModuleDeclarationOpt1", - /* 395 */ "ModuleForDeclaration", - /* 396 */ "ModuleForDeclarationOpt", - /* 397 */ "ModuleGroup", - /* 398 */ "ModuleGroupGroup", - /* 399 */ "ModuleGroupGroupList", - /* 400 */ "ModuleGroupList", - /* 401 */ "ModuleIfDeclaration", - /* 402 */ "ModuleIfDeclarationList", - /* 403 */ "ModuleIfDeclarationOpt", - /* 404 */ "ModuleItem", - /* 405 */ "ModuleNamedBlock", - /* 406 */ "ModuleNamedBlockList", - /* 407 */ "ModuleOptionalNamedBlock", - /* 408 */ "ModuleOptionalNamedBlockList", - /* 409 */ "ModuleOptionalNamedBlockOpt", - /* 410 */ "ModuleTerm", - /* 411 */ "ModuleToken", - /* 412 */ "Msb", - /* 413 */ "MsbTerm", - /* 414 */ "MsbToken", - /* 415 */ "Negedge", - /* 416 */ "NegedgeTerm", - /* 417 */ "NegedgeToken", - /* 418 */ "Number", - /* 419 */ "Operator01", - /* 420 */ "Operator01Term", - /* 421 */ "Operator01Token", - /* 422 */ "Operator02", - /* 423 */ "Operator02Term", - /* 424 */ "Operator02Token", - /* 425 */ "Operator03", - /* 426 */ "Operator03Term", - /* 427 */ "Operator03Token", - /* 428 */ "Operator04", - /* 429 */ "Operator04Term", - /* 430 */ "Operator04Token", - /* 431 */ "Operator05", - /* 432 */ "Operator05Term", - /* 433 */ "Operator05Token", - /* 434 */ "Operator06", - /* 435 */ "Operator06Term", - /* 436 */ "Operator06Token", - /* 437 */ "Operator07", - /* 438 */ "Operator07Term", - /* 439 */ "Operator07Token", - /* 440 */ "Operator08", - /* 441 */ "Operator08Term", - /* 442 */ "Operator08Token", - /* 443 */ "Operator09", - /* 444 */ "Operator09Term", - /* 445 */ "Operator09Token", - /* 446 */ "Operator10", - /* 447 */ "Operator10Term", - /* 448 */ "Operator10Token", - /* 449 */ "Operator11", - /* 450 */ "Operator11Term", - /* 451 */ "Operator11Token", - /* 452 */ "Output", - /* 453 */ "OutputTerm", - /* 454 */ "OutputToken", - /* 455 */ "Outside", - /* 456 */ "OutsideExpression", - /* 457 */ "OutsideTerm", - /* 458 */ "OutsideToken", - /* 459 */ "Package", - /* 460 */ "PackageDeclaration", - /* 461 */ "PackageDeclarationList", - /* 462 */ "PackageDeclarationOpt", - /* 463 */ "PackageGroup", - /* 464 */ "PackageGroupGroup", - /* 465 */ "PackageGroupGroupList", - /* 466 */ "PackageGroupList", - /* 467 */ "PackageItem", - /* 468 */ "PackageTerm", - /* 469 */ "PackageToken", - /* 470 */ "Param", - /* 471 */ "ParamTerm", - /* 472 */ "ParamToken", - /* 473 */ "PlusColon", - /* 474 */ "PlusColonTerm", - /* 475 */ "PlusColonToken", - /* 476 */ "PortDeclaration", - /* 477 */ "PortDeclarationGroup", - /* 478 */ "PortDeclarationGroupGroup", - /* 479 */ "PortDeclarationGroupList", - /* 480 */ "PortDeclarationItem", - /* 481 */ "PortDeclarationItemGroup", - /* 482 */ "PortDeclarationItemOpt", - /* 483 */ "PortDeclarationList", - /* 484 */ "PortDeclarationListList", - /* 485 */ "PortDeclarationListOpt", - /* 486 */ "PortDeclarationOpt", - /* 487 */ "Posedge", - /* 488 */ "PosedgeTerm", - /* 489 */ "PosedgeToken", - /* 490 */ "Pub", - /* 491 */ "PubTerm", - /* 492 */ "PubToken", - /* 493 */ "QuoteLBrace", - /* 494 */ "QuoteLBraceTerm", - /* 495 */ "QuoteLBraceToken", - /* 496 */ "RAngle", - /* 497 */ "RAngleTerm", - /* 498 */ "RAngleToken", - /* 499 */ "RBrace", - /* 500 */ "RBraceTerm", - /* 501 */ "RBraceToken", - /* 502 */ "RBracket", - /* 503 */ "RBracketTerm", - /* 504 */ "RBracketToken", - /* 505 */ "RParen", - /* 506 */ "RParenTerm", - /* 507 */ "RParenToken", - /* 508 */ "Range", - /* 509 */ "RangeItem", - /* 510 */ "RangeList", - /* 511 */ "RangeListList", - /* 512 */ "RangeListOpt", - /* 513 */ "RangeOperator", - /* 514 */ "RangeOpt", - /* 515 */ "RealNumber", - /* 516 */ "Ref", - /* 517 */ "RefTerm", - /* 518 */ "RefToken", - /* 519 */ "Repeat", - /* 520 */ "RepeatTerm", - /* 521 */ "RepeatToken", - /* 522 */ "Return", - /* 523 */ "ReturnStatement", - /* 524 */ "ReturnTerm", - /* 525 */ "ReturnToken", - /* 526 */ "ScalarType", - /* 527 */ "ScalarTypeGroup", - /* 528 */ "ScalarTypeList", - /* 529 */ "ScopedIdentifier", - /* 530 */ "ScopedIdentifierGroup", - /* 531 */ "ScopedIdentifierList", - /* 532 */ "Select", - /* 533 */ "SelectOperator", - /* 534 */ "SelectOpt", - /* 535 */ "Semicolon", - /* 536 */ "SemicolonTerm", - /* 537 */ "SemicolonToken", - /* 538 */ "Signed", - /* 539 */ "SignedTerm", - /* 540 */ "SignedToken", - /* 541 */ "Star", - /* 542 */ "StarTerm", - /* 543 */ "StarToken", - /* 544 */ "Start", - /* 545 */ "StartToken", - /* 546 */ "Statement", - /* 547 */ "Step", - /* 548 */ "StepTerm", - /* 549 */ "StepToken", - /* 550 */ "Strin", - /* 551 */ "StringLiteral", - /* 552 */ "StringLiteralTerm", - /* 553 */ "StringLiteralToken", - /* 554 */ "StringTerm", - /* 555 */ "StringToken", - /* 556 */ "Struct", - /* 557 */ "StructTerm", - /* 558 */ "StructToken", - /* 559 */ "StructUnion", - /* 560 */ "StructUnionDeclaration", - /* 561 */ "StructUnionGroup", - /* 562 */ "StructUnionGroupGroup", - /* 563 */ "StructUnionGroupList", - /* 564 */ "StructUnionItem", - /* 565 */ "StructUnionList", - /* 566 */ "StructUnionListList", - /* 567 */ "StructUnionListOpt", - /* 568 */ "SyncHigh", - /* 569 */ "SyncHighTerm", - /* 570 */ "SyncHighToken", - /* 571 */ "SyncLow", - /* 572 */ "SyncLowTerm", - /* 573 */ "SyncLowToken", - /* 574 */ "Tri", - /* 575 */ "TriTerm", - /* 576 */ "TriToken", - /* 577 */ "Type", - /* 578 */ "TypeDefDeclaration", - /* 579 */ "TypeExpression", - /* 580 */ "TypeModifier", - /* 581 */ "TypeTerm", - /* 582 */ "TypeToken", - /* 583 */ "U32", - /* 584 */ "U32Term", - /* 585 */ "U32Token", - /* 586 */ "U64", - /* 587 */ "U64Term", - /* 588 */ "U64Token", - /* 589 */ "UnaryOperator", - /* 590 */ "UnaryOperatorTerm", - /* 591 */ "UnaryOperatorToken", - /* 592 */ "Union", - /* 593 */ "UnionTerm", - /* 594 */ "UnionToken", - /* 595 */ "Var", - /* 596 */ "VarDeclaration", - /* 597 */ "VarTerm", - /* 598 */ "VarToken", - /* 599 */ "VariableType", - /* 600 */ "VariableTypeGroup", - /* 601 */ "VariableTypeOpt", - /* 602 */ "Veryl", - /* 603 */ "VerylList", - /* 604 */ "Width", - /* 605 */ "WidthList", - /* 606 */ "WithParameter", - /* 607 */ "WithParameterGroup", - /* 608 */ "WithParameterGroupGroup", - /* 609 */ "WithParameterGroupList", - /* 610 */ "WithParameterItem", - /* 611 */ "WithParameterItemGroup", - /* 612 */ "WithParameterItemGroup0", - /* 613 */ "WithParameterList", - /* 614 */ "WithParameterListList", - /* 615 */ "WithParameterListOpt", - /* 616 */ "WithParameterOpt", + /* 280 */ "Include", + /* 281 */ "IncludeDeclaration", + /* 282 */ "IncludeTerm", + /* 283 */ "IncludeToken", + /* 284 */ "Initial", + /* 285 */ "InitialDeclaration", + /* 286 */ "InitialDeclarationList", + /* 287 */ "InitialTerm", + /* 288 */ "InitialToken", + /* 289 */ "Inout", + /* 290 */ "InoutTerm", + /* 291 */ "InoutToken", + /* 292 */ "Input", + /* 293 */ "InputTerm", + /* 294 */ "InputToken", + /* 295 */ "Inside", + /* 296 */ "InsideExpression", + /* 297 */ "InsideTerm", + /* 298 */ "InsideToken", + /* 299 */ "Inst", + /* 300 */ "InstDeclaration", + /* 301 */ "InstDeclarationOpt", + /* 302 */ "InstDeclarationOpt0", + /* 303 */ "InstDeclarationOpt1", + /* 304 */ "InstDeclarationOpt2", + /* 305 */ "InstParameter", + /* 306 */ "InstParameterGroup", + /* 307 */ "InstParameterGroupGroup", + /* 308 */ "InstParameterGroupList", + /* 309 */ "InstParameterItem", + /* 310 */ "InstParameterItemOpt", + /* 311 */ "InstParameterList", + /* 312 */ "InstParameterListList", + /* 313 */ "InstParameterListOpt", + /* 314 */ "InstParameterOpt", + /* 315 */ "InstPortGroup", + /* 316 */ "InstPortGroupGroup", + /* 317 */ "InstPortGroupList", + /* 318 */ "InstPortItem", + /* 319 */ "InstPortItemOpt", + /* 320 */ "InstPortList", + /* 321 */ "InstPortListList", + /* 322 */ "InstPortListOpt", + /* 323 */ "InstTerm", + /* 324 */ "InstToken", + /* 325 */ "IntegralNumber", + /* 326 */ "Interface", + /* 327 */ "InterfaceDeclaration", + /* 328 */ "InterfaceDeclarationList", + /* 329 */ "InterfaceDeclarationOpt", + /* 330 */ "InterfaceDeclarationOpt0", + /* 331 */ "InterfaceForDeclaration", + /* 332 */ "InterfaceForDeclarationOpt", + /* 333 */ "InterfaceGroup", + /* 334 */ "InterfaceGroupGroup", + /* 335 */ "InterfaceGroupGroupList", + /* 336 */ "InterfaceGroupList", + /* 337 */ "InterfaceIfDeclaration", + /* 338 */ "InterfaceIfDeclarationList", + /* 339 */ "InterfaceIfDeclarationOpt", + /* 340 */ "InterfaceItem", + /* 341 */ "InterfaceNamedBlock", + /* 342 */ "InterfaceNamedBlockList", + /* 343 */ "InterfaceOptionalNamedBlock", + /* 344 */ "InterfaceOptionalNamedBlockList", + /* 345 */ "InterfaceOptionalNamedBlockOpt", + /* 346 */ "InterfaceTerm", + /* 347 */ "InterfaceToken", + /* 348 */ "LAngle", + /* 349 */ "LAngleTerm", + /* 350 */ "LAngleToken", + /* 351 */ "LBrace", + /* 352 */ "LBraceTerm", + /* 353 */ "LBraceToken", + /* 354 */ "LBracket", + /* 355 */ "LBracketTerm", + /* 356 */ "LBracketToken", + /* 357 */ "LParen", + /* 358 */ "LParenTerm", + /* 359 */ "LParenToken", + /* 360 */ "Let", + /* 361 */ "LetDeclaration", + /* 362 */ "LetStatement", + /* 363 */ "LetTerm", + /* 364 */ "LetToken", + /* 365 */ "Local", + /* 366 */ "LocalDeclaration", + /* 367 */ "LocalDeclarationGroup", + /* 368 */ "LocalTerm", + /* 369 */ "LocalToken", + /* 370 */ "Logic", + /* 371 */ "LogicTerm", + /* 372 */ "LogicToken", + /* 373 */ "Lsb", + /* 374 */ "LsbTerm", + /* 375 */ "LsbToken", + /* 376 */ "MinusColon", + /* 377 */ "MinusColonTerm", + /* 378 */ "MinusColonToken", + /* 379 */ "MinusGT", + /* 380 */ "MinusGTTerm", + /* 381 */ "MinusGTToken", + /* 382 */ "Modport", + /* 383 */ "ModportDeclaration", + /* 384 */ "ModportGroup", + /* 385 */ "ModportGroupGroup", + /* 386 */ "ModportGroupList", + /* 387 */ "ModportItem", + /* 388 */ "ModportList", + /* 389 */ "ModportListList", + /* 390 */ "ModportListOpt", + /* 391 */ "ModportTerm", + /* 392 */ "ModportToken", + /* 393 */ "Module", + /* 394 */ "ModuleDeclaration", + /* 395 */ "ModuleDeclarationList", + /* 396 */ "ModuleDeclarationOpt", + /* 397 */ "ModuleDeclarationOpt0", + /* 398 */ "ModuleDeclarationOpt1", + /* 399 */ "ModuleForDeclaration", + /* 400 */ "ModuleForDeclarationOpt", + /* 401 */ "ModuleGroup", + /* 402 */ "ModuleGroupGroup", + /* 403 */ "ModuleGroupGroupList", + /* 404 */ "ModuleGroupList", + /* 405 */ "ModuleIfDeclaration", + /* 406 */ "ModuleIfDeclarationList", + /* 407 */ "ModuleIfDeclarationOpt", + /* 408 */ "ModuleItem", + /* 409 */ "ModuleNamedBlock", + /* 410 */ "ModuleNamedBlockList", + /* 411 */ "ModuleOptionalNamedBlock", + /* 412 */ "ModuleOptionalNamedBlockList", + /* 413 */ "ModuleOptionalNamedBlockOpt", + /* 414 */ "ModuleTerm", + /* 415 */ "ModuleToken", + /* 416 */ "Msb", + /* 417 */ "MsbTerm", + /* 418 */ "MsbToken", + /* 419 */ "Negedge", + /* 420 */ "NegedgeTerm", + /* 421 */ "NegedgeToken", + /* 422 */ "Number", + /* 423 */ "Operator01", + /* 424 */ "Operator01Term", + /* 425 */ "Operator01Token", + /* 426 */ "Operator02", + /* 427 */ "Operator02Term", + /* 428 */ "Operator02Token", + /* 429 */ "Operator03", + /* 430 */ "Operator03Term", + /* 431 */ "Operator03Token", + /* 432 */ "Operator04", + /* 433 */ "Operator04Term", + /* 434 */ "Operator04Token", + /* 435 */ "Operator05", + /* 436 */ "Operator05Term", + /* 437 */ "Operator05Token", + /* 438 */ "Operator06", + /* 439 */ "Operator06Term", + /* 440 */ "Operator06Token", + /* 441 */ "Operator07", + /* 442 */ "Operator07Term", + /* 443 */ "Operator07Token", + /* 444 */ "Operator08", + /* 445 */ "Operator08Term", + /* 446 */ "Operator08Token", + /* 447 */ "Operator09", + /* 448 */ "Operator09Term", + /* 449 */ "Operator09Token", + /* 450 */ "Operator10", + /* 451 */ "Operator10Term", + /* 452 */ "Operator10Token", + /* 453 */ "Operator11", + /* 454 */ "Operator11Term", + /* 455 */ "Operator11Token", + /* 456 */ "Output", + /* 457 */ "OutputTerm", + /* 458 */ "OutputToken", + /* 459 */ "Outside", + /* 460 */ "OutsideExpression", + /* 461 */ "OutsideTerm", + /* 462 */ "OutsideToken", + /* 463 */ "Package", + /* 464 */ "PackageDeclaration", + /* 465 */ "PackageDeclarationList", + /* 466 */ "PackageDeclarationOpt", + /* 467 */ "PackageGroup", + /* 468 */ "PackageGroupGroup", + /* 469 */ "PackageGroupGroupList", + /* 470 */ "PackageGroupList", + /* 471 */ "PackageItem", + /* 472 */ "PackageTerm", + /* 473 */ "PackageToken", + /* 474 */ "Param", + /* 475 */ "ParamTerm", + /* 476 */ "ParamToken", + /* 477 */ "PlusColon", + /* 478 */ "PlusColonTerm", + /* 479 */ "PlusColonToken", + /* 480 */ "PortDeclaration", + /* 481 */ "PortDeclarationGroup", + /* 482 */ "PortDeclarationGroupGroup", + /* 483 */ "PortDeclarationGroupList", + /* 484 */ "PortDeclarationItem", + /* 485 */ "PortDeclarationItemGroup", + /* 486 */ "PortDeclarationItemOpt", + /* 487 */ "PortDeclarationList", + /* 488 */ "PortDeclarationListList", + /* 489 */ "PortDeclarationListOpt", + /* 490 */ "PortDeclarationOpt", + /* 491 */ "Posedge", + /* 492 */ "PosedgeTerm", + /* 493 */ "PosedgeToken", + /* 494 */ "Pub", + /* 495 */ "PubTerm", + /* 496 */ "PubToken", + /* 497 */ "QuoteLBrace", + /* 498 */ "QuoteLBraceTerm", + /* 499 */ "QuoteLBraceToken", + /* 500 */ "RAngle", + /* 501 */ "RAngleTerm", + /* 502 */ "RAngleToken", + /* 503 */ "RBrace", + /* 504 */ "RBraceTerm", + /* 505 */ "RBraceToken", + /* 506 */ "RBracket", + /* 507 */ "RBracketTerm", + /* 508 */ "RBracketToken", + /* 509 */ "RParen", + /* 510 */ "RParenTerm", + /* 511 */ "RParenToken", + /* 512 */ "Range", + /* 513 */ "RangeItem", + /* 514 */ "RangeList", + /* 515 */ "RangeListList", + /* 516 */ "RangeListOpt", + /* 517 */ "RangeOperator", + /* 518 */ "RangeOpt", + /* 519 */ "RealNumber", + /* 520 */ "Ref", + /* 521 */ "RefTerm", + /* 522 */ "RefToken", + /* 523 */ "Repeat", + /* 524 */ "RepeatTerm", + /* 525 */ "RepeatToken", + /* 526 */ "Return", + /* 527 */ "ReturnStatement", + /* 528 */ "ReturnTerm", + /* 529 */ "ReturnToken", + /* 530 */ "ScalarType", + /* 531 */ "ScalarTypeGroup", + /* 532 */ "ScalarTypeList", + /* 533 */ "ScopedIdentifier", + /* 534 */ "ScopedIdentifierGroup", + /* 535 */ "ScopedIdentifierList", + /* 536 */ "Select", + /* 537 */ "SelectOperator", + /* 538 */ "SelectOpt", + /* 539 */ "Semicolon", + /* 540 */ "SemicolonTerm", + /* 541 */ "SemicolonToken", + /* 542 */ "Signed", + /* 543 */ "SignedTerm", + /* 544 */ "SignedToken", + /* 545 */ "Star", + /* 546 */ "StarTerm", + /* 547 */ "StarToken", + /* 548 */ "Start", + /* 549 */ "StartToken", + /* 550 */ "Statement", + /* 551 */ "Step", + /* 552 */ "StepTerm", + /* 553 */ "StepToken", + /* 554 */ "Strin", + /* 555 */ "StringLiteral", + /* 556 */ "StringLiteralTerm", + /* 557 */ "StringLiteralToken", + /* 558 */ "StringTerm", + /* 559 */ "StringToken", + /* 560 */ "Struct", + /* 561 */ "StructTerm", + /* 562 */ "StructToken", + /* 563 */ "StructUnion", + /* 564 */ "StructUnionDeclaration", + /* 565 */ "StructUnionGroup", + /* 566 */ "StructUnionGroupGroup", + /* 567 */ "StructUnionGroupList", + /* 568 */ "StructUnionItem", + /* 569 */ "StructUnionList", + /* 570 */ "StructUnionListList", + /* 571 */ "StructUnionListOpt", + /* 572 */ "SyncHigh", + /* 573 */ "SyncHighTerm", + /* 574 */ "SyncHighToken", + /* 575 */ "SyncLow", + /* 576 */ "SyncLowTerm", + /* 577 */ "SyncLowToken", + /* 578 */ "Tri", + /* 579 */ "TriTerm", + /* 580 */ "TriToken", + /* 581 */ "Type", + /* 582 */ "TypeDefDeclaration", + /* 583 */ "TypeExpression", + /* 584 */ "TypeModifier", + /* 585 */ "TypeTerm", + /* 586 */ "TypeToken", + /* 587 */ "U32", + /* 588 */ "U32Term", + /* 589 */ "U32Token", + /* 590 */ "U64", + /* 591 */ "U64Term", + /* 592 */ "U64Token", + /* 593 */ "UnaryOperator", + /* 594 */ "UnaryOperatorTerm", + /* 595 */ "UnaryOperatorToken", + /* 596 */ "Union", + /* 597 */ "UnionTerm", + /* 598 */ "UnionToken", + /* 599 */ "Var", + /* 600 */ "VarDeclaration", + /* 601 */ "VarTerm", + /* 602 */ "VarToken", + /* 603 */ "VariableType", + /* 604 */ "VariableTypeGroup", + /* 605 */ "VariableTypeOpt", + /* 606 */ "Veryl", + /* 607 */ "VerylList", + /* 608 */ "Width", + /* 609 */ "WidthList", + /* 610 */ "WithParameter", + /* 611 */ "WithParameterGroup", + /* 612 */ "WithParameterGroupGroup", + /* 613 */ "WithParameterGroupList", + /* 614 */ "WithParameterItem", + /* 615 */ "WithParameterItemGroup", + /* 616 */ "WithParameterItemGroup0", + /* 617 */ "WithParameterList", + /* 618 */ "WithParameterListList", + /* 619 */ "WithParameterListOpt", + /* 620 */ "WithParameterOpt", ]; -pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ +pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 621] = &[ /* 0 - "AllBit" */ LookaheadDFA { - prod0: 218, + prod0: 220, transitions: &[], k: 0, }, @@ -1017,19 +1024,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 2 - "AllBitToken" */ LookaheadDFA { - prod0: 114, + prod0: 115, transitions: &[], k: 0, }, /* 3 - "AlwaysComb" */ LookaheadDFA { - prod0: 254, + prod0: 256, transitions: &[], k: 0, }, /* 4 - "AlwaysCombDeclaration" */ LookaheadDFA { - prod0: 592, + prod0: 595, transitions: &[], k: 0, }, @@ -1037,16 +1044,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 594), - Trans(0, 54, 1, 593), - Trans(0, 63, 1, 593), - Trans(0, 67, 1, 593), - Trans(0, 68, 1, 593), - Trans(0, 77, 1, 593), - Trans(0, 93, 1, 593), - Trans(0, 94, 1, 593), - Trans(0, 107, 1, 593), - Trans(0, 108, 1, 593), + Trans(0, 42, 2, 597), + Trans(0, 54, 1, 596), + Trans(0, 63, 1, 596), + Trans(0, 67, 1, 596), + Trans(0, 68, 1, 596), + Trans(0, 78, 1, 596), + Trans(0, 94, 1, 596), + Trans(0, 95, 1, 596), + Trans(0, 108, 1, 596), + Trans(0, 109, 1, 596), ], k: 1, }, @@ -1058,19 +1065,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 7 - "AlwaysCombToken" */ LookaheadDFA { - prod0: 150, + prod0: 151, transitions: &[], k: 0, }, /* 8 - "AlwaysFf" */ LookaheadDFA { - prod0: 255, + prod0: 257, transitions: &[], k: 0, }, /* 9 - "AlwaysFfClock" */ LookaheadDFA { - prod0: 580, + prod0: 583, transitions: &[], k: 0, }, @@ -1078,21 +1085,21 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 84, 1, 581), - Trans(0, 89, 1, 581), - Trans(0, 108, 2, 584), + Trans(0, 85, 1, 584), + Trans(0, 90, 1, 584), + Trans(0, 109, 2, 587), ], k: 1, }, /* 11 - "AlwaysFfClockOptGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 84, 2, 583), Trans(0, 89, 1, 582)], + transitions: &[Trans(0, 85, 2, 586), Trans(0, 90, 1, 585)], k: 1, }, /* 12 - "AlwaysFfDeclaration" */ LookaheadDFA { - prod0: 575, + prod0: 578, transitions: &[], k: 0, }, @@ -1100,28 +1107,28 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 577), - Trans(0, 54, 1, 576), - Trans(0, 63, 1, 576), - Trans(0, 67, 1, 576), - Trans(0, 68, 1, 576), - Trans(0, 77, 1, 576), - Trans(0, 93, 1, 576), - Trans(0, 94, 1, 576), - Trans(0, 107, 1, 576), - Trans(0, 108, 1, 576), + Trans(0, 42, 2, 580), + Trans(0, 54, 1, 579), + Trans(0, 63, 1, 579), + Trans(0, 67, 1, 579), + Trans(0, 68, 1, 579), + Trans(0, 78, 1, 579), + Trans(0, 94, 1, 579), + Trans(0, 95, 1, 579), + Trans(0, 108, 1, 579), + Trans(0, 109, 1, 579), ], k: 1, }, /* 14 - "AlwaysFfDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 578), Trans(0, 44, 2, 579)], + transitions: &[Trans(0, 30, 1, 581), Trans(0, 44, 2, 582)], k: 1, }, /* 15 - "AlwaysFfReset" */ LookaheadDFA { - prod0: 585, + prod0: 588, transitions: &[], k: 0, }, @@ -1129,11 +1136,11 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 50, 1, 586), - Trans(0, 51, 1, 586), - Trans(0, 99, 1, 586), - Trans(0, 100, 1, 586), - Trans(0, 108, 2, 591), + Trans(0, 50, 1, 589), + Trans(0, 51, 1, 589), + Trans(0, 100, 1, 589), + Trans(0, 101, 1, 589), + Trans(0, 109, 2, 594), ], k: 1, }, @@ -1141,10 +1148,10 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 50, 2, 588), - Trans(0, 51, 1, 587), - Trans(0, 99, 4, 590), - Trans(0, 100, 3, 589), + Trans(0, 50, 2, 591), + Trans(0, 51, 1, 590), + Trans(0, 100, 4, 593), + Trans(0, 101, 3, 592), ], k: 1, }, @@ -1156,25 +1163,25 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 19 - "AlwaysFfToken" */ LookaheadDFA { - prod0: 151, + prod0: 152, transitions: &[], k: 0, }, /* 20 - "AnyTerm" */ LookaheadDFA { - prod0: 104, + prod0: 105, transitions: &[], k: 0, }, /* 21 - "ArgumentItem" */ LookaheadDFA { - prod0: 421, + prod0: 424, transitions: &[], k: 0, }, /* 22 - "ArgumentList" */ LookaheadDFA { - prod0: 416, + prod0: 419, transitions: &[], k: 0, }, @@ -1202,118 +1209,118 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 44, 22, -1), Trans(1, 54, 4, -1), Trans(1, 68, 4, -1), - Trans(1, 73, 4, -1), - Trans(1, 80, 2, -1), - Trans(1, 83, 2, -1), - Trans(1, 86, 4, -1), - Trans(1, 107, 6, -1), + Trans(1, 74, 4, -1), + Trans(1, 81, 2, -1), + Trans(1, 84, 2, -1), + Trans(1, 87, 4, -1), Trans(1, 108, 6, -1), - Trans(2, 5, 3, 417), - Trans(2, 16, 3, 417), - Trans(2, 17, 3, 417), - Trans(2, 18, 3, 417), - Trans(2, 19, 3, 417), - Trans(2, 20, 3, 417), - Trans(2, 21, 3, 417), - Trans(2, 22, 3, 417), - Trans(2, 23, 3, 417), - Trans(2, 24, 3, 417), - Trans(2, 25, 3, 417), - Trans(2, 26, 3, 417), - Trans(2, 30, 3, 417), - Trans(2, 44, 3, 417), - Trans(2, 46, 3, 417), - Trans(2, 52, 3, 417), - Trans(4, 5, 3, 417), - Trans(4, 6, 3, 417), - Trans(4, 7, 3, 417), - Trans(4, 8, 3, 417), - Trans(4, 9, 3, 417), - Trans(4, 10, 3, 417), - Trans(4, 11, 3, 417), - Trans(4, 18, 3, 417), - Trans(4, 24, 3, 417), - Trans(4, 25, 3, 417), - Trans(4, 26, 3, 417), - Trans(4, 27, 3, 417), - Trans(4, 37, 3, 417), - Trans(4, 38, 3, 417), - Trans(4, 40, 3, 417), - Trans(4, 54, 3, 417), - Trans(4, 68, 3, 417), - Trans(4, 73, 3, 417), - Trans(4, 80, 3, 417), - Trans(4, 83, 3, 417), - Trans(4, 86, 3, 417), - Trans(4, 107, 3, 417), - Trans(4, 108, 3, 417), - Trans(5, 5, 3, 417), - Trans(5, 6, 3, 417), - Trans(5, 7, 3, 417), - Trans(5, 8, 3, 417), - Trans(5, 9, 3, 417), - Trans(5, 10, 3, 417), - Trans(5, 11, 3, 417), - Trans(5, 18, 3, 417), - Trans(5, 24, 3, 417), - Trans(5, 25, 3, 417), - Trans(5, 26, 3, 417), - Trans(5, 27, 3, 417), - Trans(5, 37, 3, 417), - Trans(5, 38, 3, 417), - Trans(5, 40, 3, 417), - Trans(5, 54, 3, 417), - Trans(5, 55, 3, 417), - Trans(5, 68, 3, 417), - Trans(5, 73, 3, 417), - Trans(5, 80, 3, 417), - Trans(5, 83, 3, 417), - Trans(5, 86, 3, 417), - Trans(5, 107, 3, 417), - Trans(5, 108, 3, 417), - Trans(6, 5, 3, 417), - Trans(6, 16, 3, 417), - Trans(6, 17, 3, 417), - Trans(6, 18, 3, 417), - Trans(6, 19, 3, 417), - Trans(6, 20, 3, 417), - Trans(6, 21, 3, 417), - Trans(6, 22, 3, 417), - Trans(6, 23, 3, 417), - Trans(6, 24, 3, 417), - Trans(6, 25, 3, 417), - Trans(6, 26, 3, 417), - Trans(6, 28, 3, 417), - Trans(6, 30, 3, 417), - Trans(6, 33, 3, 417), - Trans(6, 39, 3, 417), - Trans(6, 40, 3, 417), - Trans(6, 44, 3, 417), - Trans(6, 46, 3, 417), - Trans(6, 52, 3, 417), - Trans(7, 6, 3, 417), - Trans(7, 7, 3, 417), - Trans(7, 8, 3, 417), - Trans(7, 9, 3, 417), - Trans(7, 10, 3, 417), - Trans(7, 11, 3, 417), - Trans(7, 18, 3, 417), - Trans(7, 24, 3, 417), - Trans(7, 25, 3, 417), - Trans(7, 26, 3, 417), - Trans(7, 27, 3, 417), - Trans(7, 37, 3, 417), - Trans(7, 38, 3, 417), - Trans(7, 40, 3, 417), - Trans(7, 44, 21, 418), - Trans(7, 54, 3, 417), - Trans(7, 68, 3, 417), - Trans(7, 73, 3, 417), - Trans(7, 80, 3, 417), - Trans(7, 83, 3, 417), - Trans(7, 86, 3, 417), - Trans(7, 107, 3, 417), - Trans(7, 108, 3, 417), + Trans(1, 109, 6, -1), + Trans(2, 5, 3, 420), + Trans(2, 16, 3, 420), + Trans(2, 17, 3, 420), + Trans(2, 18, 3, 420), + Trans(2, 19, 3, 420), + Trans(2, 20, 3, 420), + Trans(2, 21, 3, 420), + Trans(2, 22, 3, 420), + Trans(2, 23, 3, 420), + Trans(2, 24, 3, 420), + Trans(2, 25, 3, 420), + Trans(2, 26, 3, 420), + Trans(2, 30, 3, 420), + Trans(2, 44, 3, 420), + Trans(2, 46, 3, 420), + Trans(2, 52, 3, 420), + Trans(4, 5, 3, 420), + Trans(4, 6, 3, 420), + Trans(4, 7, 3, 420), + Trans(4, 8, 3, 420), + Trans(4, 9, 3, 420), + Trans(4, 10, 3, 420), + Trans(4, 11, 3, 420), + Trans(4, 18, 3, 420), + Trans(4, 24, 3, 420), + Trans(4, 25, 3, 420), + Trans(4, 26, 3, 420), + Trans(4, 27, 3, 420), + Trans(4, 37, 3, 420), + Trans(4, 38, 3, 420), + Trans(4, 40, 3, 420), + Trans(4, 54, 3, 420), + Trans(4, 68, 3, 420), + Trans(4, 74, 3, 420), + Trans(4, 81, 3, 420), + Trans(4, 84, 3, 420), + Trans(4, 87, 3, 420), + Trans(4, 108, 3, 420), + Trans(4, 109, 3, 420), + Trans(5, 5, 3, 420), + Trans(5, 6, 3, 420), + Trans(5, 7, 3, 420), + Trans(5, 8, 3, 420), + Trans(5, 9, 3, 420), + Trans(5, 10, 3, 420), + Trans(5, 11, 3, 420), + Trans(5, 18, 3, 420), + Trans(5, 24, 3, 420), + Trans(5, 25, 3, 420), + Trans(5, 26, 3, 420), + Trans(5, 27, 3, 420), + Trans(5, 37, 3, 420), + Trans(5, 38, 3, 420), + Trans(5, 40, 3, 420), + Trans(5, 54, 3, 420), + Trans(5, 55, 3, 420), + Trans(5, 68, 3, 420), + Trans(5, 74, 3, 420), + Trans(5, 81, 3, 420), + Trans(5, 84, 3, 420), + Trans(5, 87, 3, 420), + Trans(5, 108, 3, 420), + Trans(5, 109, 3, 420), + Trans(6, 5, 3, 420), + Trans(6, 16, 3, 420), + Trans(6, 17, 3, 420), + Trans(6, 18, 3, 420), + Trans(6, 19, 3, 420), + Trans(6, 20, 3, 420), + Trans(6, 21, 3, 420), + Trans(6, 22, 3, 420), + Trans(6, 23, 3, 420), + Trans(6, 24, 3, 420), + Trans(6, 25, 3, 420), + Trans(6, 26, 3, 420), + Trans(6, 28, 3, 420), + Trans(6, 30, 3, 420), + Trans(6, 33, 3, 420), + Trans(6, 39, 3, 420), + Trans(6, 40, 3, 420), + Trans(6, 44, 3, 420), + Trans(6, 46, 3, 420), + Trans(6, 52, 3, 420), + Trans(7, 6, 3, 420), + Trans(7, 7, 3, 420), + Trans(7, 8, 3, 420), + Trans(7, 9, 3, 420), + Trans(7, 10, 3, 420), + Trans(7, 11, 3, 420), + Trans(7, 18, 3, 420), + Trans(7, 24, 3, 420), + Trans(7, 25, 3, 420), + Trans(7, 26, 3, 420), + Trans(7, 27, 3, 420), + Trans(7, 37, 3, 420), + Trans(7, 38, 3, 420), + Trans(7, 40, 3, 420), + Trans(7, 44, 21, 421), + Trans(7, 54, 3, 420), + Trans(7, 68, 3, 420), + Trans(7, 74, 3, 420), + Trans(7, 81, 3, 420), + Trans(7, 84, 3, 420), + Trans(7, 87, 3, 420), + Trans(7, 108, 3, 420), + Trans(7, 109, 3, 420), Trans(8, 5, 9, -1), Trans(8, 12, 10, -1), Trans(8, 14, 10, -1), @@ -1340,411 +1347,411 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(8, 45, 18, -1), Trans(8, 46, 10, -1), Trans(8, 52, 19, -1), - Trans(8, 92, 10, -1), - Trans(8, 96, 20, -1), - Trans(9, 12, 21, 418), - Trans(9, 14, 21, 418), - Trans(9, 16, 21, 418), - Trans(9, 17, 21, 418), - Trans(9, 18, 21, 418), - Trans(9, 19, 21, 418), - Trans(9, 20, 21, 418), - Trans(9, 21, 21, 418), - Trans(9, 22, 21, 418), - Trans(9, 23, 21, 418), - Trans(9, 24, 21, 418), - Trans(9, 25, 21, 418), - Trans(9, 26, 21, 418), - Trans(9, 29, 21, 418), - Trans(9, 30, 21, 418), - Trans(9, 31, 21, 418), - Trans(9, 32, 21, 418), - Trans(9, 38, 21, 418), - Trans(9, 41, 21, 418), - Trans(9, 42, 21, 418), - Trans(9, 43, 21, 418), - Trans(9, 44, 21, 418), - Trans(9, 45, 21, 418), - Trans(9, 46, 21, 418), - Trans(9, 52, 21, 418), - Trans(9, 92, 21, 418), - Trans(9, 96, 21, 418), - Trans(10, 5, 21, 418), - Trans(10, 6, 21, 418), - Trans(10, 7, 21, 418), - Trans(10, 8, 21, 418), - Trans(10, 9, 21, 418), - Trans(10, 10, 21, 418), - Trans(10, 11, 21, 418), - Trans(10, 18, 21, 418), - Trans(10, 24, 21, 418), - Trans(10, 25, 21, 418), - Trans(10, 26, 21, 418), - Trans(10, 27, 21, 418), - Trans(10, 37, 21, 418), - Trans(10, 38, 21, 418), - Trans(10, 40, 21, 418), - Trans(10, 54, 21, 418), - Trans(10, 68, 21, 418), - Trans(10, 73, 21, 418), - Trans(10, 80, 21, 418), - Trans(10, 83, 21, 418), - Trans(10, 86, 21, 418), - Trans(10, 107, 21, 418), - Trans(10, 108, 21, 418), - Trans(11, 5, 21, 418), - Trans(11, 6, 21, 418), - Trans(11, 7, 21, 418), - Trans(11, 8, 21, 418), - Trans(11, 9, 21, 418), - Trans(11, 10, 21, 418), - Trans(11, 11, 21, 418), - Trans(11, 18, 21, 418), - Trans(11, 24, 21, 418), - Trans(11, 25, 21, 418), - Trans(11, 26, 21, 418), - Trans(11, 27, 21, 418), - Trans(11, 37, 21, 418), - Trans(11, 38, 21, 418), - Trans(11, 40, 21, 418), - Trans(11, 54, 21, 418), - Trans(11, 63, 21, 418), - Trans(11, 67, 21, 418), - Trans(11, 68, 21, 418), - Trans(11, 73, 21, 418), - Trans(11, 77, 21, 418), - Trans(11, 80, 21, 418), - Trans(11, 83, 21, 418), - Trans(11, 86, 21, 418), - Trans(11, 93, 21, 418), - Trans(11, 94, 21, 418), - Trans(11, 107, 21, 418), - Trans(11, 108, 21, 418), - Trans(12, 5, 21, 418), - Trans(12, 6, 21, 418), - Trans(12, 7, 21, 418), - Trans(12, 8, 21, 418), - Trans(12, 9, 21, 418), - Trans(12, 10, 21, 418), - Trans(12, 11, 21, 418), - Trans(12, 18, 21, 418), - Trans(12, 24, 21, 418), - Trans(12, 25, 21, 418), - Trans(12, 26, 21, 418), - Trans(12, 27, 21, 418), - Trans(12, 35, 21, 418), - Trans(12, 37, 21, 418), - Trans(12, 38, 21, 418), - Trans(12, 40, 21, 418), - Trans(12, 42, 21, 418), - Trans(12, 44, 21, 418), - Trans(12, 54, 21, 418), - Trans(12, 55, 21, 418), - Trans(12, 68, 21, 418), - Trans(12, 73, 21, 418), - Trans(12, 78, 21, 418), - Trans(12, 80, 21, 418), - Trans(12, 83, 21, 418), - Trans(12, 86, 21, 418), - Trans(12, 88, 21, 418), - Trans(12, 107, 21, 418), - Trans(12, 108, 21, 418), - Trans(13, 5, 21, 418), - Trans(13, 6, 21, 418), - Trans(13, 7, 21, 418), - Trans(13, 8, 21, 418), - Trans(13, 9, 21, 418), - Trans(13, 10, 21, 418), - Trans(13, 11, 21, 418), - Trans(13, 18, 21, 418), - Trans(13, 24, 21, 418), - Trans(13, 25, 21, 418), - Trans(13, 26, 21, 418), - Trans(13, 27, 21, 418), - Trans(13, 29, 21, 418), - Trans(13, 35, 21, 418), - Trans(13, 37, 21, 418), - Trans(13, 38, 21, 418), - Trans(13, 40, 21, 418), - Trans(13, 42, 21, 418), - Trans(13, 47, 21, 418), - Trans(13, 48, 21, 418), - Trans(13, 49, 21, 418), - Trans(13, 54, 21, 418), - Trans(13, 55, 21, 418), - Trans(13, 58, 21, 418), - Trans(13, 62, 21, 418), - Trans(13, 63, 21, 418), - Trans(13, 64, 21, 418), - Trans(13, 67, 21, 418), - Trans(13, 68, 21, 418), - Trans(13, 69, 21, 418), - Trans(13, 70, 21, 418), - Trans(13, 73, 21, 418), - Trans(13, 74, 21, 418), - Trans(13, 77, 21, 418), - Trans(13, 78, 21, 418), - Trans(13, 80, 21, 418), - Trans(13, 81, 21, 418), - Trans(13, 83, 21, 418), - Trans(13, 86, 21, 418), - Trans(13, 93, 21, 418), - Trans(13, 94, 21, 418), - Trans(13, 98, 21, 418), - Trans(13, 102, 21, 418), - Trans(13, 105, 21, 418), - Trans(13, 106, 21, 418), - Trans(13, 107, 21, 418), - Trans(13, 108, 21, 418), - Trans(14, 5, 21, 418), - Trans(14, 30, 21, 418), - Trans(14, 34, 21, 418), - Trans(14, 38, 21, 418), - Trans(14, 39, 21, 418), - Trans(14, 42, 21, 418), - Trans(14, 44, 21, 418), - Trans(14, 45, 21, 418), - Trans(14, 76, 21, 418), - Trans(15, 5, 21, 418), - Trans(15, 12, 21, 418), - Trans(15, 14, 21, 418), - Trans(15, 16, 21, 418), - Trans(15, 17, 21, 418), - Trans(15, 18, 21, 418), - Trans(15, 19, 21, 418), - Trans(15, 20, 21, 418), - Trans(15, 21, 21, 418), - Trans(15, 22, 21, 418), - Trans(15, 23, 21, 418), - Trans(15, 24, 21, 418), - Trans(15, 25, 21, 418), - Trans(15, 26, 21, 418), - Trans(15, 29, 21, 418), - Trans(15, 30, 21, 418), - Trans(15, 31, 21, 418), - Trans(15, 32, 21, 418), - Trans(15, 35, 21, 418), - Trans(15, 38, 21, 418), - Trans(15, 41, 21, 418), - Trans(15, 42, 21, 418), - Trans(15, 43, 21, 418), - Trans(15, 44, 21, 418), - Trans(15, 45, 21, 418), - Trans(15, 46, 21, 418), - Trans(15, 47, 21, 418), - Trans(15, 48, 21, 418), - Trans(15, 49, 21, 418), - Trans(15, 52, 21, 418), - Trans(15, 56, 21, 418), - Trans(15, 58, 21, 418), - Trans(15, 59, 21, 418), - Trans(15, 62, 21, 418), - Trans(15, 63, 21, 418), - Trans(15, 64, 21, 418), - Trans(15, 68, 21, 418), - Trans(15, 69, 21, 418), - Trans(15, 70, 21, 418), - Trans(15, 74, 21, 418), - Trans(15, 77, 21, 418), - Trans(15, 78, 21, 418), - Trans(15, 81, 21, 418), - Trans(15, 92, 21, 418), - Trans(15, 96, 21, 418), - Trans(15, 98, 21, 418), - Trans(15, 102, 21, 418), - Trans(15, 105, 21, 418), - Trans(15, 106, 21, 418), - Trans(16, 5, 21, 418), - Trans(16, 12, 21, 418), - Trans(16, 14, 21, 418), - Trans(16, 15, 21, 418), - Trans(16, 16, 21, 418), - Trans(16, 17, 21, 418), - Trans(16, 18, 21, 418), - Trans(16, 19, 21, 418), - Trans(16, 20, 21, 418), - Trans(16, 21, 21, 418), - Trans(16, 22, 21, 418), - Trans(16, 23, 21, 418), - Trans(16, 24, 21, 418), - Trans(16, 25, 21, 418), - Trans(16, 26, 21, 418), - Trans(16, 29, 21, 418), - Trans(16, 30, 21, 418), - Trans(16, 31, 21, 418), - Trans(16, 32, 21, 418), - Trans(16, 33, 21, 418), - Trans(16, 34, 21, 418), - Trans(16, 35, 21, 418), - Trans(16, 38, 21, 418), - Trans(16, 39, 21, 418), - Trans(16, 40, 21, 418), - Trans(16, 41, 21, 418), - Trans(16, 42, 21, 418), - Trans(16, 43, 21, 418), - Trans(16, 44, 21, 418), - Trans(16, 45, 21, 418), - Trans(16, 46, 21, 418), - Trans(16, 52, 21, 418), - Trans(16, 92, 21, 418), - Trans(16, 96, 21, 418), - Trans(17, 5, 21, 418), - Trans(17, 12, 21, 418), - Trans(17, 13, 21, 418), - Trans(17, 14, 21, 418), - Trans(17, 16, 21, 418), - Trans(17, 17, 21, 418), - Trans(17, 18, 21, 418), - Trans(17, 19, 21, 418), - Trans(17, 20, 21, 418), - Trans(17, 21, 21, 418), - Trans(17, 22, 21, 418), - Trans(17, 23, 21, 418), - Trans(17, 24, 21, 418), - Trans(17, 25, 21, 418), - Trans(17, 26, 21, 418), - Trans(17, 29, 21, 418), - Trans(17, 30, 21, 418), - Trans(17, 31, 21, 418), - Trans(17, 32, 21, 418), - Trans(17, 38, 21, 418), - Trans(17, 40, 21, 418), - Trans(17, 41, 21, 418), - Trans(17, 42, 21, 418), - Trans(17, 43, 21, 418), - Trans(17, 44, 21, 418), - Trans(17, 45, 21, 418), - Trans(17, 46, 21, 418), - Trans(17, 52, 21, 418), - Trans(17, 92, 21, 418), - Trans(17, 96, 21, 418), - Trans(18, 5, 21, 418), - Trans(18, 6, 21, 418), - Trans(18, 7, 21, 418), - Trans(18, 8, 21, 418), - Trans(18, 9, 21, 418), - Trans(18, 10, 21, 418), - Trans(18, 11, 21, 418), - Trans(18, 18, 21, 418), - Trans(18, 24, 21, 418), - Trans(18, 25, 21, 418), - Trans(18, 26, 21, 418), - Trans(18, 27, 21, 418), - Trans(18, 29, 21, 418), - Trans(18, 35, 21, 418), - Trans(18, 37, 21, 418), - Trans(18, 38, 21, 418), - Trans(18, 40, 21, 418), - Trans(18, 42, 21, 418), - Trans(18, 47, 21, 418), - Trans(18, 48, 21, 418), - Trans(18, 49, 21, 418), - Trans(18, 54, 21, 418), - Trans(18, 55, 21, 418), - Trans(18, 58, 21, 418), - Trans(18, 59, 21, 418), - Trans(18, 62, 21, 418), - Trans(18, 63, 21, 418), - Trans(18, 64, 21, 418), - Trans(18, 67, 21, 418), - Trans(18, 68, 21, 418), - Trans(18, 69, 21, 418), - Trans(18, 70, 21, 418), - Trans(18, 73, 21, 418), - Trans(18, 74, 21, 418), - Trans(18, 77, 21, 418), - Trans(18, 78, 21, 418), - Trans(18, 80, 21, 418), - Trans(18, 81, 21, 418), - Trans(18, 83, 21, 418), - Trans(18, 86, 21, 418), - Trans(18, 93, 21, 418), - Trans(18, 94, 21, 418), - Trans(18, 98, 21, 418), - Trans(18, 102, 21, 418), - Trans(18, 105, 21, 418), - Trans(18, 106, 21, 418), - Trans(18, 107, 21, 418), - Trans(18, 108, 21, 418), - Trans(19, 5, 21, 418), - Trans(19, 107, 21, 418), - Trans(19, 108, 21, 418), - Trans(20, 5, 21, 418), - Trans(20, 6, 21, 418), - Trans(20, 7, 21, 418), - Trans(20, 8, 21, 418), - Trans(20, 9, 21, 418), - Trans(20, 10, 21, 418), - Trans(20, 11, 21, 418), - Trans(20, 15, 21, 418), - Trans(20, 18, 21, 418), - Trans(20, 24, 21, 418), - Trans(20, 25, 21, 418), - Trans(20, 26, 21, 418), - Trans(20, 27, 21, 418), - Trans(20, 37, 21, 418), - Trans(20, 38, 21, 418), - Trans(20, 40, 21, 418), - Trans(20, 54, 21, 418), - Trans(20, 68, 21, 418), - Trans(20, 73, 21, 418), - Trans(20, 80, 21, 418), - Trans(20, 83, 21, 418), - Trans(20, 86, 21, 418), - Trans(20, 107, 21, 418), - Trans(20, 108, 21, 418), - Trans(22, 5, 21, 418), - Trans(22, 12, 21, 418), - Trans(22, 14, 21, 418), - Trans(22, 16, 21, 418), - Trans(22, 17, 21, 418), - Trans(22, 18, 21, 418), - Trans(22, 19, 21, 418), - Trans(22, 20, 21, 418), - Trans(22, 21, 21, 418), - Trans(22, 22, 21, 418), - Trans(22, 23, 21, 418), - Trans(22, 24, 21, 418), - Trans(22, 25, 21, 418), - Trans(22, 26, 21, 418), - Trans(22, 29, 21, 418), - Trans(22, 30, 21, 418), - Trans(22, 31, 21, 418), - Trans(22, 32, 21, 418), - Trans(22, 38, 21, 418), - Trans(22, 41, 21, 418), - Trans(22, 42, 21, 418), - Trans(22, 43, 21, 418), - Trans(22, 44, 21, 418), - Trans(22, 45, 21, 418), - Trans(22, 46, 21, 418), - Trans(22, 52, 21, 418), - Trans(22, 92, 21, 418), - Trans(22, 96, 21, 418), + Trans(8, 93, 10, -1), + Trans(8, 97, 20, -1), + Trans(9, 12, 21, 421), + Trans(9, 14, 21, 421), + Trans(9, 16, 21, 421), + Trans(9, 17, 21, 421), + Trans(9, 18, 21, 421), + Trans(9, 19, 21, 421), + Trans(9, 20, 21, 421), + Trans(9, 21, 21, 421), + Trans(9, 22, 21, 421), + Trans(9, 23, 21, 421), + Trans(9, 24, 21, 421), + Trans(9, 25, 21, 421), + Trans(9, 26, 21, 421), + Trans(9, 29, 21, 421), + Trans(9, 30, 21, 421), + Trans(9, 31, 21, 421), + Trans(9, 32, 21, 421), + Trans(9, 38, 21, 421), + Trans(9, 41, 21, 421), + Trans(9, 42, 21, 421), + Trans(9, 43, 21, 421), + Trans(9, 44, 21, 421), + Trans(9, 45, 21, 421), + Trans(9, 46, 21, 421), + Trans(9, 52, 21, 421), + Trans(9, 93, 21, 421), + Trans(9, 97, 21, 421), + Trans(10, 5, 21, 421), + Trans(10, 6, 21, 421), + Trans(10, 7, 21, 421), + Trans(10, 8, 21, 421), + Trans(10, 9, 21, 421), + Trans(10, 10, 21, 421), + Trans(10, 11, 21, 421), + Trans(10, 18, 21, 421), + Trans(10, 24, 21, 421), + Trans(10, 25, 21, 421), + Trans(10, 26, 21, 421), + Trans(10, 27, 21, 421), + Trans(10, 37, 21, 421), + Trans(10, 38, 21, 421), + Trans(10, 40, 21, 421), + Trans(10, 54, 21, 421), + Trans(10, 68, 21, 421), + Trans(10, 74, 21, 421), + Trans(10, 81, 21, 421), + Trans(10, 84, 21, 421), + Trans(10, 87, 21, 421), + Trans(10, 108, 21, 421), + Trans(10, 109, 21, 421), + Trans(11, 5, 21, 421), + Trans(11, 6, 21, 421), + Trans(11, 7, 21, 421), + Trans(11, 8, 21, 421), + Trans(11, 9, 21, 421), + Trans(11, 10, 21, 421), + Trans(11, 11, 21, 421), + Trans(11, 18, 21, 421), + Trans(11, 24, 21, 421), + Trans(11, 25, 21, 421), + Trans(11, 26, 21, 421), + Trans(11, 27, 21, 421), + Trans(11, 37, 21, 421), + Trans(11, 38, 21, 421), + Trans(11, 40, 21, 421), + Trans(11, 54, 21, 421), + Trans(11, 63, 21, 421), + Trans(11, 67, 21, 421), + Trans(11, 68, 21, 421), + Trans(11, 74, 21, 421), + Trans(11, 78, 21, 421), + Trans(11, 81, 21, 421), + Trans(11, 84, 21, 421), + Trans(11, 87, 21, 421), + Trans(11, 94, 21, 421), + Trans(11, 95, 21, 421), + Trans(11, 108, 21, 421), + Trans(11, 109, 21, 421), + Trans(12, 5, 21, 421), + Trans(12, 6, 21, 421), + Trans(12, 7, 21, 421), + Trans(12, 8, 21, 421), + Trans(12, 9, 21, 421), + Trans(12, 10, 21, 421), + Trans(12, 11, 21, 421), + Trans(12, 18, 21, 421), + Trans(12, 24, 21, 421), + Trans(12, 25, 21, 421), + Trans(12, 26, 21, 421), + Trans(12, 27, 21, 421), + Trans(12, 35, 21, 421), + Trans(12, 37, 21, 421), + Trans(12, 38, 21, 421), + Trans(12, 40, 21, 421), + Trans(12, 42, 21, 421), + Trans(12, 44, 21, 421), + Trans(12, 54, 21, 421), + Trans(12, 55, 21, 421), + Trans(12, 68, 21, 421), + Trans(12, 74, 21, 421), + Trans(12, 79, 21, 421), + Trans(12, 81, 21, 421), + Trans(12, 84, 21, 421), + Trans(12, 87, 21, 421), + Trans(12, 89, 21, 421), + Trans(12, 108, 21, 421), + Trans(12, 109, 21, 421), + Trans(13, 5, 21, 421), + Trans(13, 6, 21, 421), + Trans(13, 7, 21, 421), + Trans(13, 8, 21, 421), + Trans(13, 9, 21, 421), + Trans(13, 10, 21, 421), + Trans(13, 11, 21, 421), + Trans(13, 18, 21, 421), + Trans(13, 24, 21, 421), + Trans(13, 25, 21, 421), + Trans(13, 26, 21, 421), + Trans(13, 27, 21, 421), + Trans(13, 29, 21, 421), + Trans(13, 35, 21, 421), + Trans(13, 37, 21, 421), + Trans(13, 38, 21, 421), + Trans(13, 40, 21, 421), + Trans(13, 42, 21, 421), + Trans(13, 47, 21, 421), + Trans(13, 48, 21, 421), + Trans(13, 49, 21, 421), + Trans(13, 54, 21, 421), + Trans(13, 55, 21, 421), + Trans(13, 58, 21, 421), + Trans(13, 62, 21, 421), + Trans(13, 63, 21, 421), + Trans(13, 64, 21, 421), + Trans(13, 67, 21, 421), + Trans(13, 68, 21, 421), + Trans(13, 69, 21, 421), + Trans(13, 71, 21, 421), + Trans(13, 74, 21, 421), + Trans(13, 75, 21, 421), + Trans(13, 78, 21, 421), + Trans(13, 79, 21, 421), + Trans(13, 81, 21, 421), + Trans(13, 82, 21, 421), + Trans(13, 84, 21, 421), + Trans(13, 87, 21, 421), + Trans(13, 94, 21, 421), + Trans(13, 95, 21, 421), + Trans(13, 99, 21, 421), + Trans(13, 103, 21, 421), + Trans(13, 106, 21, 421), + Trans(13, 107, 21, 421), + Trans(13, 108, 21, 421), + Trans(13, 109, 21, 421), + Trans(14, 5, 21, 421), + Trans(14, 30, 21, 421), + Trans(14, 34, 21, 421), + Trans(14, 38, 21, 421), + Trans(14, 39, 21, 421), + Trans(14, 42, 21, 421), + Trans(14, 44, 21, 421), + Trans(14, 45, 21, 421), + Trans(14, 77, 21, 421), + Trans(15, 5, 21, 421), + Trans(15, 12, 21, 421), + Trans(15, 14, 21, 421), + Trans(15, 16, 21, 421), + Trans(15, 17, 21, 421), + Trans(15, 18, 21, 421), + Trans(15, 19, 21, 421), + Trans(15, 20, 21, 421), + Trans(15, 21, 21, 421), + Trans(15, 22, 21, 421), + Trans(15, 23, 21, 421), + Trans(15, 24, 21, 421), + Trans(15, 25, 21, 421), + Trans(15, 26, 21, 421), + Trans(15, 29, 21, 421), + Trans(15, 30, 21, 421), + Trans(15, 31, 21, 421), + Trans(15, 32, 21, 421), + Trans(15, 35, 21, 421), + Trans(15, 38, 21, 421), + Trans(15, 41, 21, 421), + Trans(15, 42, 21, 421), + Trans(15, 43, 21, 421), + Trans(15, 44, 21, 421), + Trans(15, 45, 21, 421), + Trans(15, 46, 21, 421), + Trans(15, 47, 21, 421), + Trans(15, 48, 21, 421), + Trans(15, 49, 21, 421), + Trans(15, 52, 21, 421), + Trans(15, 56, 21, 421), + Trans(15, 58, 21, 421), + Trans(15, 59, 21, 421), + Trans(15, 62, 21, 421), + Trans(15, 63, 21, 421), + Trans(15, 64, 21, 421), + Trans(15, 68, 21, 421), + Trans(15, 69, 21, 421), + Trans(15, 71, 21, 421), + Trans(15, 75, 21, 421), + Trans(15, 78, 21, 421), + Trans(15, 79, 21, 421), + Trans(15, 82, 21, 421), + Trans(15, 93, 21, 421), + Trans(15, 97, 21, 421), + Trans(15, 99, 21, 421), + Trans(15, 103, 21, 421), + Trans(15, 106, 21, 421), + Trans(15, 107, 21, 421), + Trans(16, 5, 21, 421), + Trans(16, 12, 21, 421), + Trans(16, 14, 21, 421), + Trans(16, 15, 21, 421), + Trans(16, 16, 21, 421), + Trans(16, 17, 21, 421), + Trans(16, 18, 21, 421), + Trans(16, 19, 21, 421), + Trans(16, 20, 21, 421), + Trans(16, 21, 21, 421), + Trans(16, 22, 21, 421), + Trans(16, 23, 21, 421), + Trans(16, 24, 21, 421), + Trans(16, 25, 21, 421), + Trans(16, 26, 21, 421), + Trans(16, 29, 21, 421), + Trans(16, 30, 21, 421), + Trans(16, 31, 21, 421), + Trans(16, 32, 21, 421), + Trans(16, 33, 21, 421), + Trans(16, 34, 21, 421), + Trans(16, 35, 21, 421), + Trans(16, 38, 21, 421), + Trans(16, 39, 21, 421), + Trans(16, 40, 21, 421), + Trans(16, 41, 21, 421), + Trans(16, 42, 21, 421), + Trans(16, 43, 21, 421), + Trans(16, 44, 21, 421), + Trans(16, 45, 21, 421), + Trans(16, 46, 21, 421), + Trans(16, 52, 21, 421), + Trans(16, 93, 21, 421), + Trans(16, 97, 21, 421), + Trans(17, 5, 21, 421), + Trans(17, 12, 21, 421), + Trans(17, 13, 21, 421), + Trans(17, 14, 21, 421), + Trans(17, 16, 21, 421), + Trans(17, 17, 21, 421), + Trans(17, 18, 21, 421), + Trans(17, 19, 21, 421), + Trans(17, 20, 21, 421), + Trans(17, 21, 21, 421), + Trans(17, 22, 21, 421), + Trans(17, 23, 21, 421), + Trans(17, 24, 21, 421), + Trans(17, 25, 21, 421), + Trans(17, 26, 21, 421), + Trans(17, 29, 21, 421), + Trans(17, 30, 21, 421), + Trans(17, 31, 21, 421), + Trans(17, 32, 21, 421), + Trans(17, 38, 21, 421), + Trans(17, 40, 21, 421), + Trans(17, 41, 21, 421), + Trans(17, 42, 21, 421), + Trans(17, 43, 21, 421), + Trans(17, 44, 21, 421), + Trans(17, 45, 21, 421), + Trans(17, 46, 21, 421), + Trans(17, 52, 21, 421), + Trans(17, 93, 21, 421), + Trans(17, 97, 21, 421), + Trans(18, 5, 21, 421), + Trans(18, 6, 21, 421), + Trans(18, 7, 21, 421), + Trans(18, 8, 21, 421), + Trans(18, 9, 21, 421), + Trans(18, 10, 21, 421), + Trans(18, 11, 21, 421), + Trans(18, 18, 21, 421), + Trans(18, 24, 21, 421), + Trans(18, 25, 21, 421), + Trans(18, 26, 21, 421), + Trans(18, 27, 21, 421), + Trans(18, 29, 21, 421), + Trans(18, 35, 21, 421), + Trans(18, 37, 21, 421), + Trans(18, 38, 21, 421), + Trans(18, 40, 21, 421), + Trans(18, 42, 21, 421), + Trans(18, 47, 21, 421), + Trans(18, 48, 21, 421), + Trans(18, 49, 21, 421), + Trans(18, 54, 21, 421), + Trans(18, 55, 21, 421), + Trans(18, 58, 21, 421), + Trans(18, 59, 21, 421), + Trans(18, 62, 21, 421), + Trans(18, 63, 21, 421), + Trans(18, 64, 21, 421), + Trans(18, 67, 21, 421), + Trans(18, 68, 21, 421), + Trans(18, 69, 21, 421), + Trans(18, 71, 21, 421), + Trans(18, 74, 21, 421), + Trans(18, 75, 21, 421), + Trans(18, 78, 21, 421), + Trans(18, 79, 21, 421), + Trans(18, 81, 21, 421), + Trans(18, 82, 21, 421), + Trans(18, 84, 21, 421), + Trans(18, 87, 21, 421), + Trans(18, 94, 21, 421), + Trans(18, 95, 21, 421), + Trans(18, 99, 21, 421), + Trans(18, 103, 21, 421), + Trans(18, 106, 21, 421), + Trans(18, 107, 21, 421), + Trans(18, 108, 21, 421), + Trans(18, 109, 21, 421), + Trans(19, 5, 21, 421), + Trans(19, 108, 21, 421), + Trans(19, 109, 21, 421), + Trans(20, 5, 21, 421), + Trans(20, 6, 21, 421), + Trans(20, 7, 21, 421), + Trans(20, 8, 21, 421), + Trans(20, 9, 21, 421), + Trans(20, 10, 21, 421), + Trans(20, 11, 21, 421), + Trans(20, 15, 21, 421), + Trans(20, 18, 21, 421), + Trans(20, 24, 21, 421), + Trans(20, 25, 21, 421), + Trans(20, 26, 21, 421), + Trans(20, 27, 21, 421), + Trans(20, 37, 21, 421), + Trans(20, 38, 21, 421), + Trans(20, 40, 21, 421), + Trans(20, 54, 21, 421), + Trans(20, 68, 21, 421), + Trans(20, 74, 21, 421), + Trans(20, 81, 21, 421), + Trans(20, 84, 21, 421), + Trans(20, 87, 21, 421), + Trans(20, 108, 21, 421), + Trans(20, 109, 21, 421), + Trans(22, 5, 21, 421), + Trans(22, 12, 21, 421), + Trans(22, 14, 21, 421), + Trans(22, 16, 21, 421), + Trans(22, 17, 21, 421), + Trans(22, 18, 21, 421), + Trans(22, 19, 21, 421), + Trans(22, 20, 21, 421), + Trans(22, 21, 21, 421), + Trans(22, 22, 21, 421), + Trans(22, 23, 21, 421), + Trans(22, 24, 21, 421), + Trans(22, 25, 21, 421), + Trans(22, 26, 21, 421), + Trans(22, 29, 21, 421), + Trans(22, 30, 21, 421), + Trans(22, 31, 21, 421), + Trans(22, 32, 21, 421), + Trans(22, 38, 21, 421), + Trans(22, 41, 21, 421), + Trans(22, 42, 21, 421), + Trans(22, 43, 21, 421), + Trans(22, 44, 21, 421), + Trans(22, 45, 21, 421), + Trans(22, 46, 21, 421), + Trans(22, 52, 21, 421), + Trans(22, 93, 21, 421), + Trans(22, 97, 21, 421), ], k: 3, }, /* 24 - "ArgumentListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 419), Trans(0, 44, 2, 420)], + transitions: &[Trans(0, 30, 1, 422), Trans(0, 44, 2, 423)], k: 1, }, /* 25 - "Array" */ LookaheadDFA { - prod0: 472, + prod0: 475, transitions: &[], k: 0, }, /* 26 - "ArrayList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 473), Trans(0, 43, 2, 474)], + transitions: &[Trans(0, 30, 1, 476), Trans(0, 43, 2, 477)], k: 1, }, /* 27 - "ArrayLiteralItem" */ LookaheadDFA { - prod0: 435, + prod0: 438, transitions: &[], k: 0, }, @@ -1752,29 +1759,29 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 436), - Trans(0, 7, 1, 436), - Trans(0, 8, 1, 436), - Trans(0, 9, 1, 436), - Trans(0, 10, 1, 436), - Trans(0, 11, 1, 436), - Trans(0, 18, 1, 436), - Trans(0, 24, 1, 436), - Trans(0, 25, 1, 436), - Trans(0, 26, 1, 436), - Trans(0, 27, 1, 436), - Trans(0, 37, 1, 436), - Trans(0, 38, 1, 436), - Trans(0, 40, 1, 436), - Trans(0, 54, 1, 436), - Trans(0, 55, 2, 437), - Trans(0, 68, 1, 436), - Trans(0, 73, 1, 436), - Trans(0, 80, 1, 436), - Trans(0, 83, 1, 436), - Trans(0, 86, 1, 436), - Trans(0, 107, 1, 436), - Trans(0, 108, 1, 436), + Trans(0, 6, 1, 439), + Trans(0, 7, 1, 439), + Trans(0, 8, 1, 439), + Trans(0, 9, 1, 439), + Trans(0, 10, 1, 439), + Trans(0, 11, 1, 439), + Trans(0, 18, 1, 439), + Trans(0, 24, 1, 439), + Trans(0, 25, 1, 439), + Trans(0, 26, 1, 439), + Trans(0, 27, 1, 439), + Trans(0, 37, 1, 439), + Trans(0, 38, 1, 439), + Trans(0, 40, 1, 439), + Trans(0, 54, 1, 439), + Trans(0, 55, 2, 440), + Trans(0, 68, 1, 439), + Trans(0, 74, 1, 439), + Trans(0, 81, 1, 439), + Trans(0, 84, 1, 439), + Trans(0, 87, 1, 439), + Trans(0, 108, 1, 439), + Trans(0, 109, 1, 439), ], k: 1, }, @@ -1782,15 +1789,15 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 439), - Trans(0, 42, 2, 439), - Trans(0, 92, 1, 438), + Trans(0, 30, 2, 442), + Trans(0, 42, 2, 442), + Trans(0, 93, 1, 441), ], k: 1, }, /* 30 - "ArrayLiteralList" */ LookaheadDFA { - prod0: 430, + prod0: 433, transitions: &[], k: 0, }, @@ -1819,123 +1826,123 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 54, 4, -1), Trans(1, 55, 6, -1), Trans(1, 68, 4, -1), - Trans(1, 73, 4, -1), - Trans(1, 80, 2, -1), - Trans(1, 83, 2, -1), - Trans(1, 86, 4, -1), - Trans(1, 107, 7, -1), + Trans(1, 74, 4, -1), + Trans(1, 81, 2, -1), + Trans(1, 84, 2, -1), + Trans(1, 87, 4, -1), Trans(1, 108, 7, -1), - Trans(2, 5, 3, 431), - Trans(2, 16, 3, 431), - Trans(2, 17, 3, 431), - Trans(2, 18, 3, 431), - Trans(2, 19, 3, 431), - Trans(2, 20, 3, 431), - Trans(2, 21, 3, 431), - Trans(2, 22, 3, 431), - Trans(2, 23, 3, 431), - Trans(2, 24, 3, 431), - Trans(2, 25, 3, 431), - Trans(2, 26, 3, 431), - Trans(2, 30, 3, 431), - Trans(2, 42, 3, 431), - Trans(2, 46, 3, 431), - Trans(2, 52, 3, 431), - Trans(2, 92, 3, 431), - Trans(4, 5, 3, 431), - Trans(4, 6, 3, 431), - Trans(4, 7, 3, 431), - Trans(4, 8, 3, 431), - Trans(4, 9, 3, 431), - Trans(4, 10, 3, 431), - Trans(4, 11, 3, 431), - Trans(4, 18, 3, 431), - Trans(4, 24, 3, 431), - Trans(4, 25, 3, 431), - Trans(4, 26, 3, 431), - Trans(4, 27, 3, 431), - Trans(4, 37, 3, 431), - Trans(4, 38, 3, 431), - Trans(4, 40, 3, 431), - Trans(4, 54, 3, 431), - Trans(4, 68, 3, 431), - Trans(4, 73, 3, 431), - Trans(4, 80, 3, 431), - Trans(4, 83, 3, 431), - Trans(4, 86, 3, 431), - Trans(4, 107, 3, 431), - Trans(4, 108, 3, 431), - Trans(5, 5, 3, 431), - Trans(5, 6, 3, 431), - Trans(5, 7, 3, 431), - Trans(5, 8, 3, 431), - Trans(5, 9, 3, 431), - Trans(5, 10, 3, 431), - Trans(5, 11, 3, 431), - Trans(5, 18, 3, 431), - Trans(5, 24, 3, 431), - Trans(5, 25, 3, 431), - Trans(5, 26, 3, 431), - Trans(5, 27, 3, 431), - Trans(5, 37, 3, 431), - Trans(5, 38, 3, 431), - Trans(5, 40, 3, 431), - Trans(5, 54, 3, 431), - Trans(5, 55, 3, 431), - Trans(5, 68, 3, 431), - Trans(5, 73, 3, 431), - Trans(5, 80, 3, 431), - Trans(5, 83, 3, 431), - Trans(5, 86, 3, 431), - Trans(5, 107, 3, 431), - Trans(5, 108, 3, 431), - Trans(6, 5, 3, 431), - Trans(6, 29, 3, 431), - Trans(7, 5, 3, 431), - Trans(7, 16, 3, 431), - Trans(7, 17, 3, 431), - Trans(7, 18, 3, 431), - Trans(7, 19, 3, 431), - Trans(7, 20, 3, 431), - Trans(7, 21, 3, 431), - Trans(7, 22, 3, 431), - Trans(7, 23, 3, 431), - Trans(7, 24, 3, 431), - Trans(7, 25, 3, 431), - Trans(7, 26, 3, 431), - Trans(7, 28, 3, 431), - Trans(7, 30, 3, 431), - Trans(7, 33, 3, 431), - Trans(7, 39, 3, 431), - Trans(7, 40, 3, 431), - Trans(7, 42, 3, 431), - Trans(7, 46, 3, 431), - Trans(7, 52, 3, 431), - Trans(7, 92, 3, 431), - Trans(8, 6, 3, 431), - Trans(8, 7, 3, 431), - Trans(8, 8, 3, 431), - Trans(8, 9, 3, 431), - Trans(8, 10, 3, 431), - Trans(8, 11, 3, 431), - Trans(8, 18, 3, 431), - Trans(8, 24, 3, 431), - Trans(8, 25, 3, 431), - Trans(8, 26, 3, 431), - Trans(8, 27, 3, 431), - Trans(8, 37, 3, 431), - Trans(8, 38, 3, 431), - Trans(8, 40, 3, 431), - Trans(8, 42, 22, 432), - Trans(8, 54, 3, 431), - Trans(8, 55, 3, 431), - Trans(8, 68, 3, 431), - Trans(8, 73, 3, 431), - Trans(8, 80, 3, 431), - Trans(8, 83, 3, 431), - Trans(8, 86, 3, 431), - Trans(8, 107, 3, 431), - Trans(8, 108, 3, 431), + Trans(1, 109, 7, -1), + Trans(2, 5, 3, 434), + Trans(2, 16, 3, 434), + Trans(2, 17, 3, 434), + Trans(2, 18, 3, 434), + Trans(2, 19, 3, 434), + Trans(2, 20, 3, 434), + Trans(2, 21, 3, 434), + Trans(2, 22, 3, 434), + Trans(2, 23, 3, 434), + Trans(2, 24, 3, 434), + Trans(2, 25, 3, 434), + Trans(2, 26, 3, 434), + Trans(2, 30, 3, 434), + Trans(2, 42, 3, 434), + Trans(2, 46, 3, 434), + Trans(2, 52, 3, 434), + Trans(2, 93, 3, 434), + Trans(4, 5, 3, 434), + Trans(4, 6, 3, 434), + Trans(4, 7, 3, 434), + Trans(4, 8, 3, 434), + Trans(4, 9, 3, 434), + Trans(4, 10, 3, 434), + Trans(4, 11, 3, 434), + Trans(4, 18, 3, 434), + Trans(4, 24, 3, 434), + Trans(4, 25, 3, 434), + Trans(4, 26, 3, 434), + Trans(4, 27, 3, 434), + Trans(4, 37, 3, 434), + Trans(4, 38, 3, 434), + Trans(4, 40, 3, 434), + Trans(4, 54, 3, 434), + Trans(4, 68, 3, 434), + Trans(4, 74, 3, 434), + Trans(4, 81, 3, 434), + Trans(4, 84, 3, 434), + Trans(4, 87, 3, 434), + Trans(4, 108, 3, 434), + Trans(4, 109, 3, 434), + Trans(5, 5, 3, 434), + Trans(5, 6, 3, 434), + Trans(5, 7, 3, 434), + Trans(5, 8, 3, 434), + Trans(5, 9, 3, 434), + Trans(5, 10, 3, 434), + Trans(5, 11, 3, 434), + Trans(5, 18, 3, 434), + Trans(5, 24, 3, 434), + Trans(5, 25, 3, 434), + Trans(5, 26, 3, 434), + Trans(5, 27, 3, 434), + Trans(5, 37, 3, 434), + Trans(5, 38, 3, 434), + Trans(5, 40, 3, 434), + Trans(5, 54, 3, 434), + Trans(5, 55, 3, 434), + Trans(5, 68, 3, 434), + Trans(5, 74, 3, 434), + Trans(5, 81, 3, 434), + Trans(5, 84, 3, 434), + Trans(5, 87, 3, 434), + Trans(5, 108, 3, 434), + Trans(5, 109, 3, 434), + Trans(6, 5, 3, 434), + Trans(6, 29, 3, 434), + Trans(7, 5, 3, 434), + Trans(7, 16, 3, 434), + Trans(7, 17, 3, 434), + Trans(7, 18, 3, 434), + Trans(7, 19, 3, 434), + Trans(7, 20, 3, 434), + Trans(7, 21, 3, 434), + Trans(7, 22, 3, 434), + Trans(7, 23, 3, 434), + Trans(7, 24, 3, 434), + Trans(7, 25, 3, 434), + Trans(7, 26, 3, 434), + Trans(7, 28, 3, 434), + Trans(7, 30, 3, 434), + Trans(7, 33, 3, 434), + Trans(7, 39, 3, 434), + Trans(7, 40, 3, 434), + Trans(7, 42, 3, 434), + Trans(7, 46, 3, 434), + Trans(7, 52, 3, 434), + Trans(7, 93, 3, 434), + Trans(8, 6, 3, 434), + Trans(8, 7, 3, 434), + Trans(8, 8, 3, 434), + Trans(8, 9, 3, 434), + Trans(8, 10, 3, 434), + Trans(8, 11, 3, 434), + Trans(8, 18, 3, 434), + Trans(8, 24, 3, 434), + Trans(8, 25, 3, 434), + Trans(8, 26, 3, 434), + Trans(8, 27, 3, 434), + Trans(8, 37, 3, 434), + Trans(8, 38, 3, 434), + Trans(8, 40, 3, 434), + Trans(8, 42, 22, 435), + Trans(8, 54, 3, 434), + Trans(8, 55, 3, 434), + Trans(8, 68, 3, 434), + Trans(8, 74, 3, 434), + Trans(8, 81, 3, 434), + Trans(8, 84, 3, 434), + Trans(8, 87, 3, 434), + Trans(8, 108, 3, 434), + Trans(8, 109, 3, 434), Trans(9, 5, 10, -1), Trans(9, 12, 11, -1), Trans(9, 14, 11, -1), @@ -1962,399 +1969,399 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(9, 45, 19, -1), Trans(9, 46, 11, -1), Trans(9, 52, 20, -1), - Trans(9, 92, 11, -1), - Trans(9, 96, 21, -1), - Trans(10, 12, 22, 432), - Trans(10, 14, 22, 432), - Trans(10, 16, 22, 432), - Trans(10, 17, 22, 432), - Trans(10, 18, 22, 432), - Trans(10, 19, 22, 432), - Trans(10, 20, 22, 432), - Trans(10, 21, 22, 432), - Trans(10, 22, 22, 432), - Trans(10, 23, 22, 432), - Trans(10, 24, 22, 432), - Trans(10, 25, 22, 432), - Trans(10, 26, 22, 432), - Trans(10, 29, 22, 432), - Trans(10, 30, 22, 432), - Trans(10, 31, 22, 432), - Trans(10, 32, 22, 432), - Trans(10, 38, 22, 432), - Trans(10, 41, 22, 432), - Trans(10, 42, 22, 432), - Trans(10, 43, 22, 432), - Trans(10, 44, 22, 432), - Trans(10, 45, 22, 432), - Trans(10, 46, 22, 432), - Trans(10, 52, 22, 432), - Trans(10, 92, 22, 432), - Trans(10, 96, 22, 432), - Trans(11, 5, 22, 432), - Trans(11, 6, 22, 432), - Trans(11, 7, 22, 432), - Trans(11, 8, 22, 432), - Trans(11, 9, 22, 432), - Trans(11, 10, 22, 432), - Trans(11, 11, 22, 432), - Trans(11, 18, 22, 432), - Trans(11, 24, 22, 432), - Trans(11, 25, 22, 432), - Trans(11, 26, 22, 432), - Trans(11, 27, 22, 432), - Trans(11, 37, 22, 432), - Trans(11, 38, 22, 432), - Trans(11, 40, 22, 432), - Trans(11, 54, 22, 432), - Trans(11, 68, 22, 432), - Trans(11, 73, 22, 432), - Trans(11, 80, 22, 432), - Trans(11, 83, 22, 432), - Trans(11, 86, 22, 432), - Trans(11, 107, 22, 432), - Trans(11, 108, 22, 432), - Trans(12, 5, 22, 432), - Trans(12, 6, 22, 432), - Trans(12, 7, 22, 432), - Trans(12, 8, 22, 432), - Trans(12, 9, 22, 432), - Trans(12, 10, 22, 432), - Trans(12, 11, 22, 432), - Trans(12, 18, 22, 432), - Trans(12, 24, 22, 432), - Trans(12, 25, 22, 432), - Trans(12, 26, 22, 432), - Trans(12, 27, 22, 432), - Trans(12, 37, 22, 432), - Trans(12, 38, 22, 432), - Trans(12, 40, 22, 432), - Trans(12, 54, 22, 432), - Trans(12, 63, 22, 432), - Trans(12, 67, 22, 432), - Trans(12, 68, 22, 432), - Trans(12, 73, 22, 432), - Trans(12, 77, 22, 432), - Trans(12, 80, 22, 432), - Trans(12, 83, 22, 432), - Trans(12, 86, 22, 432), - Trans(12, 93, 22, 432), - Trans(12, 94, 22, 432), - Trans(12, 107, 22, 432), - Trans(12, 108, 22, 432), - Trans(13, 5, 22, 432), - Trans(13, 6, 22, 432), - Trans(13, 7, 22, 432), - Trans(13, 8, 22, 432), - Trans(13, 9, 22, 432), - Trans(13, 10, 22, 432), - Trans(13, 11, 22, 432), - Trans(13, 18, 22, 432), - Trans(13, 24, 22, 432), - Trans(13, 25, 22, 432), - Trans(13, 26, 22, 432), - Trans(13, 27, 22, 432), - Trans(13, 35, 22, 432), - Trans(13, 37, 22, 432), - Trans(13, 38, 22, 432), - Trans(13, 40, 22, 432), - Trans(13, 42, 22, 432), - Trans(13, 44, 22, 432), - Trans(13, 54, 22, 432), - Trans(13, 55, 22, 432), - Trans(13, 68, 22, 432), - Trans(13, 73, 22, 432), - Trans(13, 78, 22, 432), - Trans(13, 80, 22, 432), - Trans(13, 83, 22, 432), - Trans(13, 86, 22, 432), - Trans(13, 88, 22, 432), - Trans(13, 107, 22, 432), - Trans(13, 108, 22, 432), - Trans(14, 5, 22, 432), - Trans(14, 6, 22, 432), - Trans(14, 7, 22, 432), - Trans(14, 8, 22, 432), - Trans(14, 9, 22, 432), - Trans(14, 10, 22, 432), - Trans(14, 11, 22, 432), - Trans(14, 18, 22, 432), - Trans(14, 24, 22, 432), - Trans(14, 25, 22, 432), - Trans(14, 26, 22, 432), - Trans(14, 27, 22, 432), - Trans(14, 29, 22, 432), - Trans(14, 35, 22, 432), - Trans(14, 37, 22, 432), - Trans(14, 38, 22, 432), - Trans(14, 40, 22, 432), - Trans(14, 42, 22, 432), - Trans(14, 47, 22, 432), - Trans(14, 48, 22, 432), - Trans(14, 49, 22, 432), - Trans(14, 54, 22, 432), - Trans(14, 55, 22, 432), - Trans(14, 58, 22, 432), - Trans(14, 62, 22, 432), - Trans(14, 63, 22, 432), - Trans(14, 64, 22, 432), - Trans(14, 67, 22, 432), - Trans(14, 68, 22, 432), - Trans(14, 69, 22, 432), - Trans(14, 70, 22, 432), - Trans(14, 73, 22, 432), - Trans(14, 74, 22, 432), - Trans(14, 77, 22, 432), - Trans(14, 78, 22, 432), - Trans(14, 80, 22, 432), - Trans(14, 81, 22, 432), - Trans(14, 83, 22, 432), - Trans(14, 86, 22, 432), - Trans(14, 93, 22, 432), - Trans(14, 94, 22, 432), - Trans(14, 98, 22, 432), - Trans(14, 102, 22, 432), - Trans(14, 105, 22, 432), - Trans(14, 106, 22, 432), - Trans(14, 107, 22, 432), - Trans(14, 108, 22, 432), - Trans(15, 5, 22, 432), - Trans(15, 30, 22, 432), - Trans(15, 34, 22, 432), - Trans(15, 38, 22, 432), - Trans(15, 39, 22, 432), - Trans(15, 42, 22, 432), - Trans(15, 44, 22, 432), - Trans(15, 45, 22, 432), - Trans(15, 76, 22, 432), - Trans(16, 5, 22, 432), - Trans(16, 12, 22, 432), - Trans(16, 14, 22, 432), - Trans(16, 16, 22, 432), - Trans(16, 17, 22, 432), - Trans(16, 18, 22, 432), - Trans(16, 19, 22, 432), - Trans(16, 20, 22, 432), - Trans(16, 21, 22, 432), - Trans(16, 22, 22, 432), - Trans(16, 23, 22, 432), - Trans(16, 24, 22, 432), - Trans(16, 25, 22, 432), - Trans(16, 26, 22, 432), - Trans(16, 29, 22, 432), - Trans(16, 30, 22, 432), - Trans(16, 31, 22, 432), - Trans(16, 32, 22, 432), - Trans(16, 35, 22, 432), - Trans(16, 38, 22, 432), - Trans(16, 41, 22, 432), - Trans(16, 42, 22, 432), - Trans(16, 43, 22, 432), - Trans(16, 44, 22, 432), - Trans(16, 45, 22, 432), - Trans(16, 46, 22, 432), - Trans(16, 47, 22, 432), - Trans(16, 48, 22, 432), - Trans(16, 49, 22, 432), - Trans(16, 52, 22, 432), - Trans(16, 56, 22, 432), - Trans(16, 58, 22, 432), - Trans(16, 59, 22, 432), - Trans(16, 62, 22, 432), - Trans(16, 63, 22, 432), - Trans(16, 64, 22, 432), - Trans(16, 68, 22, 432), - Trans(16, 69, 22, 432), - Trans(16, 70, 22, 432), - Trans(16, 74, 22, 432), - Trans(16, 77, 22, 432), - Trans(16, 78, 22, 432), - Trans(16, 81, 22, 432), - Trans(16, 92, 22, 432), - Trans(16, 96, 22, 432), - Trans(16, 98, 22, 432), - Trans(16, 102, 22, 432), - Trans(16, 105, 22, 432), - Trans(16, 106, 22, 432), - Trans(17, 5, 22, 432), - Trans(17, 12, 22, 432), - Trans(17, 14, 22, 432), - Trans(17, 15, 22, 432), - Trans(17, 16, 22, 432), - Trans(17, 17, 22, 432), - Trans(17, 18, 22, 432), - Trans(17, 19, 22, 432), - Trans(17, 20, 22, 432), - Trans(17, 21, 22, 432), - Trans(17, 22, 22, 432), - Trans(17, 23, 22, 432), - Trans(17, 24, 22, 432), - Trans(17, 25, 22, 432), - Trans(17, 26, 22, 432), - Trans(17, 29, 22, 432), - Trans(17, 30, 22, 432), - Trans(17, 31, 22, 432), - Trans(17, 32, 22, 432), - Trans(17, 33, 22, 432), - Trans(17, 34, 22, 432), - Trans(17, 35, 22, 432), - Trans(17, 38, 22, 432), - Trans(17, 39, 22, 432), - Trans(17, 40, 22, 432), - Trans(17, 41, 22, 432), - Trans(17, 42, 22, 432), - Trans(17, 43, 22, 432), - Trans(17, 44, 22, 432), - Trans(17, 45, 22, 432), - Trans(17, 46, 22, 432), - Trans(17, 52, 22, 432), - Trans(17, 92, 22, 432), - Trans(17, 96, 22, 432), - Trans(18, 5, 22, 432), - Trans(18, 12, 22, 432), - Trans(18, 13, 22, 432), - Trans(18, 14, 22, 432), - Trans(18, 16, 22, 432), - Trans(18, 17, 22, 432), - Trans(18, 18, 22, 432), - Trans(18, 19, 22, 432), - Trans(18, 20, 22, 432), - Trans(18, 21, 22, 432), - Trans(18, 22, 22, 432), - Trans(18, 23, 22, 432), - Trans(18, 24, 22, 432), - Trans(18, 25, 22, 432), - Trans(18, 26, 22, 432), - Trans(18, 29, 22, 432), - Trans(18, 30, 22, 432), - Trans(18, 31, 22, 432), - Trans(18, 32, 22, 432), - Trans(18, 38, 22, 432), - Trans(18, 40, 22, 432), - Trans(18, 41, 22, 432), - Trans(18, 42, 22, 432), - Trans(18, 43, 22, 432), - Trans(18, 44, 22, 432), - Trans(18, 45, 22, 432), - Trans(18, 46, 22, 432), - Trans(18, 52, 22, 432), - Trans(18, 92, 22, 432), - Trans(18, 96, 22, 432), - Trans(19, 5, 22, 432), - Trans(19, 6, 22, 432), - Trans(19, 7, 22, 432), - Trans(19, 8, 22, 432), - Trans(19, 9, 22, 432), - Trans(19, 10, 22, 432), - Trans(19, 11, 22, 432), - Trans(19, 18, 22, 432), - Trans(19, 24, 22, 432), - Trans(19, 25, 22, 432), - Trans(19, 26, 22, 432), - Trans(19, 27, 22, 432), - Trans(19, 29, 22, 432), - Trans(19, 35, 22, 432), - Trans(19, 37, 22, 432), - Trans(19, 38, 22, 432), - Trans(19, 40, 22, 432), - Trans(19, 42, 22, 432), - Trans(19, 47, 22, 432), - Trans(19, 48, 22, 432), - Trans(19, 49, 22, 432), - Trans(19, 54, 22, 432), - Trans(19, 55, 22, 432), - Trans(19, 58, 22, 432), - Trans(19, 59, 22, 432), - Trans(19, 62, 22, 432), - Trans(19, 63, 22, 432), - Trans(19, 64, 22, 432), - Trans(19, 67, 22, 432), - Trans(19, 68, 22, 432), - Trans(19, 69, 22, 432), - Trans(19, 70, 22, 432), - Trans(19, 73, 22, 432), - Trans(19, 74, 22, 432), - Trans(19, 77, 22, 432), - Trans(19, 78, 22, 432), - Trans(19, 80, 22, 432), - Trans(19, 81, 22, 432), - Trans(19, 83, 22, 432), - Trans(19, 86, 22, 432), - Trans(19, 93, 22, 432), - Trans(19, 94, 22, 432), - Trans(19, 98, 22, 432), - Trans(19, 102, 22, 432), - Trans(19, 105, 22, 432), - Trans(19, 106, 22, 432), - Trans(19, 107, 22, 432), - Trans(19, 108, 22, 432), - Trans(20, 5, 22, 432), - Trans(20, 107, 22, 432), - Trans(20, 108, 22, 432), - Trans(21, 5, 22, 432), - Trans(21, 6, 22, 432), - Trans(21, 7, 22, 432), - Trans(21, 8, 22, 432), - Trans(21, 9, 22, 432), - Trans(21, 10, 22, 432), - Trans(21, 11, 22, 432), - Trans(21, 15, 22, 432), - Trans(21, 18, 22, 432), - Trans(21, 24, 22, 432), - Trans(21, 25, 22, 432), - Trans(21, 26, 22, 432), - Trans(21, 27, 22, 432), - Trans(21, 37, 22, 432), - Trans(21, 38, 22, 432), - Trans(21, 40, 22, 432), - Trans(21, 54, 22, 432), - Trans(21, 68, 22, 432), - Trans(21, 73, 22, 432), - Trans(21, 80, 22, 432), - Trans(21, 83, 22, 432), - Trans(21, 86, 22, 432), - Trans(21, 107, 22, 432), - Trans(21, 108, 22, 432), - Trans(23, 5, 22, 432), - Trans(23, 12, 22, 432), - Trans(23, 14, 22, 432), - Trans(23, 16, 22, 432), - Trans(23, 17, 22, 432), - Trans(23, 18, 22, 432), - Trans(23, 19, 22, 432), - Trans(23, 20, 22, 432), - Trans(23, 21, 22, 432), - Trans(23, 22, 22, 432), - Trans(23, 23, 22, 432), - Trans(23, 24, 22, 432), - Trans(23, 25, 22, 432), - Trans(23, 26, 22, 432), - Trans(23, 29, 22, 432), - Trans(23, 30, 22, 432), - Trans(23, 31, 22, 432), - Trans(23, 32, 22, 432), - Trans(23, 38, 22, 432), - Trans(23, 41, 22, 432), - Trans(23, 42, 22, 432), - Trans(23, 43, 22, 432), - Trans(23, 44, 22, 432), - Trans(23, 45, 22, 432), - Trans(23, 46, 22, 432), - Trans(23, 52, 22, 432), - Trans(23, 92, 22, 432), - Trans(23, 96, 22, 432), + Trans(9, 93, 11, -1), + Trans(9, 97, 21, -1), + Trans(10, 12, 22, 435), + Trans(10, 14, 22, 435), + Trans(10, 16, 22, 435), + Trans(10, 17, 22, 435), + Trans(10, 18, 22, 435), + Trans(10, 19, 22, 435), + Trans(10, 20, 22, 435), + Trans(10, 21, 22, 435), + Trans(10, 22, 22, 435), + Trans(10, 23, 22, 435), + Trans(10, 24, 22, 435), + Trans(10, 25, 22, 435), + Trans(10, 26, 22, 435), + Trans(10, 29, 22, 435), + Trans(10, 30, 22, 435), + Trans(10, 31, 22, 435), + Trans(10, 32, 22, 435), + Trans(10, 38, 22, 435), + Trans(10, 41, 22, 435), + Trans(10, 42, 22, 435), + Trans(10, 43, 22, 435), + Trans(10, 44, 22, 435), + Trans(10, 45, 22, 435), + Trans(10, 46, 22, 435), + Trans(10, 52, 22, 435), + Trans(10, 93, 22, 435), + Trans(10, 97, 22, 435), + Trans(11, 5, 22, 435), + Trans(11, 6, 22, 435), + Trans(11, 7, 22, 435), + Trans(11, 8, 22, 435), + Trans(11, 9, 22, 435), + Trans(11, 10, 22, 435), + Trans(11, 11, 22, 435), + Trans(11, 18, 22, 435), + Trans(11, 24, 22, 435), + Trans(11, 25, 22, 435), + Trans(11, 26, 22, 435), + Trans(11, 27, 22, 435), + Trans(11, 37, 22, 435), + Trans(11, 38, 22, 435), + Trans(11, 40, 22, 435), + Trans(11, 54, 22, 435), + Trans(11, 68, 22, 435), + Trans(11, 74, 22, 435), + Trans(11, 81, 22, 435), + Trans(11, 84, 22, 435), + Trans(11, 87, 22, 435), + Trans(11, 108, 22, 435), + Trans(11, 109, 22, 435), + Trans(12, 5, 22, 435), + Trans(12, 6, 22, 435), + Trans(12, 7, 22, 435), + Trans(12, 8, 22, 435), + Trans(12, 9, 22, 435), + Trans(12, 10, 22, 435), + Trans(12, 11, 22, 435), + Trans(12, 18, 22, 435), + Trans(12, 24, 22, 435), + Trans(12, 25, 22, 435), + Trans(12, 26, 22, 435), + Trans(12, 27, 22, 435), + Trans(12, 37, 22, 435), + Trans(12, 38, 22, 435), + Trans(12, 40, 22, 435), + Trans(12, 54, 22, 435), + Trans(12, 63, 22, 435), + Trans(12, 67, 22, 435), + Trans(12, 68, 22, 435), + Trans(12, 74, 22, 435), + Trans(12, 78, 22, 435), + Trans(12, 81, 22, 435), + Trans(12, 84, 22, 435), + Trans(12, 87, 22, 435), + Trans(12, 94, 22, 435), + Trans(12, 95, 22, 435), + Trans(12, 108, 22, 435), + Trans(12, 109, 22, 435), + Trans(13, 5, 22, 435), + Trans(13, 6, 22, 435), + Trans(13, 7, 22, 435), + Trans(13, 8, 22, 435), + Trans(13, 9, 22, 435), + Trans(13, 10, 22, 435), + Trans(13, 11, 22, 435), + Trans(13, 18, 22, 435), + Trans(13, 24, 22, 435), + Trans(13, 25, 22, 435), + Trans(13, 26, 22, 435), + Trans(13, 27, 22, 435), + Trans(13, 35, 22, 435), + Trans(13, 37, 22, 435), + Trans(13, 38, 22, 435), + Trans(13, 40, 22, 435), + Trans(13, 42, 22, 435), + Trans(13, 44, 22, 435), + Trans(13, 54, 22, 435), + Trans(13, 55, 22, 435), + Trans(13, 68, 22, 435), + Trans(13, 74, 22, 435), + Trans(13, 79, 22, 435), + Trans(13, 81, 22, 435), + Trans(13, 84, 22, 435), + Trans(13, 87, 22, 435), + Trans(13, 89, 22, 435), + Trans(13, 108, 22, 435), + Trans(13, 109, 22, 435), + Trans(14, 5, 22, 435), + Trans(14, 6, 22, 435), + Trans(14, 7, 22, 435), + Trans(14, 8, 22, 435), + Trans(14, 9, 22, 435), + Trans(14, 10, 22, 435), + Trans(14, 11, 22, 435), + Trans(14, 18, 22, 435), + Trans(14, 24, 22, 435), + Trans(14, 25, 22, 435), + Trans(14, 26, 22, 435), + Trans(14, 27, 22, 435), + Trans(14, 29, 22, 435), + Trans(14, 35, 22, 435), + Trans(14, 37, 22, 435), + Trans(14, 38, 22, 435), + Trans(14, 40, 22, 435), + Trans(14, 42, 22, 435), + Trans(14, 47, 22, 435), + Trans(14, 48, 22, 435), + Trans(14, 49, 22, 435), + Trans(14, 54, 22, 435), + Trans(14, 55, 22, 435), + Trans(14, 58, 22, 435), + Trans(14, 62, 22, 435), + Trans(14, 63, 22, 435), + Trans(14, 64, 22, 435), + Trans(14, 67, 22, 435), + Trans(14, 68, 22, 435), + Trans(14, 69, 22, 435), + Trans(14, 71, 22, 435), + Trans(14, 74, 22, 435), + Trans(14, 75, 22, 435), + Trans(14, 78, 22, 435), + Trans(14, 79, 22, 435), + Trans(14, 81, 22, 435), + Trans(14, 82, 22, 435), + Trans(14, 84, 22, 435), + Trans(14, 87, 22, 435), + Trans(14, 94, 22, 435), + Trans(14, 95, 22, 435), + Trans(14, 99, 22, 435), + Trans(14, 103, 22, 435), + Trans(14, 106, 22, 435), + Trans(14, 107, 22, 435), + Trans(14, 108, 22, 435), + Trans(14, 109, 22, 435), + Trans(15, 5, 22, 435), + Trans(15, 30, 22, 435), + Trans(15, 34, 22, 435), + Trans(15, 38, 22, 435), + Trans(15, 39, 22, 435), + Trans(15, 42, 22, 435), + Trans(15, 44, 22, 435), + Trans(15, 45, 22, 435), + Trans(15, 77, 22, 435), + Trans(16, 5, 22, 435), + Trans(16, 12, 22, 435), + Trans(16, 14, 22, 435), + Trans(16, 16, 22, 435), + Trans(16, 17, 22, 435), + Trans(16, 18, 22, 435), + Trans(16, 19, 22, 435), + Trans(16, 20, 22, 435), + Trans(16, 21, 22, 435), + Trans(16, 22, 22, 435), + Trans(16, 23, 22, 435), + Trans(16, 24, 22, 435), + Trans(16, 25, 22, 435), + Trans(16, 26, 22, 435), + Trans(16, 29, 22, 435), + Trans(16, 30, 22, 435), + Trans(16, 31, 22, 435), + Trans(16, 32, 22, 435), + Trans(16, 35, 22, 435), + Trans(16, 38, 22, 435), + Trans(16, 41, 22, 435), + Trans(16, 42, 22, 435), + Trans(16, 43, 22, 435), + Trans(16, 44, 22, 435), + Trans(16, 45, 22, 435), + Trans(16, 46, 22, 435), + Trans(16, 47, 22, 435), + Trans(16, 48, 22, 435), + Trans(16, 49, 22, 435), + Trans(16, 52, 22, 435), + Trans(16, 56, 22, 435), + Trans(16, 58, 22, 435), + Trans(16, 59, 22, 435), + Trans(16, 62, 22, 435), + Trans(16, 63, 22, 435), + Trans(16, 64, 22, 435), + Trans(16, 68, 22, 435), + Trans(16, 69, 22, 435), + Trans(16, 71, 22, 435), + Trans(16, 75, 22, 435), + Trans(16, 78, 22, 435), + Trans(16, 79, 22, 435), + Trans(16, 82, 22, 435), + Trans(16, 93, 22, 435), + Trans(16, 97, 22, 435), + Trans(16, 99, 22, 435), + Trans(16, 103, 22, 435), + Trans(16, 106, 22, 435), + Trans(16, 107, 22, 435), + Trans(17, 5, 22, 435), + Trans(17, 12, 22, 435), + Trans(17, 14, 22, 435), + Trans(17, 15, 22, 435), + Trans(17, 16, 22, 435), + Trans(17, 17, 22, 435), + Trans(17, 18, 22, 435), + Trans(17, 19, 22, 435), + Trans(17, 20, 22, 435), + Trans(17, 21, 22, 435), + Trans(17, 22, 22, 435), + Trans(17, 23, 22, 435), + Trans(17, 24, 22, 435), + Trans(17, 25, 22, 435), + Trans(17, 26, 22, 435), + Trans(17, 29, 22, 435), + Trans(17, 30, 22, 435), + Trans(17, 31, 22, 435), + Trans(17, 32, 22, 435), + Trans(17, 33, 22, 435), + Trans(17, 34, 22, 435), + Trans(17, 35, 22, 435), + Trans(17, 38, 22, 435), + Trans(17, 39, 22, 435), + Trans(17, 40, 22, 435), + Trans(17, 41, 22, 435), + Trans(17, 42, 22, 435), + Trans(17, 43, 22, 435), + Trans(17, 44, 22, 435), + Trans(17, 45, 22, 435), + Trans(17, 46, 22, 435), + Trans(17, 52, 22, 435), + Trans(17, 93, 22, 435), + Trans(17, 97, 22, 435), + Trans(18, 5, 22, 435), + Trans(18, 12, 22, 435), + Trans(18, 13, 22, 435), + Trans(18, 14, 22, 435), + Trans(18, 16, 22, 435), + Trans(18, 17, 22, 435), + Trans(18, 18, 22, 435), + Trans(18, 19, 22, 435), + Trans(18, 20, 22, 435), + Trans(18, 21, 22, 435), + Trans(18, 22, 22, 435), + Trans(18, 23, 22, 435), + Trans(18, 24, 22, 435), + Trans(18, 25, 22, 435), + Trans(18, 26, 22, 435), + Trans(18, 29, 22, 435), + Trans(18, 30, 22, 435), + Trans(18, 31, 22, 435), + Trans(18, 32, 22, 435), + Trans(18, 38, 22, 435), + Trans(18, 40, 22, 435), + Trans(18, 41, 22, 435), + Trans(18, 42, 22, 435), + Trans(18, 43, 22, 435), + Trans(18, 44, 22, 435), + Trans(18, 45, 22, 435), + Trans(18, 46, 22, 435), + Trans(18, 52, 22, 435), + Trans(18, 93, 22, 435), + Trans(18, 97, 22, 435), + Trans(19, 5, 22, 435), + Trans(19, 6, 22, 435), + Trans(19, 7, 22, 435), + Trans(19, 8, 22, 435), + Trans(19, 9, 22, 435), + Trans(19, 10, 22, 435), + Trans(19, 11, 22, 435), + Trans(19, 18, 22, 435), + Trans(19, 24, 22, 435), + Trans(19, 25, 22, 435), + Trans(19, 26, 22, 435), + Trans(19, 27, 22, 435), + Trans(19, 29, 22, 435), + Trans(19, 35, 22, 435), + Trans(19, 37, 22, 435), + Trans(19, 38, 22, 435), + Trans(19, 40, 22, 435), + Trans(19, 42, 22, 435), + Trans(19, 47, 22, 435), + Trans(19, 48, 22, 435), + Trans(19, 49, 22, 435), + Trans(19, 54, 22, 435), + Trans(19, 55, 22, 435), + Trans(19, 58, 22, 435), + Trans(19, 59, 22, 435), + Trans(19, 62, 22, 435), + Trans(19, 63, 22, 435), + Trans(19, 64, 22, 435), + Trans(19, 67, 22, 435), + Trans(19, 68, 22, 435), + Trans(19, 69, 22, 435), + Trans(19, 71, 22, 435), + Trans(19, 74, 22, 435), + Trans(19, 75, 22, 435), + Trans(19, 78, 22, 435), + Trans(19, 79, 22, 435), + Trans(19, 81, 22, 435), + Trans(19, 82, 22, 435), + Trans(19, 84, 22, 435), + Trans(19, 87, 22, 435), + Trans(19, 94, 22, 435), + Trans(19, 95, 22, 435), + Trans(19, 99, 22, 435), + Trans(19, 103, 22, 435), + Trans(19, 106, 22, 435), + Trans(19, 107, 22, 435), + Trans(19, 108, 22, 435), + Trans(19, 109, 22, 435), + Trans(20, 5, 22, 435), + Trans(20, 108, 22, 435), + Trans(20, 109, 22, 435), + Trans(21, 5, 22, 435), + Trans(21, 6, 22, 435), + Trans(21, 7, 22, 435), + Trans(21, 8, 22, 435), + Trans(21, 9, 22, 435), + Trans(21, 10, 22, 435), + Trans(21, 11, 22, 435), + Trans(21, 15, 22, 435), + Trans(21, 18, 22, 435), + Trans(21, 24, 22, 435), + Trans(21, 25, 22, 435), + Trans(21, 26, 22, 435), + Trans(21, 27, 22, 435), + Trans(21, 37, 22, 435), + Trans(21, 38, 22, 435), + Trans(21, 40, 22, 435), + Trans(21, 54, 22, 435), + Trans(21, 68, 22, 435), + Trans(21, 74, 22, 435), + Trans(21, 81, 22, 435), + Trans(21, 84, 22, 435), + Trans(21, 87, 22, 435), + Trans(21, 108, 22, 435), + Trans(21, 109, 22, 435), + Trans(23, 5, 22, 435), + Trans(23, 12, 22, 435), + Trans(23, 14, 22, 435), + Trans(23, 16, 22, 435), + Trans(23, 17, 22, 435), + Trans(23, 18, 22, 435), + Trans(23, 19, 22, 435), + Trans(23, 20, 22, 435), + Trans(23, 21, 22, 435), + Trans(23, 22, 22, 435), + Trans(23, 23, 22, 435), + Trans(23, 24, 22, 435), + Trans(23, 25, 22, 435), + Trans(23, 26, 22, 435), + Trans(23, 29, 22, 435), + Trans(23, 30, 22, 435), + Trans(23, 31, 22, 435), + Trans(23, 32, 22, 435), + Trans(23, 38, 22, 435), + Trans(23, 41, 22, 435), + Trans(23, 42, 22, 435), + Trans(23, 43, 22, 435), + Trans(23, 44, 22, 435), + Trans(23, 45, 22, 435), + Trans(23, 46, 22, 435), + Trans(23, 52, 22, 435), + Trans(23, 93, 22, 435), + Trans(23, 97, 22, 435), ], k: 3, }, /* 32 - "ArrayLiteralListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 433), Trans(0, 42, 2, 434)], + transitions: &[Trans(0, 30, 1, 436), Trans(0, 42, 2, 437)], k: 1, }, /* 33 - "ArrayType" */ LookaheadDFA { - prod0: 500, + prod0: 503, transitions: &[], k: 0, }, @@ -2362,18 +2369,18 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 502), - Trans(0, 34, 2, 502), - Trans(0, 39, 1, 501), - Trans(0, 42, 2, 502), - Trans(0, 44, 2, 502), - Trans(0, 45, 2, 502), + Trans(0, 30, 2, 505), + Trans(0, 34, 2, 505), + Trans(0, 39, 1, 504), + Trans(0, 42, 2, 505), + Trans(0, 44, 2, 505), + Trans(0, 45, 2, 505), ], k: 1, }, /* 35 - "As" */ LookaheadDFA { - prod0: 256, + prod0: 258, transitions: &[], k: 0, }, @@ -2385,19 +2392,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 37 - "AsToken" */ LookaheadDFA { - prod0: 152, + prod0: 153, transitions: &[], k: 0, }, /* 38 - "Assign" */ LookaheadDFA { - prod0: 257, + prod0: 259, transitions: &[], k: 0, }, /* 39 - "AssignDeclaration" */ LookaheadDFA { - prod0: 595, + prod0: 598, transitions: &[], k: 0, }, @@ -2409,25 +2416,25 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 41 - "AssignToken" */ LookaheadDFA { - prod0: 153, + prod0: 154, transitions: &[], k: 0, }, /* 42 - "Assignment" */ LookaheadDFA { - prod0: 515, + prod0: 518, transitions: &[], k: 0, }, /* 43 - "AssignmentGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 15, 2, 517), Trans(0, 34, 1, 516)], + transitions: &[Trans(0, 15, 2, 520), Trans(0, 34, 1, 519)], k: 1, }, /* 44 - "AssignmentOperator" */ LookaheadDFA { - prod0: 219, + prod0: 221, transitions: &[], k: 0, }, @@ -2439,13 +2446,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 46 - "AssignmentOperatorToken" */ LookaheadDFA { - prod0: 115, + prod0: 116, transitions: &[], k: 0, }, /* 47 - "AsyncHigh" */ LookaheadDFA { - prod0: 258, + prod0: 260, transitions: &[], k: 0, }, @@ -2457,13 +2464,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 49 - "AsyncHighToken" */ LookaheadDFA { - prod0: 154, + prod0: 155, transitions: &[], k: 0, }, /* 50 - "AsyncLow" */ LookaheadDFA { - prod0: 259, + prod0: 261, transitions: &[], k: 0, }, @@ -2475,25 +2482,25 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 52 - "AsyncLowToken" */ LookaheadDFA { - prod0: 155, + prod0: 156, transitions: &[], k: 0, }, /* 53 - "Attribute" */ LookaheadDFA { - prod0: 559, + prod0: 562, transitions: &[], k: 0, }, /* 54 - "AttributeItem" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 6, 2, 568), Trans(0, 108, 1, 567)], + transitions: &[Trans(0, 6, 2, 571), Trans(0, 109, 1, 570)], k: 1, }, /* 55 - "AttributeList" */ LookaheadDFA { - prod0: 562, + prod0: 565, transitions: &[], k: 0, }, @@ -2506,66 +2513,67 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 5, 4, -1), Trans(1, 6, 2, -1), Trans(1, 44, 9, -1), - Trans(1, 108, 2, -1), - Trans(2, 5, 3, 563), - Trans(2, 30, 3, 563), - Trans(2, 44, 3, 563), - Trans(4, 6, 3, 563), - Trans(4, 44, 8, 564), - Trans(4, 108, 3, 563), + Trans(1, 109, 2, -1), + Trans(2, 5, 3, 566), + Trans(2, 30, 3, 566), + Trans(2, 44, 3, 566), + Trans(4, 6, 3, 566), + Trans(4, 44, 8, 567), + Trans(4, 109, 3, 566), Trans(5, 5, 6, -1), Trans(5, 43, 7, -1), - Trans(6, 43, 8, 564), - Trans(7, 5, 8, 564), - Trans(7, 29, 8, 564), - Trans(7, 35, 8, 564), - Trans(7, 38, 8, 564), - Trans(7, 47, 8, 564), - Trans(7, 48, 8, 564), - Trans(7, 49, 8, 564), - Trans(7, 57, 8, 564), - Trans(7, 58, 8, 564), - Trans(7, 59, 8, 564), - Trans(7, 62, 8, 564), - Trans(7, 63, 8, 564), - Trans(7, 64, 8, 564), - Trans(7, 68, 8, 564), - Trans(7, 69, 8, 564), - Trans(7, 70, 8, 564), - Trans(7, 74, 8, 564), - Trans(7, 75, 8, 564), - Trans(7, 77, 8, 564), - Trans(7, 78, 8, 564), - Trans(7, 81, 8, 564), - Trans(7, 82, 8, 564), - Trans(7, 87, 8, 564), - Trans(7, 88, 8, 564), - Trans(7, 90, 8, 564), - Trans(7, 98, 8, 564), - Trans(7, 102, 8, 564), - Trans(7, 105, 8, 564), - Trans(7, 106, 8, 564), - Trans(7, 108, 8, 564), - Trans(9, 5, 8, 564), - Trans(9, 43, 8, 564), + Trans(6, 43, 8, 567), + Trans(7, 5, 8, 567), + Trans(7, 29, 8, 567), + Trans(7, 35, 8, 567), + Trans(7, 38, 8, 567), + Trans(7, 47, 8, 567), + Trans(7, 48, 8, 567), + Trans(7, 49, 8, 567), + Trans(7, 57, 8, 567), + Trans(7, 58, 8, 567), + Trans(7, 59, 8, 567), + Trans(7, 62, 8, 567), + Trans(7, 63, 8, 567), + Trans(7, 64, 8, 567), + Trans(7, 68, 8, 567), + Trans(7, 69, 8, 567), + Trans(7, 70, 8, 567), + Trans(7, 71, 8, 567), + Trans(7, 75, 8, 567), + Trans(7, 76, 8, 567), + Trans(7, 78, 8, 567), + Trans(7, 79, 8, 567), + Trans(7, 82, 8, 567), + Trans(7, 83, 8, 567), + Trans(7, 88, 8, 567), + Trans(7, 89, 8, 567), + Trans(7, 91, 8, 567), + Trans(7, 99, 8, 567), + Trans(7, 103, 8, 567), + Trans(7, 106, 8, 567), + Trans(7, 107, 8, 567), + Trans(7, 109, 8, 567), + Trans(9, 5, 8, 567), + Trans(9, 43, 8, 567), ], k: 3, }, /* 57 - "AttributeListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 565), Trans(0, 44, 2, 566)], + transitions: &[Trans(0, 30, 1, 568), Trans(0, 44, 2, 569)], k: 1, }, /* 58 - "AttributeOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 40, 1, 560), Trans(0, 43, 2, 561)], + transitions: &[Trans(0, 40, 1, 563), Trans(0, 43, 2, 564)], k: 1, }, /* 59 - "BaseLess" */ LookaheadDFA { - prod0: 217, + prod0: 219, transitions: &[], k: 0, }, @@ -2577,13 +2585,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 61 - "BaseLessToken" */ LookaheadDFA { - prod0: 113, + prod0: 114, transitions: &[], k: 0, }, /* 62 - "Based" */ LookaheadDFA { - prod0: 216, + prod0: 218, transitions: &[], k: 0, }, @@ -2595,13 +2603,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 64 - "BasedToken" */ LookaheadDFA { - prod0: 112, + prod0: 113, transitions: &[], k: 0, }, /* 65 - "Bit" */ LookaheadDFA { - prod0: 260, + prod0: 262, transitions: &[], k: 0, }, @@ -2613,97 +2621,97 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 67 - "BitToken" */ LookaheadDFA { - prod0: 156, + prod0: 157, transitions: &[], k: 0, }, /* 68 - "Break" */ LookaheadDFA { - prod0: 261, + prod0: 263, transitions: &[], k: 0, }, /* 69 - "BreakStatement" */ LookaheadDFA { - prod0: 541, + prod0: 544, transitions: &[], k: 0, }, /* 70 - "BreakTerm" */ LookaheadDFA { - prod0: 89, + prod0: 90, transitions: &[], k: 0, }, /* 71 - "BreakToken" */ LookaheadDFA { - prod0: 197, + prod0: 199, transitions: &[], k: 0, }, /* 72 - "Case" */ LookaheadDFA { - prod0: 262, + prod0: 264, transitions: &[], k: 0, }, /* 73 - "CaseExpression" */ LookaheadDFA { - prod0: 443, + prod0: 446, transitions: &[], k: 0, }, /* 74 - "CaseExpressionList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 2, 449), Trans(0, 30, 1, 448)], + transitions: &[Trans(0, 29, 2, 452), Trans(0, 30, 1, 451)], k: 1, }, /* 75 - "CaseExpressionList0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 444), - Trans(0, 7, 1, 444), - Trans(0, 8, 1, 444), - Trans(0, 9, 1, 444), - Trans(0, 10, 1, 444), - Trans(0, 11, 1, 444), - Trans(0, 18, 1, 444), - Trans(0, 24, 1, 444), - Trans(0, 25, 1, 444), - Trans(0, 26, 1, 444), - Trans(0, 27, 1, 444), - Trans(0, 37, 1, 444), - Trans(0, 38, 1, 444), - Trans(0, 40, 1, 444), - Trans(0, 54, 1, 444), - Trans(0, 55, 2, 447), - Trans(0, 68, 1, 444), - Trans(0, 73, 1, 444), - Trans(0, 80, 1, 444), - Trans(0, 83, 1, 444), - Trans(0, 86, 1, 444), - Trans(0, 107, 1, 444), - Trans(0, 108, 1, 444), + Trans(0, 6, 1, 447), + Trans(0, 7, 1, 447), + Trans(0, 8, 1, 447), + Trans(0, 9, 1, 447), + Trans(0, 10, 1, 447), + Trans(0, 11, 1, 447), + Trans(0, 18, 1, 447), + Trans(0, 24, 1, 447), + Trans(0, 25, 1, 447), + Trans(0, 26, 1, 447), + Trans(0, 27, 1, 447), + Trans(0, 37, 1, 447), + Trans(0, 38, 1, 447), + Trans(0, 40, 1, 447), + Trans(0, 54, 1, 447), + Trans(0, 55, 2, 450), + Trans(0, 68, 1, 447), + Trans(0, 74, 1, 447), + Trans(0, 81, 1, 447), + Trans(0, 84, 1, 447), + Trans(0, 87, 1, 447), + Trans(0, 108, 1, 447), + Trans(0, 109, 1, 447), ], k: 1, }, /* 76 - "CaseExpressionList0List" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 2, 446), Trans(0, 30, 1, 445)], + transitions: &[Trans(0, 29, 2, 449), Trans(0, 30, 1, 448)], k: 1, }, /* 77 - "CaseExpressionOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 450), Trans(0, 42, 2, 451)], + transitions: &[Trans(0, 30, 1, 453), Trans(0, 42, 2, 454)], k: 1, }, /* 78 - "CaseItem" */ LookaheadDFA { - prod0: 550, + prod0: 553, transitions: &[], k: 0, }, @@ -2711,29 +2719,29 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 555), - Trans(0, 7, 1, 555), - Trans(0, 8, 1, 555), - Trans(0, 9, 1, 555), - Trans(0, 10, 1, 555), - Trans(0, 11, 1, 555), - Trans(0, 18, 1, 555), - Trans(0, 24, 1, 555), - Trans(0, 25, 1, 555), - Trans(0, 26, 1, 555), - Trans(0, 27, 1, 555), - Trans(0, 37, 1, 555), - Trans(0, 38, 1, 555), - Trans(0, 40, 1, 555), - Trans(0, 54, 1, 555), - Trans(0, 55, 2, 558), - Trans(0, 68, 1, 555), - Trans(0, 73, 1, 555), - Trans(0, 80, 1, 555), - Trans(0, 83, 1, 555), - Trans(0, 86, 1, 555), - Trans(0, 107, 1, 555), - Trans(0, 108, 1, 555), + Trans(0, 6, 1, 558), + Trans(0, 7, 1, 558), + Trans(0, 8, 1, 558), + Trans(0, 9, 1, 558), + Trans(0, 10, 1, 558), + Trans(0, 11, 1, 558), + Trans(0, 18, 1, 558), + Trans(0, 24, 1, 558), + Trans(0, 25, 1, 558), + Trans(0, 26, 1, 558), + Trans(0, 27, 1, 558), + Trans(0, 37, 1, 558), + Trans(0, 38, 1, 558), + Trans(0, 40, 1, 558), + Trans(0, 54, 1, 558), + Trans(0, 55, 2, 561), + Trans(0, 68, 1, 558), + Trans(0, 74, 1, 558), + Trans(0, 81, 1, 558), + Trans(0, 84, 1, 558), + Trans(0, 87, 1, 558), + Trans(0, 108, 1, 558), + Trans(0, 109, 1, 558), ], k: 1, }, @@ -2741,16 +2749,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 38, 2, 552), - Trans(0, 54, 1, 551), - Trans(0, 63, 1, 551), - Trans(0, 67, 1, 551), - Trans(0, 68, 1, 551), - Trans(0, 77, 1, 551), - Trans(0, 93, 1, 551), - Trans(0, 94, 1, 551), - Trans(0, 107, 1, 551), - Trans(0, 108, 1, 551), + Trans(0, 38, 2, 555), + Trans(0, 54, 1, 554), + Trans(0, 63, 1, 554), + Trans(0, 67, 1, 554), + Trans(0, 68, 1, 554), + Trans(0, 78, 1, 554), + Trans(0, 94, 1, 554), + Trans(0, 95, 1, 554), + Trans(0, 108, 1, 554), + Trans(0, 109, 1, 554), ], k: 1, }, @@ -2758,28 +2766,28 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 554), - Trans(0, 54, 1, 553), - Trans(0, 63, 1, 553), - Trans(0, 67, 1, 553), - Trans(0, 68, 1, 553), - Trans(0, 77, 1, 553), - Trans(0, 93, 1, 553), - Trans(0, 94, 1, 553), - Trans(0, 107, 1, 553), - Trans(0, 108, 1, 553), + Trans(0, 42, 2, 557), + Trans(0, 54, 1, 556), + Trans(0, 63, 1, 556), + Trans(0, 67, 1, 556), + Trans(0, 68, 1, 556), + Trans(0, 78, 1, 556), + Trans(0, 94, 1, 556), + Trans(0, 95, 1, 556), + Trans(0, 108, 1, 556), + Trans(0, 109, 1, 556), ], k: 1, }, /* 82 - "CaseItemGroupList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 2, 557), Trans(0, 30, 1, 556)], + transitions: &[Trans(0, 29, 2, 560), Trans(0, 30, 1, 559)], k: 1, }, /* 83 - "CaseStatement" */ LookaheadDFA { - prod0: 547, + prod0: 550, transitions: &[], k: 0, }, @@ -2787,30 +2795,30 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 548), - Trans(0, 7, 1, 548), - Trans(0, 8, 1, 548), - Trans(0, 9, 1, 548), - Trans(0, 10, 1, 548), - Trans(0, 11, 1, 548), - Trans(0, 18, 1, 548), - Trans(0, 24, 1, 548), - Trans(0, 25, 1, 548), - Trans(0, 26, 1, 548), - Trans(0, 27, 1, 548), - Trans(0, 37, 1, 548), - Trans(0, 38, 1, 548), - Trans(0, 40, 1, 548), - Trans(0, 42, 2, 549), - Trans(0, 54, 1, 548), - Trans(0, 55, 1, 548), - Trans(0, 68, 1, 548), - Trans(0, 73, 1, 548), - Trans(0, 80, 1, 548), - Trans(0, 83, 1, 548), - Trans(0, 86, 1, 548), - Trans(0, 107, 1, 548), - Trans(0, 108, 1, 548), + Trans(0, 6, 1, 551), + Trans(0, 7, 1, 551), + Trans(0, 8, 1, 551), + Trans(0, 9, 1, 551), + Trans(0, 10, 1, 551), + Trans(0, 11, 1, 551), + Trans(0, 18, 1, 551), + Trans(0, 24, 1, 551), + Trans(0, 25, 1, 551), + Trans(0, 26, 1, 551), + Trans(0, 27, 1, 551), + Trans(0, 37, 1, 551), + Trans(0, 38, 1, 551), + Trans(0, 40, 1, 551), + Trans(0, 42, 2, 552), + Trans(0, 54, 1, 551), + Trans(0, 55, 1, 551), + Trans(0, 68, 1, 551), + Trans(0, 74, 1, 551), + Trans(0, 81, 1, 551), + Trans(0, 84, 1, 551), + Trans(0, 87, 1, 551), + Trans(0, 108, 1, 551), + Trans(0, 109, 1, 551), ], k: 1, }, @@ -2822,19 +2830,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 86 - "CaseToken" */ LookaheadDFA { - prod0: 157, + prod0: 158, transitions: &[], k: 0, }, /* 87 - "Colon" */ LookaheadDFA { - prod0: 232, + prod0: 234, transitions: &[], k: 0, }, /* 88 - "ColonColon" */ LookaheadDFA { - prod0: 233, + prod0: 235, transitions: &[], k: 0, }, @@ -2846,7 +2854,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 90 - "ColonColonToken" */ LookaheadDFA { - prod0: 129, + prod0: 130, transitions: &[], k: 0, }, @@ -2858,13 +2866,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 92 - "ColonToken" */ LookaheadDFA { - prod0: 128, + prod0: 129, transitions: &[], k: 0, }, /* 93 - "Comma" */ LookaheadDFA { - prod0: 234, + prod0: 236, transitions: &[], k: 0, }, @@ -2876,13 +2884,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 95 - "CommaToken" */ LookaheadDFA { - prod0: 130, + prod0: 131, transitions: &[], k: 0, }, /* 96 - "Comments" */ LookaheadDFA { - prod0: 105, + prod0: 106, transitions: &[], k: 0, }, @@ -2890,111 +2898,112 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 0, 2, 107), - Trans(0, 5, 1, 106), - Trans(0, 6, 2, 107), - Trans(0, 7, 2, 107), - Trans(0, 8, 2, 107), - Trans(0, 9, 2, 107), - Trans(0, 10, 2, 107), - Trans(0, 11, 2, 107), - Trans(0, 12, 2, 107), - Trans(0, 13, 2, 107), - Trans(0, 14, 2, 107), - Trans(0, 15, 2, 107), - Trans(0, 16, 2, 107), - Trans(0, 17, 2, 107), - Trans(0, 18, 2, 107), - Trans(0, 19, 2, 107), - Trans(0, 20, 2, 107), - Trans(0, 21, 2, 107), - Trans(0, 22, 2, 107), - Trans(0, 23, 2, 107), - Trans(0, 24, 2, 107), - Trans(0, 25, 2, 107), - Trans(0, 26, 2, 107), - Trans(0, 27, 2, 107), - Trans(0, 28, 2, 107), - Trans(0, 29, 2, 107), - Trans(0, 30, 2, 107), - Trans(0, 31, 2, 107), - Trans(0, 32, 2, 107), - Trans(0, 33, 2, 107), - Trans(0, 34, 2, 107), - Trans(0, 35, 2, 107), - Trans(0, 36, 2, 107), - Trans(0, 37, 2, 107), - Trans(0, 38, 2, 107), - Trans(0, 39, 2, 107), - Trans(0, 40, 2, 107), - Trans(0, 41, 2, 107), - Trans(0, 42, 2, 107), - Trans(0, 43, 2, 107), - Trans(0, 44, 2, 107), - Trans(0, 45, 2, 107), - Trans(0, 46, 2, 107), - Trans(0, 47, 2, 107), - Trans(0, 48, 2, 107), - Trans(0, 49, 2, 107), - Trans(0, 50, 2, 107), - Trans(0, 51, 2, 107), - Trans(0, 52, 2, 107), - Trans(0, 53, 2, 107), - Trans(0, 54, 2, 107), - Trans(0, 55, 2, 107), - Trans(0, 56, 2, 107), - Trans(0, 57, 2, 107), - Trans(0, 58, 2, 107), - Trans(0, 59, 2, 107), - Trans(0, 60, 2, 107), - Trans(0, 61, 2, 107), - Trans(0, 62, 2, 107), - Trans(0, 63, 2, 107), - Trans(0, 64, 2, 107), - Trans(0, 65, 2, 107), - Trans(0, 66, 2, 107), - Trans(0, 67, 2, 107), - Trans(0, 68, 2, 107), - Trans(0, 69, 2, 107), - Trans(0, 70, 2, 107), - Trans(0, 71, 2, 107), - Trans(0, 72, 2, 107), - Trans(0, 73, 2, 107), - Trans(0, 74, 2, 107), - Trans(0, 75, 2, 107), - Trans(0, 76, 2, 107), - Trans(0, 77, 2, 107), - Trans(0, 78, 2, 107), - Trans(0, 79, 2, 107), - Trans(0, 80, 2, 107), - Trans(0, 81, 2, 107), - Trans(0, 82, 2, 107), - Trans(0, 83, 2, 107), - Trans(0, 84, 2, 107), - Trans(0, 85, 2, 107), - Trans(0, 86, 2, 107), - Trans(0, 87, 2, 107), - Trans(0, 88, 2, 107), - Trans(0, 89, 2, 107), - Trans(0, 90, 2, 107), - Trans(0, 91, 2, 107), - Trans(0, 92, 2, 107), - Trans(0, 93, 2, 107), - Trans(0, 94, 2, 107), - Trans(0, 95, 2, 107), - Trans(0, 96, 2, 107), - Trans(0, 97, 2, 107), - Trans(0, 98, 2, 107), - Trans(0, 99, 2, 107), - Trans(0, 100, 2, 107), - Trans(0, 101, 2, 107), - Trans(0, 102, 2, 107), - Trans(0, 103, 2, 107), - Trans(0, 104, 2, 107), - Trans(0, 105, 2, 107), - Trans(0, 106, 2, 107), - Trans(0, 107, 2, 107), - Trans(0, 108, 2, 107), + Trans(0, 0, 2, 108), + Trans(0, 5, 1, 107), + Trans(0, 6, 2, 108), + Trans(0, 7, 2, 108), + Trans(0, 8, 2, 108), + Trans(0, 9, 2, 108), + Trans(0, 10, 2, 108), + Trans(0, 11, 2, 108), + Trans(0, 12, 2, 108), + Trans(0, 13, 2, 108), + Trans(0, 14, 2, 108), + Trans(0, 15, 2, 108), + Trans(0, 16, 2, 108), + Trans(0, 17, 2, 108), + Trans(0, 18, 2, 108), + Trans(0, 19, 2, 108), + Trans(0, 20, 2, 108), + Trans(0, 21, 2, 108), + Trans(0, 22, 2, 108), + Trans(0, 23, 2, 108), + Trans(0, 24, 2, 108), + Trans(0, 25, 2, 108), + Trans(0, 26, 2, 108), + Trans(0, 27, 2, 108), + Trans(0, 28, 2, 108), + Trans(0, 29, 2, 108), + Trans(0, 30, 2, 108), + Trans(0, 31, 2, 108), + Trans(0, 32, 2, 108), + Trans(0, 33, 2, 108), + Trans(0, 34, 2, 108), + Trans(0, 35, 2, 108), + Trans(0, 36, 2, 108), + Trans(0, 37, 2, 108), + Trans(0, 38, 2, 108), + Trans(0, 39, 2, 108), + Trans(0, 40, 2, 108), + Trans(0, 41, 2, 108), + Trans(0, 42, 2, 108), + Trans(0, 43, 2, 108), + Trans(0, 44, 2, 108), + Trans(0, 45, 2, 108), + Trans(0, 46, 2, 108), + Trans(0, 47, 2, 108), + Trans(0, 48, 2, 108), + Trans(0, 49, 2, 108), + Trans(0, 50, 2, 108), + Trans(0, 51, 2, 108), + Trans(0, 52, 2, 108), + Trans(0, 53, 2, 108), + Trans(0, 54, 2, 108), + Trans(0, 55, 2, 108), + Trans(0, 56, 2, 108), + Trans(0, 57, 2, 108), + Trans(0, 58, 2, 108), + Trans(0, 59, 2, 108), + Trans(0, 60, 2, 108), + Trans(0, 61, 2, 108), + Trans(0, 62, 2, 108), + Trans(0, 63, 2, 108), + Trans(0, 64, 2, 108), + Trans(0, 65, 2, 108), + Trans(0, 66, 2, 108), + Trans(0, 67, 2, 108), + Trans(0, 68, 2, 108), + Trans(0, 69, 2, 108), + Trans(0, 70, 2, 108), + Trans(0, 71, 2, 108), + Trans(0, 72, 2, 108), + Trans(0, 73, 2, 108), + Trans(0, 74, 2, 108), + Trans(0, 75, 2, 108), + Trans(0, 76, 2, 108), + Trans(0, 77, 2, 108), + Trans(0, 78, 2, 108), + Trans(0, 79, 2, 108), + Trans(0, 80, 2, 108), + Trans(0, 81, 2, 108), + Trans(0, 82, 2, 108), + Trans(0, 83, 2, 108), + Trans(0, 84, 2, 108), + Trans(0, 85, 2, 108), + Trans(0, 86, 2, 108), + Trans(0, 87, 2, 108), + Trans(0, 88, 2, 108), + Trans(0, 89, 2, 108), + Trans(0, 90, 2, 108), + Trans(0, 91, 2, 108), + Trans(0, 92, 2, 108), + Trans(0, 93, 2, 108), + Trans(0, 94, 2, 108), + Trans(0, 95, 2, 108), + Trans(0, 96, 2, 108), + Trans(0, 97, 2, 108), + Trans(0, 98, 2, 108), + Trans(0, 99, 2, 108), + Trans(0, 100, 2, 108), + Trans(0, 101, 2, 108), + Trans(0, 102, 2, 108), + Trans(0, 103, 2, 108), + Trans(0, 104, 2, 108), + Trans(0, 105, 2, 108), + Trans(0, 106, 2, 108), + Trans(0, 107, 2, 108), + Trans(0, 108, 2, 108), + Trans(0, 109, 2, 108), ], k: 1, }, @@ -3006,7 +3015,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 99 - "ConcatenationItem" */ LookaheadDFA { - prod0: 427, + prod0: 430, transitions: &[], k: 0, }, @@ -3014,15 +3023,15 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 429), - Trans(0, 42, 2, 429), - Trans(0, 92, 1, 428), + Trans(0, 30, 2, 432), + Trans(0, 42, 2, 432), + Trans(0, 93, 1, 431), ], k: 1, }, /* 101 - "ConcatenationList" */ LookaheadDFA { - prod0: 422, + prod0: 425, transitions: &[], k: 0, }, @@ -3050,120 +3059,120 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 42, 22, -1), Trans(1, 54, 4, -1), Trans(1, 68, 4, -1), - Trans(1, 73, 4, -1), - Trans(1, 80, 2, -1), - Trans(1, 83, 2, -1), - Trans(1, 86, 4, -1), - Trans(1, 107, 6, -1), + Trans(1, 74, 4, -1), + Trans(1, 81, 2, -1), + Trans(1, 84, 2, -1), + Trans(1, 87, 4, -1), Trans(1, 108, 6, -1), - Trans(2, 5, 3, 423), - Trans(2, 16, 3, 423), - Trans(2, 17, 3, 423), - Trans(2, 18, 3, 423), - Trans(2, 19, 3, 423), - Trans(2, 20, 3, 423), - Trans(2, 21, 3, 423), - Trans(2, 22, 3, 423), - Trans(2, 23, 3, 423), - Trans(2, 24, 3, 423), - Trans(2, 25, 3, 423), - Trans(2, 26, 3, 423), - Trans(2, 30, 3, 423), - Trans(2, 42, 3, 423), - Trans(2, 46, 3, 423), - Trans(2, 52, 3, 423), - Trans(2, 92, 3, 423), - Trans(4, 5, 3, 423), - Trans(4, 6, 3, 423), - Trans(4, 7, 3, 423), - Trans(4, 8, 3, 423), - Trans(4, 9, 3, 423), - Trans(4, 10, 3, 423), - Trans(4, 11, 3, 423), - Trans(4, 18, 3, 423), - Trans(4, 24, 3, 423), - Trans(4, 25, 3, 423), - Trans(4, 26, 3, 423), - Trans(4, 27, 3, 423), - Trans(4, 37, 3, 423), - Trans(4, 38, 3, 423), - Trans(4, 40, 3, 423), - Trans(4, 54, 3, 423), - Trans(4, 68, 3, 423), - Trans(4, 73, 3, 423), - Trans(4, 80, 3, 423), - Trans(4, 83, 3, 423), - Trans(4, 86, 3, 423), - Trans(4, 107, 3, 423), - Trans(4, 108, 3, 423), - Trans(5, 5, 3, 423), - Trans(5, 6, 3, 423), - Trans(5, 7, 3, 423), - Trans(5, 8, 3, 423), - Trans(5, 9, 3, 423), - Trans(5, 10, 3, 423), - Trans(5, 11, 3, 423), - Trans(5, 18, 3, 423), - Trans(5, 24, 3, 423), - Trans(5, 25, 3, 423), - Trans(5, 26, 3, 423), - Trans(5, 27, 3, 423), - Trans(5, 37, 3, 423), - Trans(5, 38, 3, 423), - Trans(5, 40, 3, 423), - Trans(5, 54, 3, 423), - Trans(5, 55, 3, 423), - Trans(5, 68, 3, 423), - Trans(5, 73, 3, 423), - Trans(5, 80, 3, 423), - Trans(5, 83, 3, 423), - Trans(5, 86, 3, 423), - Trans(5, 107, 3, 423), - Trans(5, 108, 3, 423), - Trans(6, 5, 3, 423), - Trans(6, 16, 3, 423), - Trans(6, 17, 3, 423), - Trans(6, 18, 3, 423), - Trans(6, 19, 3, 423), - Trans(6, 20, 3, 423), - Trans(6, 21, 3, 423), - Trans(6, 22, 3, 423), - Trans(6, 23, 3, 423), - Trans(6, 24, 3, 423), - Trans(6, 25, 3, 423), - Trans(6, 26, 3, 423), - Trans(6, 28, 3, 423), - Trans(6, 30, 3, 423), - Trans(6, 33, 3, 423), - Trans(6, 39, 3, 423), - Trans(6, 40, 3, 423), - Trans(6, 42, 3, 423), - Trans(6, 46, 3, 423), - Trans(6, 52, 3, 423), - Trans(6, 92, 3, 423), - Trans(7, 6, 3, 423), - Trans(7, 7, 3, 423), - Trans(7, 8, 3, 423), - Trans(7, 9, 3, 423), - Trans(7, 10, 3, 423), - Trans(7, 11, 3, 423), - Trans(7, 18, 3, 423), - Trans(7, 24, 3, 423), - Trans(7, 25, 3, 423), - Trans(7, 26, 3, 423), - Trans(7, 27, 3, 423), - Trans(7, 37, 3, 423), - Trans(7, 38, 3, 423), - Trans(7, 40, 3, 423), - Trans(7, 42, 21, 424), - Trans(7, 54, 3, 423), - Trans(7, 68, 3, 423), - Trans(7, 73, 3, 423), - Trans(7, 80, 3, 423), - Trans(7, 83, 3, 423), - Trans(7, 86, 3, 423), - Trans(7, 107, 3, 423), - Trans(7, 108, 3, 423), + Trans(1, 109, 6, -1), + Trans(2, 5, 3, 426), + Trans(2, 16, 3, 426), + Trans(2, 17, 3, 426), + Trans(2, 18, 3, 426), + Trans(2, 19, 3, 426), + Trans(2, 20, 3, 426), + Trans(2, 21, 3, 426), + Trans(2, 22, 3, 426), + Trans(2, 23, 3, 426), + Trans(2, 24, 3, 426), + Trans(2, 25, 3, 426), + Trans(2, 26, 3, 426), + Trans(2, 30, 3, 426), + Trans(2, 42, 3, 426), + Trans(2, 46, 3, 426), + Trans(2, 52, 3, 426), + Trans(2, 93, 3, 426), + Trans(4, 5, 3, 426), + Trans(4, 6, 3, 426), + Trans(4, 7, 3, 426), + Trans(4, 8, 3, 426), + Trans(4, 9, 3, 426), + Trans(4, 10, 3, 426), + Trans(4, 11, 3, 426), + Trans(4, 18, 3, 426), + Trans(4, 24, 3, 426), + Trans(4, 25, 3, 426), + Trans(4, 26, 3, 426), + Trans(4, 27, 3, 426), + Trans(4, 37, 3, 426), + Trans(4, 38, 3, 426), + Trans(4, 40, 3, 426), + Trans(4, 54, 3, 426), + Trans(4, 68, 3, 426), + Trans(4, 74, 3, 426), + Trans(4, 81, 3, 426), + Trans(4, 84, 3, 426), + Trans(4, 87, 3, 426), + Trans(4, 108, 3, 426), + Trans(4, 109, 3, 426), + Trans(5, 5, 3, 426), + Trans(5, 6, 3, 426), + Trans(5, 7, 3, 426), + Trans(5, 8, 3, 426), + Trans(5, 9, 3, 426), + Trans(5, 10, 3, 426), + Trans(5, 11, 3, 426), + Trans(5, 18, 3, 426), + Trans(5, 24, 3, 426), + Trans(5, 25, 3, 426), + Trans(5, 26, 3, 426), + Trans(5, 27, 3, 426), + Trans(5, 37, 3, 426), + Trans(5, 38, 3, 426), + Trans(5, 40, 3, 426), + Trans(5, 54, 3, 426), + Trans(5, 55, 3, 426), + Trans(5, 68, 3, 426), + Trans(5, 74, 3, 426), + Trans(5, 81, 3, 426), + Trans(5, 84, 3, 426), + Trans(5, 87, 3, 426), + Trans(5, 108, 3, 426), + Trans(5, 109, 3, 426), + Trans(6, 5, 3, 426), + Trans(6, 16, 3, 426), + Trans(6, 17, 3, 426), + Trans(6, 18, 3, 426), + Trans(6, 19, 3, 426), + Trans(6, 20, 3, 426), + Trans(6, 21, 3, 426), + Trans(6, 22, 3, 426), + Trans(6, 23, 3, 426), + Trans(6, 24, 3, 426), + Trans(6, 25, 3, 426), + Trans(6, 26, 3, 426), + Trans(6, 28, 3, 426), + Trans(6, 30, 3, 426), + Trans(6, 33, 3, 426), + Trans(6, 39, 3, 426), + Trans(6, 40, 3, 426), + Trans(6, 42, 3, 426), + Trans(6, 46, 3, 426), + Trans(6, 52, 3, 426), + Trans(6, 93, 3, 426), + Trans(7, 6, 3, 426), + Trans(7, 7, 3, 426), + Trans(7, 8, 3, 426), + Trans(7, 9, 3, 426), + Trans(7, 10, 3, 426), + Trans(7, 11, 3, 426), + Trans(7, 18, 3, 426), + Trans(7, 24, 3, 426), + Trans(7, 25, 3, 426), + Trans(7, 26, 3, 426), + Trans(7, 27, 3, 426), + Trans(7, 37, 3, 426), + Trans(7, 38, 3, 426), + Trans(7, 40, 3, 426), + Trans(7, 42, 21, 427), + Trans(7, 54, 3, 426), + Trans(7, 68, 3, 426), + Trans(7, 74, 3, 426), + Trans(7, 81, 3, 426), + Trans(7, 84, 3, 426), + Trans(7, 87, 3, 426), + Trans(7, 108, 3, 426), + Trans(7, 109, 3, 426), Trans(8, 5, 9, -1), Trans(8, 12, 10, -1), Trans(8, 14, 10, -1), @@ -3190,399 +3199,399 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(8, 45, 18, -1), Trans(8, 46, 10, -1), Trans(8, 52, 19, -1), - Trans(8, 92, 10, -1), - Trans(8, 96, 20, -1), - Trans(9, 12, 21, 424), - Trans(9, 14, 21, 424), - Trans(9, 16, 21, 424), - Trans(9, 17, 21, 424), - Trans(9, 18, 21, 424), - Trans(9, 19, 21, 424), - Trans(9, 20, 21, 424), - Trans(9, 21, 21, 424), - Trans(9, 22, 21, 424), - Trans(9, 23, 21, 424), - Trans(9, 24, 21, 424), - Trans(9, 25, 21, 424), - Trans(9, 26, 21, 424), - Trans(9, 29, 21, 424), - Trans(9, 30, 21, 424), - Trans(9, 31, 21, 424), - Trans(9, 32, 21, 424), - Trans(9, 38, 21, 424), - Trans(9, 41, 21, 424), - Trans(9, 42, 21, 424), - Trans(9, 43, 21, 424), - Trans(9, 44, 21, 424), - Trans(9, 45, 21, 424), - Trans(9, 46, 21, 424), - Trans(9, 52, 21, 424), - Trans(9, 92, 21, 424), - Trans(9, 96, 21, 424), - Trans(10, 5, 21, 424), - Trans(10, 6, 21, 424), - Trans(10, 7, 21, 424), - Trans(10, 8, 21, 424), - Trans(10, 9, 21, 424), - Trans(10, 10, 21, 424), - Trans(10, 11, 21, 424), - Trans(10, 18, 21, 424), - Trans(10, 24, 21, 424), - Trans(10, 25, 21, 424), - Trans(10, 26, 21, 424), - Trans(10, 27, 21, 424), - Trans(10, 37, 21, 424), - Trans(10, 38, 21, 424), - Trans(10, 40, 21, 424), - Trans(10, 54, 21, 424), - Trans(10, 68, 21, 424), - Trans(10, 73, 21, 424), - Trans(10, 80, 21, 424), - Trans(10, 83, 21, 424), - Trans(10, 86, 21, 424), - Trans(10, 107, 21, 424), - Trans(10, 108, 21, 424), - Trans(11, 5, 21, 424), - Trans(11, 6, 21, 424), - Trans(11, 7, 21, 424), - Trans(11, 8, 21, 424), - Trans(11, 9, 21, 424), - Trans(11, 10, 21, 424), - Trans(11, 11, 21, 424), - Trans(11, 18, 21, 424), - Trans(11, 24, 21, 424), - Trans(11, 25, 21, 424), - Trans(11, 26, 21, 424), - Trans(11, 27, 21, 424), - Trans(11, 37, 21, 424), - Trans(11, 38, 21, 424), - Trans(11, 40, 21, 424), - Trans(11, 54, 21, 424), - Trans(11, 63, 21, 424), - Trans(11, 67, 21, 424), - Trans(11, 68, 21, 424), - Trans(11, 73, 21, 424), - Trans(11, 77, 21, 424), - Trans(11, 80, 21, 424), - Trans(11, 83, 21, 424), - Trans(11, 86, 21, 424), - Trans(11, 93, 21, 424), - Trans(11, 94, 21, 424), - Trans(11, 107, 21, 424), - Trans(11, 108, 21, 424), - Trans(12, 5, 21, 424), - Trans(12, 6, 21, 424), - Trans(12, 7, 21, 424), - Trans(12, 8, 21, 424), - Trans(12, 9, 21, 424), - Trans(12, 10, 21, 424), - Trans(12, 11, 21, 424), - Trans(12, 18, 21, 424), - Trans(12, 24, 21, 424), - Trans(12, 25, 21, 424), - Trans(12, 26, 21, 424), - Trans(12, 27, 21, 424), - Trans(12, 35, 21, 424), - Trans(12, 37, 21, 424), - Trans(12, 38, 21, 424), - Trans(12, 40, 21, 424), - Trans(12, 42, 21, 424), - Trans(12, 44, 21, 424), - Trans(12, 54, 21, 424), - Trans(12, 55, 21, 424), - Trans(12, 68, 21, 424), - Trans(12, 73, 21, 424), - Trans(12, 78, 21, 424), - Trans(12, 80, 21, 424), - Trans(12, 83, 21, 424), - Trans(12, 86, 21, 424), - Trans(12, 88, 21, 424), - Trans(12, 107, 21, 424), - Trans(12, 108, 21, 424), - Trans(13, 5, 21, 424), - Trans(13, 6, 21, 424), - Trans(13, 7, 21, 424), - Trans(13, 8, 21, 424), - Trans(13, 9, 21, 424), - Trans(13, 10, 21, 424), - Trans(13, 11, 21, 424), - Trans(13, 18, 21, 424), - Trans(13, 24, 21, 424), - Trans(13, 25, 21, 424), - Trans(13, 26, 21, 424), - Trans(13, 27, 21, 424), - Trans(13, 29, 21, 424), - Trans(13, 35, 21, 424), - Trans(13, 37, 21, 424), - Trans(13, 38, 21, 424), - Trans(13, 40, 21, 424), - Trans(13, 42, 21, 424), - Trans(13, 47, 21, 424), - Trans(13, 48, 21, 424), - Trans(13, 49, 21, 424), - Trans(13, 54, 21, 424), - Trans(13, 55, 21, 424), - Trans(13, 58, 21, 424), - Trans(13, 62, 21, 424), - Trans(13, 63, 21, 424), - Trans(13, 64, 21, 424), - Trans(13, 67, 21, 424), - Trans(13, 68, 21, 424), - Trans(13, 69, 21, 424), - Trans(13, 70, 21, 424), - Trans(13, 73, 21, 424), - Trans(13, 74, 21, 424), - Trans(13, 77, 21, 424), - Trans(13, 78, 21, 424), - Trans(13, 80, 21, 424), - Trans(13, 81, 21, 424), - Trans(13, 83, 21, 424), - Trans(13, 86, 21, 424), - Trans(13, 93, 21, 424), - Trans(13, 94, 21, 424), - Trans(13, 98, 21, 424), - Trans(13, 102, 21, 424), - Trans(13, 105, 21, 424), - Trans(13, 106, 21, 424), - Trans(13, 107, 21, 424), - Trans(13, 108, 21, 424), - Trans(14, 5, 21, 424), - Trans(14, 30, 21, 424), - Trans(14, 34, 21, 424), - Trans(14, 38, 21, 424), - Trans(14, 39, 21, 424), - Trans(14, 42, 21, 424), - Trans(14, 44, 21, 424), - Trans(14, 45, 21, 424), - Trans(14, 76, 21, 424), - Trans(15, 5, 21, 424), - Trans(15, 12, 21, 424), - Trans(15, 14, 21, 424), - Trans(15, 16, 21, 424), - Trans(15, 17, 21, 424), - Trans(15, 18, 21, 424), - Trans(15, 19, 21, 424), - Trans(15, 20, 21, 424), - Trans(15, 21, 21, 424), - Trans(15, 22, 21, 424), - Trans(15, 23, 21, 424), - Trans(15, 24, 21, 424), - Trans(15, 25, 21, 424), - Trans(15, 26, 21, 424), - Trans(15, 29, 21, 424), - Trans(15, 30, 21, 424), - Trans(15, 31, 21, 424), - Trans(15, 32, 21, 424), - Trans(15, 35, 21, 424), - Trans(15, 38, 21, 424), - Trans(15, 41, 21, 424), - Trans(15, 42, 21, 424), - Trans(15, 43, 21, 424), - Trans(15, 44, 21, 424), - Trans(15, 45, 21, 424), - Trans(15, 46, 21, 424), - Trans(15, 47, 21, 424), - Trans(15, 48, 21, 424), - Trans(15, 49, 21, 424), - Trans(15, 52, 21, 424), - Trans(15, 56, 21, 424), - Trans(15, 58, 21, 424), - Trans(15, 59, 21, 424), - Trans(15, 62, 21, 424), - Trans(15, 63, 21, 424), - Trans(15, 64, 21, 424), - Trans(15, 68, 21, 424), - Trans(15, 69, 21, 424), - Trans(15, 70, 21, 424), - Trans(15, 74, 21, 424), - Trans(15, 77, 21, 424), - Trans(15, 78, 21, 424), - Trans(15, 81, 21, 424), - Trans(15, 92, 21, 424), - Trans(15, 96, 21, 424), - Trans(15, 98, 21, 424), - Trans(15, 102, 21, 424), - Trans(15, 105, 21, 424), - Trans(15, 106, 21, 424), - Trans(16, 5, 21, 424), - Trans(16, 12, 21, 424), - Trans(16, 14, 21, 424), - Trans(16, 15, 21, 424), - Trans(16, 16, 21, 424), - Trans(16, 17, 21, 424), - Trans(16, 18, 21, 424), - Trans(16, 19, 21, 424), - Trans(16, 20, 21, 424), - Trans(16, 21, 21, 424), - Trans(16, 22, 21, 424), - Trans(16, 23, 21, 424), - Trans(16, 24, 21, 424), - Trans(16, 25, 21, 424), - Trans(16, 26, 21, 424), - Trans(16, 29, 21, 424), - Trans(16, 30, 21, 424), - Trans(16, 31, 21, 424), - Trans(16, 32, 21, 424), - Trans(16, 33, 21, 424), - Trans(16, 34, 21, 424), - Trans(16, 35, 21, 424), - Trans(16, 38, 21, 424), - Trans(16, 39, 21, 424), - Trans(16, 40, 21, 424), - Trans(16, 41, 21, 424), - Trans(16, 42, 21, 424), - Trans(16, 43, 21, 424), - Trans(16, 44, 21, 424), - Trans(16, 45, 21, 424), - Trans(16, 46, 21, 424), - Trans(16, 52, 21, 424), - Trans(16, 92, 21, 424), - Trans(16, 96, 21, 424), - Trans(17, 5, 21, 424), - Trans(17, 12, 21, 424), - Trans(17, 13, 21, 424), - Trans(17, 14, 21, 424), - Trans(17, 16, 21, 424), - Trans(17, 17, 21, 424), - Trans(17, 18, 21, 424), - Trans(17, 19, 21, 424), - Trans(17, 20, 21, 424), - Trans(17, 21, 21, 424), - Trans(17, 22, 21, 424), - Trans(17, 23, 21, 424), - Trans(17, 24, 21, 424), - Trans(17, 25, 21, 424), - Trans(17, 26, 21, 424), - Trans(17, 29, 21, 424), - Trans(17, 30, 21, 424), - Trans(17, 31, 21, 424), - Trans(17, 32, 21, 424), - Trans(17, 38, 21, 424), - Trans(17, 40, 21, 424), - Trans(17, 41, 21, 424), - Trans(17, 42, 21, 424), - Trans(17, 43, 21, 424), - Trans(17, 44, 21, 424), - Trans(17, 45, 21, 424), - Trans(17, 46, 21, 424), - Trans(17, 52, 21, 424), - Trans(17, 92, 21, 424), - Trans(17, 96, 21, 424), - Trans(18, 5, 21, 424), - Trans(18, 6, 21, 424), - Trans(18, 7, 21, 424), - Trans(18, 8, 21, 424), - Trans(18, 9, 21, 424), - Trans(18, 10, 21, 424), - Trans(18, 11, 21, 424), - Trans(18, 18, 21, 424), - Trans(18, 24, 21, 424), - Trans(18, 25, 21, 424), - Trans(18, 26, 21, 424), - Trans(18, 27, 21, 424), - Trans(18, 29, 21, 424), - Trans(18, 35, 21, 424), - Trans(18, 37, 21, 424), - Trans(18, 38, 21, 424), - Trans(18, 40, 21, 424), - Trans(18, 42, 21, 424), - Trans(18, 47, 21, 424), - Trans(18, 48, 21, 424), - Trans(18, 49, 21, 424), - Trans(18, 54, 21, 424), - Trans(18, 55, 21, 424), - Trans(18, 58, 21, 424), - Trans(18, 59, 21, 424), - Trans(18, 62, 21, 424), - Trans(18, 63, 21, 424), - Trans(18, 64, 21, 424), - Trans(18, 67, 21, 424), - Trans(18, 68, 21, 424), - Trans(18, 69, 21, 424), - Trans(18, 70, 21, 424), - Trans(18, 73, 21, 424), - Trans(18, 74, 21, 424), - Trans(18, 77, 21, 424), - Trans(18, 78, 21, 424), - Trans(18, 80, 21, 424), - Trans(18, 81, 21, 424), - Trans(18, 83, 21, 424), - Trans(18, 86, 21, 424), - Trans(18, 93, 21, 424), - Trans(18, 94, 21, 424), - Trans(18, 98, 21, 424), - Trans(18, 102, 21, 424), - Trans(18, 105, 21, 424), - Trans(18, 106, 21, 424), - Trans(18, 107, 21, 424), - Trans(18, 108, 21, 424), - Trans(19, 5, 21, 424), - Trans(19, 107, 21, 424), - Trans(19, 108, 21, 424), - Trans(20, 5, 21, 424), - Trans(20, 6, 21, 424), - Trans(20, 7, 21, 424), - Trans(20, 8, 21, 424), - Trans(20, 9, 21, 424), - Trans(20, 10, 21, 424), - Trans(20, 11, 21, 424), - Trans(20, 15, 21, 424), - Trans(20, 18, 21, 424), - Trans(20, 24, 21, 424), - Trans(20, 25, 21, 424), - Trans(20, 26, 21, 424), - Trans(20, 27, 21, 424), - Trans(20, 37, 21, 424), - Trans(20, 38, 21, 424), - Trans(20, 40, 21, 424), - Trans(20, 54, 21, 424), - Trans(20, 68, 21, 424), - Trans(20, 73, 21, 424), - Trans(20, 80, 21, 424), - Trans(20, 83, 21, 424), - Trans(20, 86, 21, 424), - Trans(20, 107, 21, 424), - Trans(20, 108, 21, 424), - Trans(22, 5, 21, 424), - Trans(22, 12, 21, 424), - Trans(22, 14, 21, 424), - Trans(22, 16, 21, 424), - Trans(22, 17, 21, 424), - Trans(22, 18, 21, 424), - Trans(22, 19, 21, 424), - Trans(22, 20, 21, 424), - Trans(22, 21, 21, 424), - Trans(22, 22, 21, 424), - Trans(22, 23, 21, 424), - Trans(22, 24, 21, 424), - Trans(22, 25, 21, 424), - Trans(22, 26, 21, 424), - Trans(22, 29, 21, 424), - Trans(22, 30, 21, 424), - Trans(22, 31, 21, 424), - Trans(22, 32, 21, 424), - Trans(22, 38, 21, 424), - Trans(22, 41, 21, 424), - Trans(22, 42, 21, 424), - Trans(22, 43, 21, 424), - Trans(22, 44, 21, 424), - Trans(22, 45, 21, 424), - Trans(22, 46, 21, 424), - Trans(22, 52, 21, 424), - Trans(22, 92, 21, 424), - Trans(22, 96, 21, 424), + Trans(8, 93, 10, -1), + Trans(8, 97, 20, -1), + Trans(9, 12, 21, 427), + Trans(9, 14, 21, 427), + Trans(9, 16, 21, 427), + Trans(9, 17, 21, 427), + Trans(9, 18, 21, 427), + Trans(9, 19, 21, 427), + Trans(9, 20, 21, 427), + Trans(9, 21, 21, 427), + Trans(9, 22, 21, 427), + Trans(9, 23, 21, 427), + Trans(9, 24, 21, 427), + Trans(9, 25, 21, 427), + Trans(9, 26, 21, 427), + Trans(9, 29, 21, 427), + Trans(9, 30, 21, 427), + Trans(9, 31, 21, 427), + Trans(9, 32, 21, 427), + Trans(9, 38, 21, 427), + Trans(9, 41, 21, 427), + Trans(9, 42, 21, 427), + Trans(9, 43, 21, 427), + Trans(9, 44, 21, 427), + Trans(9, 45, 21, 427), + Trans(9, 46, 21, 427), + Trans(9, 52, 21, 427), + Trans(9, 93, 21, 427), + Trans(9, 97, 21, 427), + Trans(10, 5, 21, 427), + Trans(10, 6, 21, 427), + Trans(10, 7, 21, 427), + Trans(10, 8, 21, 427), + Trans(10, 9, 21, 427), + Trans(10, 10, 21, 427), + Trans(10, 11, 21, 427), + Trans(10, 18, 21, 427), + Trans(10, 24, 21, 427), + Trans(10, 25, 21, 427), + Trans(10, 26, 21, 427), + Trans(10, 27, 21, 427), + Trans(10, 37, 21, 427), + Trans(10, 38, 21, 427), + Trans(10, 40, 21, 427), + Trans(10, 54, 21, 427), + Trans(10, 68, 21, 427), + Trans(10, 74, 21, 427), + Trans(10, 81, 21, 427), + Trans(10, 84, 21, 427), + Trans(10, 87, 21, 427), + Trans(10, 108, 21, 427), + Trans(10, 109, 21, 427), + Trans(11, 5, 21, 427), + Trans(11, 6, 21, 427), + Trans(11, 7, 21, 427), + Trans(11, 8, 21, 427), + Trans(11, 9, 21, 427), + Trans(11, 10, 21, 427), + Trans(11, 11, 21, 427), + Trans(11, 18, 21, 427), + Trans(11, 24, 21, 427), + Trans(11, 25, 21, 427), + Trans(11, 26, 21, 427), + Trans(11, 27, 21, 427), + Trans(11, 37, 21, 427), + Trans(11, 38, 21, 427), + Trans(11, 40, 21, 427), + Trans(11, 54, 21, 427), + Trans(11, 63, 21, 427), + Trans(11, 67, 21, 427), + Trans(11, 68, 21, 427), + Trans(11, 74, 21, 427), + Trans(11, 78, 21, 427), + Trans(11, 81, 21, 427), + Trans(11, 84, 21, 427), + Trans(11, 87, 21, 427), + Trans(11, 94, 21, 427), + Trans(11, 95, 21, 427), + Trans(11, 108, 21, 427), + Trans(11, 109, 21, 427), + Trans(12, 5, 21, 427), + Trans(12, 6, 21, 427), + Trans(12, 7, 21, 427), + Trans(12, 8, 21, 427), + Trans(12, 9, 21, 427), + Trans(12, 10, 21, 427), + Trans(12, 11, 21, 427), + Trans(12, 18, 21, 427), + Trans(12, 24, 21, 427), + Trans(12, 25, 21, 427), + Trans(12, 26, 21, 427), + Trans(12, 27, 21, 427), + Trans(12, 35, 21, 427), + Trans(12, 37, 21, 427), + Trans(12, 38, 21, 427), + Trans(12, 40, 21, 427), + Trans(12, 42, 21, 427), + Trans(12, 44, 21, 427), + Trans(12, 54, 21, 427), + Trans(12, 55, 21, 427), + Trans(12, 68, 21, 427), + Trans(12, 74, 21, 427), + Trans(12, 79, 21, 427), + Trans(12, 81, 21, 427), + Trans(12, 84, 21, 427), + Trans(12, 87, 21, 427), + Trans(12, 89, 21, 427), + Trans(12, 108, 21, 427), + Trans(12, 109, 21, 427), + Trans(13, 5, 21, 427), + Trans(13, 6, 21, 427), + Trans(13, 7, 21, 427), + Trans(13, 8, 21, 427), + Trans(13, 9, 21, 427), + Trans(13, 10, 21, 427), + Trans(13, 11, 21, 427), + Trans(13, 18, 21, 427), + Trans(13, 24, 21, 427), + Trans(13, 25, 21, 427), + Trans(13, 26, 21, 427), + Trans(13, 27, 21, 427), + Trans(13, 29, 21, 427), + Trans(13, 35, 21, 427), + Trans(13, 37, 21, 427), + Trans(13, 38, 21, 427), + Trans(13, 40, 21, 427), + Trans(13, 42, 21, 427), + Trans(13, 47, 21, 427), + Trans(13, 48, 21, 427), + Trans(13, 49, 21, 427), + Trans(13, 54, 21, 427), + Trans(13, 55, 21, 427), + Trans(13, 58, 21, 427), + Trans(13, 62, 21, 427), + Trans(13, 63, 21, 427), + Trans(13, 64, 21, 427), + Trans(13, 67, 21, 427), + Trans(13, 68, 21, 427), + Trans(13, 69, 21, 427), + Trans(13, 71, 21, 427), + Trans(13, 74, 21, 427), + Trans(13, 75, 21, 427), + Trans(13, 78, 21, 427), + Trans(13, 79, 21, 427), + Trans(13, 81, 21, 427), + Trans(13, 82, 21, 427), + Trans(13, 84, 21, 427), + Trans(13, 87, 21, 427), + Trans(13, 94, 21, 427), + Trans(13, 95, 21, 427), + Trans(13, 99, 21, 427), + Trans(13, 103, 21, 427), + Trans(13, 106, 21, 427), + Trans(13, 107, 21, 427), + Trans(13, 108, 21, 427), + Trans(13, 109, 21, 427), + Trans(14, 5, 21, 427), + Trans(14, 30, 21, 427), + Trans(14, 34, 21, 427), + Trans(14, 38, 21, 427), + Trans(14, 39, 21, 427), + Trans(14, 42, 21, 427), + Trans(14, 44, 21, 427), + Trans(14, 45, 21, 427), + Trans(14, 77, 21, 427), + Trans(15, 5, 21, 427), + Trans(15, 12, 21, 427), + Trans(15, 14, 21, 427), + Trans(15, 16, 21, 427), + Trans(15, 17, 21, 427), + Trans(15, 18, 21, 427), + Trans(15, 19, 21, 427), + Trans(15, 20, 21, 427), + Trans(15, 21, 21, 427), + Trans(15, 22, 21, 427), + Trans(15, 23, 21, 427), + Trans(15, 24, 21, 427), + Trans(15, 25, 21, 427), + Trans(15, 26, 21, 427), + Trans(15, 29, 21, 427), + Trans(15, 30, 21, 427), + Trans(15, 31, 21, 427), + Trans(15, 32, 21, 427), + Trans(15, 35, 21, 427), + Trans(15, 38, 21, 427), + Trans(15, 41, 21, 427), + Trans(15, 42, 21, 427), + Trans(15, 43, 21, 427), + Trans(15, 44, 21, 427), + Trans(15, 45, 21, 427), + Trans(15, 46, 21, 427), + Trans(15, 47, 21, 427), + Trans(15, 48, 21, 427), + Trans(15, 49, 21, 427), + Trans(15, 52, 21, 427), + Trans(15, 56, 21, 427), + Trans(15, 58, 21, 427), + Trans(15, 59, 21, 427), + Trans(15, 62, 21, 427), + Trans(15, 63, 21, 427), + Trans(15, 64, 21, 427), + Trans(15, 68, 21, 427), + Trans(15, 69, 21, 427), + Trans(15, 71, 21, 427), + Trans(15, 75, 21, 427), + Trans(15, 78, 21, 427), + Trans(15, 79, 21, 427), + Trans(15, 82, 21, 427), + Trans(15, 93, 21, 427), + Trans(15, 97, 21, 427), + Trans(15, 99, 21, 427), + Trans(15, 103, 21, 427), + Trans(15, 106, 21, 427), + Trans(15, 107, 21, 427), + Trans(16, 5, 21, 427), + Trans(16, 12, 21, 427), + Trans(16, 14, 21, 427), + Trans(16, 15, 21, 427), + Trans(16, 16, 21, 427), + Trans(16, 17, 21, 427), + Trans(16, 18, 21, 427), + Trans(16, 19, 21, 427), + Trans(16, 20, 21, 427), + Trans(16, 21, 21, 427), + Trans(16, 22, 21, 427), + Trans(16, 23, 21, 427), + Trans(16, 24, 21, 427), + Trans(16, 25, 21, 427), + Trans(16, 26, 21, 427), + Trans(16, 29, 21, 427), + Trans(16, 30, 21, 427), + Trans(16, 31, 21, 427), + Trans(16, 32, 21, 427), + Trans(16, 33, 21, 427), + Trans(16, 34, 21, 427), + Trans(16, 35, 21, 427), + Trans(16, 38, 21, 427), + Trans(16, 39, 21, 427), + Trans(16, 40, 21, 427), + Trans(16, 41, 21, 427), + Trans(16, 42, 21, 427), + Trans(16, 43, 21, 427), + Trans(16, 44, 21, 427), + Trans(16, 45, 21, 427), + Trans(16, 46, 21, 427), + Trans(16, 52, 21, 427), + Trans(16, 93, 21, 427), + Trans(16, 97, 21, 427), + Trans(17, 5, 21, 427), + Trans(17, 12, 21, 427), + Trans(17, 13, 21, 427), + Trans(17, 14, 21, 427), + Trans(17, 16, 21, 427), + Trans(17, 17, 21, 427), + Trans(17, 18, 21, 427), + Trans(17, 19, 21, 427), + Trans(17, 20, 21, 427), + Trans(17, 21, 21, 427), + Trans(17, 22, 21, 427), + Trans(17, 23, 21, 427), + Trans(17, 24, 21, 427), + Trans(17, 25, 21, 427), + Trans(17, 26, 21, 427), + Trans(17, 29, 21, 427), + Trans(17, 30, 21, 427), + Trans(17, 31, 21, 427), + Trans(17, 32, 21, 427), + Trans(17, 38, 21, 427), + Trans(17, 40, 21, 427), + Trans(17, 41, 21, 427), + Trans(17, 42, 21, 427), + Trans(17, 43, 21, 427), + Trans(17, 44, 21, 427), + Trans(17, 45, 21, 427), + Trans(17, 46, 21, 427), + Trans(17, 52, 21, 427), + Trans(17, 93, 21, 427), + Trans(17, 97, 21, 427), + Trans(18, 5, 21, 427), + Trans(18, 6, 21, 427), + Trans(18, 7, 21, 427), + Trans(18, 8, 21, 427), + Trans(18, 9, 21, 427), + Trans(18, 10, 21, 427), + Trans(18, 11, 21, 427), + Trans(18, 18, 21, 427), + Trans(18, 24, 21, 427), + Trans(18, 25, 21, 427), + Trans(18, 26, 21, 427), + Trans(18, 27, 21, 427), + Trans(18, 29, 21, 427), + Trans(18, 35, 21, 427), + Trans(18, 37, 21, 427), + Trans(18, 38, 21, 427), + Trans(18, 40, 21, 427), + Trans(18, 42, 21, 427), + Trans(18, 47, 21, 427), + Trans(18, 48, 21, 427), + Trans(18, 49, 21, 427), + Trans(18, 54, 21, 427), + Trans(18, 55, 21, 427), + Trans(18, 58, 21, 427), + Trans(18, 59, 21, 427), + Trans(18, 62, 21, 427), + Trans(18, 63, 21, 427), + Trans(18, 64, 21, 427), + Trans(18, 67, 21, 427), + Trans(18, 68, 21, 427), + Trans(18, 69, 21, 427), + Trans(18, 71, 21, 427), + Trans(18, 74, 21, 427), + Trans(18, 75, 21, 427), + Trans(18, 78, 21, 427), + Trans(18, 79, 21, 427), + Trans(18, 81, 21, 427), + Trans(18, 82, 21, 427), + Trans(18, 84, 21, 427), + Trans(18, 87, 21, 427), + Trans(18, 94, 21, 427), + Trans(18, 95, 21, 427), + Trans(18, 99, 21, 427), + Trans(18, 103, 21, 427), + Trans(18, 106, 21, 427), + Trans(18, 107, 21, 427), + Trans(18, 108, 21, 427), + Trans(18, 109, 21, 427), + Trans(19, 5, 21, 427), + Trans(19, 108, 21, 427), + Trans(19, 109, 21, 427), + Trans(20, 5, 21, 427), + Trans(20, 6, 21, 427), + Trans(20, 7, 21, 427), + Trans(20, 8, 21, 427), + Trans(20, 9, 21, 427), + Trans(20, 10, 21, 427), + Trans(20, 11, 21, 427), + Trans(20, 15, 21, 427), + Trans(20, 18, 21, 427), + Trans(20, 24, 21, 427), + Trans(20, 25, 21, 427), + Trans(20, 26, 21, 427), + Trans(20, 27, 21, 427), + Trans(20, 37, 21, 427), + Trans(20, 38, 21, 427), + Trans(20, 40, 21, 427), + Trans(20, 54, 21, 427), + Trans(20, 68, 21, 427), + Trans(20, 74, 21, 427), + Trans(20, 81, 21, 427), + Trans(20, 84, 21, 427), + Trans(20, 87, 21, 427), + Trans(20, 108, 21, 427), + Trans(20, 109, 21, 427), + Trans(22, 5, 21, 427), + Trans(22, 12, 21, 427), + Trans(22, 14, 21, 427), + Trans(22, 16, 21, 427), + Trans(22, 17, 21, 427), + Trans(22, 18, 21, 427), + Trans(22, 19, 21, 427), + Trans(22, 20, 21, 427), + Trans(22, 21, 21, 427), + Trans(22, 22, 21, 427), + Trans(22, 23, 21, 427), + Trans(22, 24, 21, 427), + Trans(22, 25, 21, 427), + Trans(22, 26, 21, 427), + Trans(22, 29, 21, 427), + Trans(22, 30, 21, 427), + Trans(22, 31, 21, 427), + Trans(22, 32, 21, 427), + Trans(22, 38, 21, 427), + Trans(22, 41, 21, 427), + Trans(22, 42, 21, 427), + Trans(22, 43, 21, 427), + Trans(22, 44, 21, 427), + Trans(22, 45, 21, 427), + Trans(22, 46, 21, 427), + Trans(22, 52, 21, 427), + Trans(22, 93, 21, 427), + Trans(22, 97, 21, 427), ], k: 3, }, /* 103 - "ConcatenationListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 425), Trans(0, 42, 2, 426)], + transitions: &[Trans(0, 30, 1, 428), Trans(0, 42, 2, 429)], k: 1, }, /* 104 - "Defaul" */ LookaheadDFA { - prod0: 263, + prod0: 265, transitions: &[], k: 0, }, @@ -3594,13 +3603,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 106 - "DefaultToken" */ LookaheadDFA { - prod0: 158, + prod0: 159, transitions: &[], k: 0, }, /* 107 - "DescriptionGroup" */ LookaheadDFA { - prod0: 864, + prod0: 868, transitions: &[], k: 0, }, @@ -3608,13 +3617,14 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 38, 1, 865), - Trans(0, 57, 2, 868), - Trans(0, 69, 2, 868), - Trans(0, 75, 2, 868), - Trans(0, 82, 2, 868), - Trans(0, 87, 2, 868), - Trans(0, 90, 2, 868), + Trans(0, 38, 1, 869), + Trans(0, 57, 2, 872), + Trans(0, 69, 2, 872), + Trans(0, 70, 2, 872), + Trans(0, 76, 2, 872), + Trans(0, 83, 2, 872), + Trans(0, 88, 2, 872), + Trans(0, 91, 2, 872), ], k: 1, }, @@ -3622,15 +3632,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 866), - Trans(0, 38, 1, 866), - Trans(0, 42, 2, 867), - Trans(0, 57, 1, 866), - Trans(0, 69, 1, 866), - Trans(0, 75, 1, 866), - Trans(0, 82, 1, 866), - Trans(0, 87, 1, 866), - Trans(0, 90, 1, 866), + Trans(0, 35, 1, 870), + Trans(0, 38, 1, 870), + Trans(0, 42, 2, 871), + Trans(0, 57, 1, 870), + Trans(0, 69, 1, 870), + Trans(0, 70, 1, 870), + Trans(0, 76, 1, 870), + Trans(0, 83, 1, 870), + Trans(0, 88, 1, 870), + Trans(0, 91, 1, 870), ], k: 1, }, @@ -3638,14 +3649,15 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 869), - Trans(0, 38, 2, 870), - Trans(0, 57, 2, 870), - Trans(0, 69, 2, 870), - Trans(0, 75, 2, 870), - Trans(0, 82, 2, 870), - Trans(0, 87, 2, 870), - Trans(0, 90, 2, 870), + Trans(0, 35, 1, 873), + Trans(0, 38, 2, 874), + Trans(0, 57, 2, 874), + Trans(0, 69, 2, 874), + Trans(0, 70, 2, 874), + Trans(0, 76, 2, 874), + Trans(0, 83, 2, 874), + Trans(0, 88, 2, 874), + Trans(0, 91, 2, 874), ], k: 1, }, @@ -3655,54 +3667,60 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ transitions: &[ Trans(0, 57, 22, -1), Trans(0, 69, 18, -1), - Trans(0, 75, 8, -1), - Trans(0, 82, 4, -1), - Trans(0, 87, 13, -1), - Trans(0, 90, 1, -1), + Trans(0, 70, 26, -1), + Trans(0, 76, 8, -1), + Trans(0, 83, 4, -1), + Trans(0, 88, 13, -1), + Trans(0, 91, 1, -1), Trans(1, 5, 6, -1), - Trans(1, 75, 9, -1), - Trans(1, 82, 2, -1), - Trans(1, 87, 14, -1), - Trans(2, 5, 3, 871), - Trans(2, 108, 3, 871), + Trans(1, 76, 9, -1), + Trans(1, 83, 2, -1), + Trans(1, 88, 14, -1), + Trans(2, 5, 3, 875), + Trans(2, 109, 3, 875), Trans(4, 5, 7, -1), - Trans(4, 108, 5, -1), - Trans(5, 5, 3, 871), - Trans(5, 35, 3, 871), - Trans(5, 38, 3, 871), - Trans(5, 40, 3, 871), - Trans(6, 75, 10, 872), - Trans(6, 82, 3, 871), - Trans(6, 87, 15, 873), - Trans(7, 108, 3, 871), + Trans(4, 109, 5, -1), + Trans(5, 5, 3, 875), + Trans(5, 35, 3, 875), + Trans(5, 38, 3, 875), + Trans(5, 40, 3, 875), + Trans(6, 76, 10, 876), + Trans(6, 83, 3, 875), + Trans(6, 88, 15, 877), + Trans(7, 109, 3, 875), Trans(8, 5, 11, -1), - Trans(8, 108, 12, -1), - Trans(9, 5, 10, 872), - Trans(9, 108, 10, 872), - Trans(11, 108, 10, 872), - Trans(12, 5, 10, 872), - Trans(12, 35, 10, 872), - Trans(12, 38, 10, 872), + Trans(8, 109, 12, -1), + Trans(9, 5, 10, 876), + Trans(9, 109, 10, 876), + Trans(11, 109, 10, 876), + Trans(12, 5, 10, 876), + Trans(12, 35, 10, 876), + Trans(12, 38, 10, 876), Trans(13, 5, 16, -1), - Trans(13, 108, 17, -1), - Trans(14, 5, 15, 873), - Trans(14, 108, 15, 873), - Trans(16, 108, 15, 873), - Trans(17, 5, 15, 873), - Trans(17, 38, 15, 873), + Trans(13, 109, 17, -1), + Trans(14, 5, 15, 877), + Trans(14, 109, 15, 877), + Trans(16, 109, 15, 877), + Trans(17, 5, 15, 877), + Trans(17, 38, 15, 877), Trans(18, 5, 19, -1), - Trans(18, 107, 20, -1), Trans(18, 108, 20, -1), - Trans(19, 107, 21, 874), - Trans(19, 108, 21, 874), - Trans(20, 5, 21, 874), - Trans(20, 28, 21, 874), - Trans(20, 45, 21, 874), + Trans(18, 109, 20, -1), + Trans(19, 108, 21, 878), + Trans(19, 109, 21, 878), + Trans(20, 5, 21, 878), + Trans(20, 28, 21, 878), + Trans(20, 45, 21, 878), Trans(22, 5, 23, -1), Trans(22, 40, 24, -1), - Trans(23, 40, 25, 875), - Trans(24, 5, 25, 875), - Trans(24, 108, 25, 875), + Trans(23, 40, 25, 879), + Trans(24, 5, 25, 879), + Trans(24, 109, 25, 879), + Trans(26, 5, 27, -1), + Trans(26, 40, 28, -1), + Trans(27, 40, 29, 880), + Trans(28, 5, 29, 880), + Trans(28, 109, 29, 880), ], k: 3, }, @@ -3710,47 +3728,47 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 71, 3, 718), - Trans(0, 72, 1, 716), - Trans(0, 81, 5, 720), - Trans(0, 85, 2, 717), - Trans(0, 91, 4, 719), + Trans(0, 72, 3, 721), + Trans(0, 73, 1, 719), + Trans(0, 82, 5, 723), + Trans(0, 86, 2, 720), + Trans(0, 92, 4, 722), ], k: 1, }, /* 113 - "DollarIdentifier" */ LookaheadDFA { - prod0: 314, + prod0: 317, transitions: &[], k: 0, }, /* 114 - "DollarIdentifierTerm" */ LookaheadDFA { - prod0: 102, + prod0: 103, transitions: &[], k: 0, }, /* 115 - "DollarIdentifierToken" */ LookaheadDFA { - prod0: 210, + prod0: 212, transitions: &[], k: 0, }, /* 116 - "Dot" */ LookaheadDFA { - prod0: 237, + prod0: 239, transitions: &[], k: 0, }, /* 117 - "DotDot" */ LookaheadDFA { - prod0: 235, + prod0: 237, transitions: &[], k: 0, }, /* 118 - "DotDotEqu" */ LookaheadDFA { - prod0: 236, + prod0: 238, transitions: &[], k: 0, }, @@ -3762,7 +3780,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 120 - "DotDotEquToken" */ LookaheadDFA { - prod0: 132, + prod0: 133, transitions: &[], k: 0, }, @@ -3774,7 +3792,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 122 - "DotDotToken" */ LookaheadDFA { - prod0: 131, + prod0: 132, transitions: &[], k: 0, }, @@ -3786,13 +3804,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 124 - "DotToken" */ LookaheadDFA { - prod0: 133, + prod0: 134, transitions: &[], k: 0, }, /* 125 - "Else" */ LookaheadDFA { - prod0: 264, + prod0: 266, transitions: &[], k: 0, }, @@ -3804,25 +3822,25 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 127 - "ElseToken" */ LookaheadDFA { - prod0: 159, + prod0: 160, transitions: &[], k: 0, }, /* 128 - "Embed" */ LookaheadDFA { - prod0: 265, + prod0: 267, transitions: &[], k: 0, }, /* 129 - "EmbedContent" */ LookaheadDFA { - prod0: 856, + prod0: 859, transitions: &[], k: 0, }, /* 130 - "EmbedContentToken" */ LookaheadDFA { - prod0: 857, + prod0: 860, transitions: &[], k: 0, }, @@ -3830,31 +3848,31 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 38, 1, 858), - Trans(0, 42, 2, 859), - Trans(0, 109, 1, 858), + Trans(0, 38, 1, 861), + Trans(0, 42, 2, 862), + Trans(0, 110, 1, 861), ], k: 1, }, /* 132 - "EmbedDeclaration" */ LookaheadDFA { - prod0: 855, + prod0: 858, transitions: &[], k: 0, }, /* 133 - "EmbedItem" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 860), Trans(0, 109, 2, 863)], + transitions: &[Trans(0, 38, 1, 863), Trans(0, 110, 2, 866)], k: 1, }, /* 134 - "EmbedItemList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 38, 1, 861), - Trans(0, 42, 2, 862), - Trans(0, 109, 1, 861), + Trans(0, 38, 1, 864), + Trans(0, 42, 2, 865), + Trans(0, 110, 1, 864), ], k: 1, }, @@ -3866,47 +3884,47 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 136 - "EmbedToken" */ LookaheadDFA { - prod0: 160, + prod0: 161, transitions: &[], k: 0, }, /* 137 - "Enum" */ LookaheadDFA { - prod0: 266, + prod0: 268, transitions: &[], k: 0, }, /* 138 - "EnumDeclaration" */ LookaheadDFA { - prod0: 608, + prod0: 611, transitions: &[], k: 0, }, /* 139 - "EnumGroup" */ LookaheadDFA { - prod0: 614, + prod0: 617, transitions: &[], k: 0, }, /* 140 - "EnumGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 615), Trans(0, 108, 2, 616)], + transitions: &[Trans(0, 38, 1, 618), Trans(0, 109, 2, 619)], k: 1, }, /* 141 - "EnumGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 617), - Trans(0, 38, 2, 618), - Trans(0, 108, 2, 618), + Trans(0, 35, 1, 620), + Trans(0, 38, 2, 621), + Trans(0, 109, 2, 621), ], k: 1, }, /* 142 - "EnumItem" */ LookaheadDFA { - prod0: 619, + prod0: 622, transitions: &[], k: 0, }, @@ -3914,15 +3932,15 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 621), - Trans(0, 34, 1, 620), - Trans(0, 42, 2, 621), + Trans(0, 30, 2, 624), + Trans(0, 34, 1, 623), + Trans(0, 42, 2, 624), ], k: 1, }, /* 144 - "EnumList" */ LookaheadDFA { - prod0: 609, + prod0: 612, transitions: &[], k: 0, }, @@ -3936,21 +3954,21 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 35, 2, -1), Trans(1, 38, 4, -1), Trans(1, 42, 20, -1), - Trans(1, 108, 5, -1), - Trans(2, 5, 3, 610), - Trans(2, 39, 3, 610), - Trans(4, 5, 3, 610), - Trans(4, 35, 3, 610), - Trans(4, 38, 3, 610), - Trans(4, 108, 3, 610), - Trans(5, 5, 3, 610), - Trans(5, 30, 3, 610), - Trans(5, 34, 3, 610), - Trans(5, 42, 3, 610), - Trans(6, 35, 3, 610), - Trans(6, 38, 3, 610), - Trans(6, 42, 19, 611), - Trans(6, 108, 3, 610), + Trans(1, 109, 5, -1), + Trans(2, 5, 3, 613), + Trans(2, 39, 3, 613), + Trans(4, 5, 3, 613), + Trans(4, 35, 3, 613), + Trans(4, 38, 3, 613), + Trans(4, 109, 3, 613), + Trans(5, 5, 3, 613), + Trans(5, 30, 3, 613), + Trans(5, 34, 3, 613), + Trans(5, 42, 3, 613), + Trans(6, 35, 3, 613), + Trans(6, 38, 3, 613), + Trans(6, 42, 19, 614), + Trans(6, 109, 3, 613), Trans(7, 5, 8, -1), Trans(7, 29, 9, -1), Trans(7, 30, 10, -1), @@ -3967,170 +3985,171 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(7, 64, 9, -1), Trans(7, 68, 17, -1), Trans(7, 69, 18, -1), - Trans(7, 70, 14, -1), - Trans(7, 74, 9, -1), - Trans(7, 77, 9, -1), + Trans(7, 71, 14, -1), + Trans(7, 75, 9, -1), Trans(7, 78, 9, -1), - Trans(7, 81, 9, -1), - Trans(7, 98, 9, -1), - Trans(7, 102, 9, -1), - Trans(7, 105, 9, -1), + Trans(7, 79, 9, -1), + Trans(7, 82, 9, -1), + Trans(7, 99, 9, -1), + Trans(7, 103, 9, -1), Trans(7, 106, 9, -1), - Trans(8, 29, 19, 611), - Trans(8, 30, 19, 611), - Trans(8, 35, 19, 611), - Trans(8, 38, 19, 611), - Trans(8, 42, 19, 611), - Trans(8, 47, 19, 611), - Trans(8, 48, 19, 611), - Trans(8, 49, 19, 611), - Trans(8, 58, 19, 611), - Trans(8, 59, 19, 611), - Trans(8, 62, 19, 611), - Trans(8, 63, 19, 611), - Trans(8, 64, 19, 611), - Trans(8, 68, 19, 611), - Trans(8, 69, 19, 611), - Trans(8, 70, 19, 611), - Trans(8, 74, 19, 611), - Trans(8, 77, 19, 611), - Trans(8, 78, 19, 611), - Trans(8, 81, 19, 611), - Trans(8, 98, 19, 611), - Trans(8, 102, 19, 611), - Trans(8, 105, 19, 611), - Trans(8, 106, 19, 611), - Trans(9, 5, 19, 611), - Trans(9, 108, 19, 611), - Trans(10, 5, 19, 611), - Trans(10, 35, 19, 611), - Trans(10, 38, 19, 611), - Trans(10, 42, 19, 611), - Trans(10, 108, 19, 611), - Trans(11, 5, 19, 611), - Trans(11, 39, 19, 611), - Trans(12, 5, 19, 611), - Trans(12, 29, 19, 611), - Trans(12, 35, 19, 611), - Trans(12, 38, 19, 611), - Trans(12, 42, 19, 611), - Trans(12, 47, 19, 611), - Trans(12, 48, 19, 611), - Trans(12, 49, 19, 611), - Trans(12, 58, 19, 611), - Trans(12, 59, 19, 611), - Trans(12, 62, 19, 611), - Trans(12, 63, 19, 611), - Trans(12, 64, 19, 611), - Trans(12, 68, 19, 611), - Trans(12, 69, 19, 611), - Trans(12, 70, 19, 611), - Trans(12, 74, 19, 611), - Trans(12, 77, 19, 611), - Trans(12, 78, 19, 611), - Trans(12, 81, 19, 611), - Trans(12, 98, 19, 611), - Trans(12, 102, 19, 611), - Trans(12, 105, 19, 611), - Trans(12, 106, 19, 611), - Trans(13, 0, 19, 611), - Trans(13, 5, 19, 611), - Trans(13, 29, 19, 611), - Trans(13, 30, 19, 611), - Trans(13, 35, 19, 611), - Trans(13, 38, 19, 611), - Trans(13, 42, 19, 611), - Trans(13, 47, 19, 611), - Trans(13, 48, 19, 611), - Trans(13, 49, 19, 611), - Trans(13, 56, 19, 611), - Trans(13, 57, 19, 611), - Trans(13, 58, 19, 611), - Trans(13, 59, 19, 611), - Trans(13, 62, 19, 611), - Trans(13, 63, 19, 611), - Trans(13, 64, 19, 611), - Trans(13, 68, 19, 611), - Trans(13, 69, 19, 611), - Trans(13, 70, 19, 611), - Trans(13, 74, 19, 611), - Trans(13, 75, 19, 611), - Trans(13, 77, 19, 611), - Trans(13, 78, 19, 611), - Trans(13, 81, 19, 611), - Trans(13, 82, 19, 611), - Trans(13, 87, 19, 611), - Trans(13, 90, 19, 611), - Trans(13, 98, 19, 611), - Trans(13, 102, 19, 611), - Trans(13, 105, 19, 611), - Trans(13, 106, 19, 611), - Trans(14, 5, 19, 611), - Trans(14, 38, 19, 611), - Trans(15, 5, 19, 611), - Trans(15, 40, 19, 611), - Trans(16, 5, 19, 611), - Trans(16, 46, 19, 611), - Trans(16, 107, 19, 611), - Trans(16, 108, 19, 611), - Trans(17, 5, 19, 611), - Trans(17, 6, 19, 611), - Trans(17, 7, 19, 611), - Trans(17, 8, 19, 611), - Trans(17, 9, 19, 611), - Trans(17, 10, 19, 611), - Trans(17, 11, 19, 611), - Trans(17, 18, 19, 611), - Trans(17, 24, 19, 611), - Trans(17, 25, 19, 611), - Trans(17, 26, 19, 611), - Trans(17, 27, 19, 611), - Trans(17, 37, 19, 611), - Trans(17, 38, 19, 611), - Trans(17, 40, 19, 611), - Trans(17, 54, 19, 611), - Trans(17, 68, 19, 611), - Trans(17, 73, 19, 611), - Trans(17, 80, 19, 611), - Trans(17, 83, 19, 611), - Trans(17, 86, 19, 611), - Trans(17, 107, 19, 611), - Trans(17, 108, 19, 611), - Trans(18, 5, 19, 611), - Trans(18, 107, 19, 611), - Trans(18, 108, 19, 611), - Trans(20, 5, 19, 611), - Trans(20, 29, 19, 611), - Trans(20, 30, 19, 611), - Trans(20, 35, 19, 611), - Trans(20, 38, 19, 611), - Trans(20, 42, 19, 611), - Trans(20, 47, 19, 611), - Trans(20, 48, 19, 611), - Trans(20, 49, 19, 611), - Trans(20, 58, 19, 611), - Trans(20, 59, 19, 611), - Trans(20, 62, 19, 611), - Trans(20, 63, 19, 611), - Trans(20, 64, 19, 611), - Trans(20, 68, 19, 611), - Trans(20, 69, 19, 611), - Trans(20, 70, 19, 611), - Trans(20, 74, 19, 611), - Trans(20, 77, 19, 611), - Trans(20, 78, 19, 611), - Trans(20, 81, 19, 611), - Trans(20, 98, 19, 611), - Trans(20, 102, 19, 611), - Trans(20, 105, 19, 611), - Trans(20, 106, 19, 611), + Trans(7, 107, 9, -1), + Trans(8, 29, 19, 614), + Trans(8, 30, 19, 614), + Trans(8, 35, 19, 614), + Trans(8, 38, 19, 614), + Trans(8, 42, 19, 614), + Trans(8, 47, 19, 614), + Trans(8, 48, 19, 614), + Trans(8, 49, 19, 614), + Trans(8, 58, 19, 614), + Trans(8, 59, 19, 614), + Trans(8, 62, 19, 614), + Trans(8, 63, 19, 614), + Trans(8, 64, 19, 614), + Trans(8, 68, 19, 614), + Trans(8, 69, 19, 614), + Trans(8, 71, 19, 614), + Trans(8, 75, 19, 614), + Trans(8, 78, 19, 614), + Trans(8, 79, 19, 614), + Trans(8, 82, 19, 614), + Trans(8, 99, 19, 614), + Trans(8, 103, 19, 614), + Trans(8, 106, 19, 614), + Trans(8, 107, 19, 614), + Trans(9, 5, 19, 614), + Trans(9, 109, 19, 614), + Trans(10, 5, 19, 614), + Trans(10, 35, 19, 614), + Trans(10, 38, 19, 614), + Trans(10, 42, 19, 614), + Trans(10, 109, 19, 614), + Trans(11, 5, 19, 614), + Trans(11, 39, 19, 614), + Trans(12, 5, 19, 614), + Trans(12, 29, 19, 614), + Trans(12, 35, 19, 614), + Trans(12, 38, 19, 614), + Trans(12, 42, 19, 614), + Trans(12, 47, 19, 614), + Trans(12, 48, 19, 614), + Trans(12, 49, 19, 614), + Trans(12, 58, 19, 614), + Trans(12, 59, 19, 614), + Trans(12, 62, 19, 614), + Trans(12, 63, 19, 614), + Trans(12, 64, 19, 614), + Trans(12, 68, 19, 614), + Trans(12, 69, 19, 614), + Trans(12, 71, 19, 614), + Trans(12, 75, 19, 614), + Trans(12, 78, 19, 614), + Trans(12, 79, 19, 614), + Trans(12, 82, 19, 614), + Trans(12, 99, 19, 614), + Trans(12, 103, 19, 614), + Trans(12, 106, 19, 614), + Trans(12, 107, 19, 614), + Trans(13, 0, 19, 614), + Trans(13, 5, 19, 614), + Trans(13, 29, 19, 614), + Trans(13, 30, 19, 614), + Trans(13, 35, 19, 614), + Trans(13, 38, 19, 614), + Trans(13, 42, 19, 614), + Trans(13, 47, 19, 614), + Trans(13, 48, 19, 614), + Trans(13, 49, 19, 614), + Trans(13, 56, 19, 614), + Trans(13, 57, 19, 614), + Trans(13, 58, 19, 614), + Trans(13, 59, 19, 614), + Trans(13, 62, 19, 614), + Trans(13, 63, 19, 614), + Trans(13, 64, 19, 614), + Trans(13, 68, 19, 614), + Trans(13, 69, 19, 614), + Trans(13, 70, 19, 614), + Trans(13, 71, 19, 614), + Trans(13, 75, 19, 614), + Trans(13, 76, 19, 614), + Trans(13, 78, 19, 614), + Trans(13, 79, 19, 614), + Trans(13, 82, 19, 614), + Trans(13, 83, 19, 614), + Trans(13, 88, 19, 614), + Trans(13, 91, 19, 614), + Trans(13, 99, 19, 614), + Trans(13, 103, 19, 614), + Trans(13, 106, 19, 614), + Trans(13, 107, 19, 614), + Trans(14, 5, 19, 614), + Trans(14, 38, 19, 614), + Trans(15, 5, 19, 614), + Trans(15, 40, 19, 614), + Trans(16, 5, 19, 614), + Trans(16, 46, 19, 614), + Trans(16, 108, 19, 614), + Trans(16, 109, 19, 614), + Trans(17, 5, 19, 614), + Trans(17, 6, 19, 614), + Trans(17, 7, 19, 614), + Trans(17, 8, 19, 614), + Trans(17, 9, 19, 614), + Trans(17, 10, 19, 614), + Trans(17, 11, 19, 614), + Trans(17, 18, 19, 614), + Trans(17, 24, 19, 614), + Trans(17, 25, 19, 614), + Trans(17, 26, 19, 614), + Trans(17, 27, 19, 614), + Trans(17, 37, 19, 614), + Trans(17, 38, 19, 614), + Trans(17, 40, 19, 614), + Trans(17, 54, 19, 614), + Trans(17, 68, 19, 614), + Trans(17, 74, 19, 614), + Trans(17, 81, 19, 614), + Trans(17, 84, 19, 614), + Trans(17, 87, 19, 614), + Trans(17, 108, 19, 614), + Trans(17, 109, 19, 614), + Trans(18, 5, 19, 614), + Trans(18, 108, 19, 614), + Trans(18, 109, 19, 614), + Trans(20, 5, 19, 614), + Trans(20, 29, 19, 614), + Trans(20, 30, 19, 614), + Trans(20, 35, 19, 614), + Trans(20, 38, 19, 614), + Trans(20, 42, 19, 614), + Trans(20, 47, 19, 614), + Trans(20, 48, 19, 614), + Trans(20, 49, 19, 614), + Trans(20, 58, 19, 614), + Trans(20, 59, 19, 614), + Trans(20, 62, 19, 614), + Trans(20, 63, 19, 614), + Trans(20, 64, 19, 614), + Trans(20, 68, 19, 614), + Trans(20, 69, 19, 614), + Trans(20, 71, 19, 614), + Trans(20, 75, 19, 614), + Trans(20, 78, 19, 614), + Trans(20, 79, 19, 614), + Trans(20, 82, 19, 614), + Trans(20, 99, 19, 614), + Trans(20, 103, 19, 614), + Trans(20, 106, 19, 614), + Trans(20, 107, 19, 614), ], k: 3, }, /* 146 - "EnumListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 612), Trans(0, 42, 2, 613)], + transitions: &[Trans(0, 30, 1, 615), Trans(0, 42, 2, 616)], k: 1, }, /* 147 - "EnumTerm" */ @@ -4141,13 +4160,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 148 - "EnumToken" */ LookaheadDFA { - prod0: 161, + prod0: 162, transitions: &[], k: 0, }, /* 149 - "Equ" */ LookaheadDFA { - prod0: 238, + prod0: 240, transitions: &[], k: 0, }, @@ -4159,13 +4178,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 151 - "EquToken" */ LookaheadDFA { - prod0: 134, + prod0: 135, transitions: &[], k: 0, }, /* 152 - "Exponent" */ LookaheadDFA { - prod0: 214, + prod0: 216, transitions: &[], k: 0, }, @@ -4177,19 +4196,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 154 - "ExponentToken" */ LookaheadDFA { - prod0: 110, + prod0: 111, transitions: &[], k: 0, }, /* 155 - "Export" */ LookaheadDFA { - prod0: 267, + prod0: 269, transitions: &[], k: 0, }, /* 156 - "ExportDeclaration" */ LookaheadDFA { - prod0: 735, + prod0: 738, transitions: &[], k: 0, }, @@ -4197,16 +4216,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 46, 1, 736), - Trans(0, 107, 2, 737), - Trans(0, 108, 2, 737), + Trans(0, 46, 1, 739), + Trans(0, 108, 2, 740), + Trans(0, 109, 2, 740), ], k: 1, }, /* 158 - "ExportDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 28, 1, 738), Trans(0, 45, 2, 739)], + transitions: &[Trans(0, 28, 1, 741), Trans(0, 45, 2, 742)], k: 1, }, /* 159 - "ExportTerm" */ @@ -4217,60 +4236,30 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 160 - "ExportToken" */ LookaheadDFA { - prod0: 162, + prod0: 163, transitions: &[], k: 0, }, /* 161 - "Expression" */ - LookaheadDFA { - prod0: 352, - transitions: &[], - k: 0, - }, - /* 162 - "Expression01" */ LookaheadDFA { prod0: 355, transitions: &[], k: 0, }, - /* 163 - "Expression01List" */ - LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 12, 2, 357), - Trans(0, 14, 2, 357), - Trans(0, 22, 1, 356), - Trans(0, 23, 2, 357), - Trans(0, 29, 2, 357), - Trans(0, 30, 2, 357), - Trans(0, 31, 2, 357), - Trans(0, 32, 2, 357), - Trans(0, 38, 2, 357), - Trans(0, 41, 2, 357), - Trans(0, 42, 2, 357), - Trans(0, 43, 2, 357), - Trans(0, 44, 2, 357), - Trans(0, 45, 2, 357), - Trans(0, 92, 2, 357), - Trans(0, 96, 2, 357), - ], - k: 1, - }, - /* 164 - "Expression02" */ + /* 162 - "Expression01" */ LookaheadDFA { prod0: 358, transitions: &[], k: 0, }, - /* 165 - "Expression02List" */ + /* 163 - "Expression01List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 360), Trans(0, 14, 2, 360), - Trans(0, 22, 2, 360), + Trans(0, 22, 1, 359), Trans(0, 23, 2, 360), - Trans(0, 26, 1, 359), Trans(0, 29, 2, 360), Trans(0, 30, 2, 360), Trans(0, 31, 2, 360), @@ -4281,18 +4270,18 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 43, 2, 360), Trans(0, 44, 2, 360), Trans(0, 45, 2, 360), - Trans(0, 92, 2, 360), - Trans(0, 96, 2, 360), + Trans(0, 93, 2, 360), + Trans(0, 97, 2, 360), ], k: 1, }, - /* 166 - "Expression03" */ + /* 164 - "Expression02" */ LookaheadDFA { prod0: 361, transitions: &[], k: 0, }, - /* 167 - "Expression03List" */ + /* 165 - "Expression02List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4300,8 +4289,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 14, 2, 363), Trans(0, 22, 2, 363), Trans(0, 23, 2, 363), - Trans(0, 25, 1, 362), - Trans(0, 26, 2, 363), + Trans(0, 26, 1, 362), Trans(0, 29, 2, 363), Trans(0, 30, 2, 363), Trans(0, 31, 2, 363), @@ -4312,18 +4300,18 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 43, 2, 363), Trans(0, 44, 2, 363), Trans(0, 45, 2, 363), - Trans(0, 92, 2, 363), - Trans(0, 96, 2, 363), + Trans(0, 93, 2, 363), + Trans(0, 97, 2, 363), ], k: 1, }, - /* 168 - "Expression04" */ + /* 166 - "Expression03" */ LookaheadDFA { prod0: 364, transitions: &[], k: 0, }, - /* 169 - "Expression04List" */ + /* 167 - "Expression03List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4331,8 +4319,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 14, 2, 366), Trans(0, 22, 2, 366), Trans(0, 23, 2, 366), - Trans(0, 24, 1, 365), - Trans(0, 25, 2, 366), + Trans(0, 25, 1, 365), Trans(0, 26, 2, 366), Trans(0, 29, 2, 366), Trans(0, 30, 2, 366), @@ -4344,27 +4331,26 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 43, 2, 366), Trans(0, 44, 2, 366), Trans(0, 45, 2, 366), - Trans(0, 92, 2, 366), - Trans(0, 96, 2, 366), + Trans(0, 93, 2, 366), + Trans(0, 97, 2, 366), ], k: 1, }, - /* 170 - "Expression05" */ + /* 168 - "Expression04" */ LookaheadDFA { prod0: 367, transitions: &[], k: 0, }, - /* 171 - "Expression05List" */ + /* 169 - "Expression04List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 369), Trans(0, 14, 2, 369), - Trans(0, 21, 1, 368), Trans(0, 22, 2, 369), Trans(0, 23, 2, 369), - Trans(0, 24, 2, 369), + Trans(0, 24, 1, 368), Trans(0, 25, 2, 369), Trans(0, 26, 2, 369), Trans(0, 29, 2, 369), @@ -4377,25 +4363,24 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 43, 2, 369), Trans(0, 44, 2, 369), Trans(0, 45, 2, 369), - Trans(0, 92, 2, 369), - Trans(0, 96, 2, 369), + Trans(0, 93, 2, 369), + Trans(0, 97, 2, 369), ], k: 1, }, - /* 172 - "Expression06" */ + /* 170 - "Expression05" */ LookaheadDFA { prod0: 370, transitions: &[], k: 0, }, - /* 173 - "Expression06List" */ + /* 171 - "Expression05List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 372), Trans(0, 14, 2, 372), - Trans(0, 20, 1, 371), - Trans(0, 21, 2, 372), + Trans(0, 21, 1, 371), Trans(0, 22, 2, 372), Trans(0, 23, 2, 372), Trans(0, 24, 2, 372), @@ -4411,25 +4396,24 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 43, 2, 372), Trans(0, 44, 2, 372), Trans(0, 45, 2, 372), - Trans(0, 92, 2, 372), - Trans(0, 96, 2, 372), + Trans(0, 93, 2, 372), + Trans(0, 97, 2, 372), ], k: 1, }, - /* 174 - "Expression07" */ + /* 172 - "Expression06" */ LookaheadDFA { prod0: 373, transitions: &[], k: 0, }, - /* 175 - "Expression07List" */ + /* 173 - "Expression06List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 375), Trans(0, 14, 2, 375), - Trans(0, 19, 1, 374), - Trans(0, 20, 2, 375), + Trans(0, 20, 1, 374), Trans(0, 21, 2, 375), Trans(0, 22, 2, 375), Trans(0, 23, 2, 375), @@ -4446,25 +4430,24 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 43, 2, 375), Trans(0, 44, 2, 375), Trans(0, 45, 2, 375), - Trans(0, 92, 2, 375), - Trans(0, 96, 2, 375), + Trans(0, 93, 2, 375), + Trans(0, 97, 2, 375), ], k: 1, }, - /* 176 - "Expression08" */ + /* 174 - "Expression07" */ LookaheadDFA { prod0: 376, transitions: &[], k: 0, }, - /* 177 - "Expression08List" */ + /* 175 - "Expression07List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 378), Trans(0, 14, 2, 378), - Trans(0, 18, 1, 377), - Trans(0, 19, 2, 378), + Trans(0, 19, 1, 377), Trans(0, 20, 2, 378), Trans(0, 21, 2, 378), Trans(0, 22, 2, 378), @@ -4482,69 +4465,60 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 43, 2, 378), Trans(0, 44, 2, 378), Trans(0, 45, 2, 378), - Trans(0, 92, 2, 378), - Trans(0, 96, 2, 378), + Trans(0, 93, 2, 378), + Trans(0, 97, 2, 378), ], k: 1, }, - /* 178 - "Expression09" */ + /* 176 - "Expression08" */ LookaheadDFA { prod0: 379, transitions: &[], k: 0, }, - /* 179 - "Expression09List" */ + /* 177 - "Expression08List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 383), - Trans(0, 14, 2, 383), - Trans(0, 17, 1, 380), - Trans(0, 18, 2, 383), - Trans(0, 19, 2, 383), - Trans(0, 20, 2, 383), - Trans(0, 21, 2, 383), - Trans(0, 22, 2, 383), - Trans(0, 23, 2, 383), - Trans(0, 24, 2, 383), - Trans(0, 25, 2, 383), - Trans(0, 26, 2, 383), - Trans(0, 29, 2, 383), - Trans(0, 30, 2, 383), - Trans(0, 31, 2, 383), - Trans(0, 32, 2, 383), - Trans(0, 38, 2, 383), - Trans(0, 41, 2, 383), - Trans(0, 42, 2, 383), - Trans(0, 43, 2, 383), - Trans(0, 44, 2, 383), - Trans(0, 45, 2, 383), - Trans(0, 46, 1, 380), - Trans(0, 92, 2, 383), - Trans(0, 96, 2, 383), + Trans(0, 12, 2, 381), + Trans(0, 14, 2, 381), + Trans(0, 18, 1, 380), + Trans(0, 19, 2, 381), + Trans(0, 20, 2, 381), + Trans(0, 21, 2, 381), + Trans(0, 22, 2, 381), + Trans(0, 23, 2, 381), + Trans(0, 24, 2, 381), + Trans(0, 25, 2, 381), + Trans(0, 26, 2, 381), + Trans(0, 29, 2, 381), + Trans(0, 30, 2, 381), + Trans(0, 31, 2, 381), + Trans(0, 32, 2, 381), + Trans(0, 38, 2, 381), + Trans(0, 41, 2, 381), + Trans(0, 42, 2, 381), + Trans(0, 43, 2, 381), + Trans(0, 44, 2, 381), + Trans(0, 45, 2, 381), + Trans(0, 93, 2, 381), + Trans(0, 97, 2, 381), ], k: 1, }, - /* 180 - "Expression09ListGroup" */ - LookaheadDFA { - prod0: -1, - transitions: &[Trans(0, 17, 1, 381), Trans(0, 46, 2, 382)], - k: 1, - }, - /* 181 - "Expression10" */ + /* 178 - "Expression09" */ LookaheadDFA { - prod0: 384, + prod0: 382, transitions: &[], k: 0, }, - /* 182 - "Expression10List" */ + /* 179 - "Expression09List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 386), Trans(0, 14, 2, 386), - Trans(0, 16, 1, 385), - Trans(0, 17, 2, 386), + Trans(0, 17, 1, 383), Trans(0, 18, 2, 386), Trans(0, 19, 2, 386), Trans(0, 20, 2, 386), @@ -4564,25 +4538,31 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 43, 2, 386), Trans(0, 44, 2, 386), Trans(0, 45, 2, 386), - Trans(0, 46, 2, 386), - Trans(0, 92, 2, 386), - Trans(0, 96, 2, 386), + Trans(0, 46, 1, 383), + Trans(0, 93, 2, 386), + Trans(0, 97, 2, 386), ], k: 1, }, - /* 183 - "Expression11" */ + /* 180 - "Expression09ListGroup" */ + LookaheadDFA { + prod0: -1, + transitions: &[Trans(0, 17, 1, 384), Trans(0, 46, 2, 385)], + k: 1, + }, + /* 181 - "Expression10" */ LookaheadDFA { prod0: 387, transitions: &[], k: 0, }, - /* 184 - "Expression11List" */ + /* 182 - "Expression10List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 389), Trans(0, 14, 2, 389), - Trans(0, 16, 2, 389), + Trans(0, 16, 1, 388), Trans(0, 17, 2, 389), Trans(0, 18, 2, 389), Trans(0, 19, 2, 389), @@ -4604,44 +4584,83 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 44, 2, 389), Trans(0, 45, 2, 389), Trans(0, 46, 2, 389), - Trans(0, 52, 1, 388), - Trans(0, 92, 2, 389), - Trans(0, 96, 2, 389), + Trans(0, 93, 2, 389), + Trans(0, 97, 2, 389), ], k: 1, }, - /* 185 - "Expression12" */ + /* 183 - "Expression11" */ LookaheadDFA { prod0: 390, transitions: &[], k: 0, }, + /* 184 - "Expression11List" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 12, 2, 392), + Trans(0, 14, 2, 392), + Trans(0, 16, 2, 392), + Trans(0, 17, 2, 392), + Trans(0, 18, 2, 392), + Trans(0, 19, 2, 392), + Trans(0, 20, 2, 392), + Trans(0, 21, 2, 392), + Trans(0, 22, 2, 392), + Trans(0, 23, 2, 392), + Trans(0, 24, 2, 392), + Trans(0, 25, 2, 392), + Trans(0, 26, 2, 392), + Trans(0, 29, 2, 392), + Trans(0, 30, 2, 392), + Trans(0, 31, 2, 392), + Trans(0, 32, 2, 392), + Trans(0, 38, 2, 392), + Trans(0, 41, 2, 392), + Trans(0, 42, 2, 392), + Trans(0, 43, 2, 392), + Trans(0, 44, 2, 392), + Trans(0, 45, 2, 392), + Trans(0, 46, 2, 392), + Trans(0, 52, 1, 391), + Trans(0, 93, 2, 392), + Trans(0, 97, 2, 392), + ], + k: 1, + }, + /* 185 - "Expression12" */ + LookaheadDFA { + prod0: 393, + transitions: &[], + k: 0, + }, /* 186 - "Expression12List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 2, 397), - Trans(0, 7, 2, 397), - Trans(0, 8, 2, 397), - Trans(0, 9, 2, 397), - Trans(0, 10, 2, 397), - Trans(0, 11, 2, 397), - Trans(0, 18, 1, 391), - Trans(0, 24, 1, 391), - Trans(0, 25, 1, 391), - Trans(0, 26, 1, 391), - Trans(0, 27, 1, 391), - Trans(0, 37, 2, 397), - Trans(0, 38, 2, 397), - Trans(0, 40, 2, 397), - Trans(0, 54, 2, 397), - Trans(0, 68, 2, 397), - Trans(0, 73, 2, 397), - Trans(0, 80, 2, 397), - Trans(0, 83, 2, 397), - Trans(0, 86, 2, 397), - Trans(0, 107, 2, 397), - Trans(0, 108, 2, 397), + Trans(0, 6, 2, 400), + Trans(0, 7, 2, 400), + Trans(0, 8, 2, 400), + Trans(0, 9, 2, 400), + Trans(0, 10, 2, 400), + Trans(0, 11, 2, 400), + Trans(0, 18, 1, 394), + Trans(0, 24, 1, 394), + Trans(0, 25, 1, 394), + Trans(0, 26, 1, 394), + Trans(0, 27, 1, 394), + Trans(0, 37, 2, 400), + Trans(0, 38, 2, 400), + Trans(0, 40, 2, 400), + Trans(0, 54, 2, 400), + Trans(0, 68, 2, 400), + Trans(0, 74, 2, 400), + Trans(0, 81, 2, 400), + Trans(0, 84, 2, 400), + Trans(0, 87, 2, 400), + Trans(0, 108, 2, 400), + Trans(0, 109, 2, 400), ], k: 1, }, @@ -4649,69 +4668,69 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 18, 2, 393), - Trans(0, 24, 3, 394), - Trans(0, 25, 5, 396), - Trans(0, 26, 4, 395), - Trans(0, 27, 1, 392), + Trans(0, 18, 2, 396), + Trans(0, 24, 3, 397), + Trans(0, 25, 5, 399), + Trans(0, 26, 4, 398), + Trans(0, 27, 1, 395), ], k: 1, }, /* 188 - "ExpressionIdentifier" */ LookaheadDFA { - prod0: 335, + prod0: 338, transitions: &[], k: 0, }, /* 189 - "ExpressionIdentifierGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 107, 1, 338), Trans(0, 108, 2, 339)], + transitions: &[Trans(0, 108, 1, 341), Trans(0, 109, 2, 342)], k: 1, }, /* 190 - "ExpressionIdentifierGroup0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 337), - Trans(0, 14, 2, 337), - Trans(0, 15, 2, 337), - Trans(0, 16, 2, 337), - Trans(0, 17, 2, 337), - Trans(0, 18, 2, 337), - Trans(0, 19, 2, 337), - Trans(0, 20, 2, 337), - Trans(0, 21, 2, 337), - Trans(0, 22, 2, 337), - Trans(0, 23, 2, 337), - Trans(0, 24, 2, 337), - Trans(0, 25, 2, 337), - Trans(0, 26, 2, 337), - Trans(0, 28, 1, 336), - Trans(0, 29, 2, 337), - Trans(0, 30, 2, 337), - Trans(0, 31, 2, 337), - Trans(0, 32, 2, 337), - Trans(0, 33, 2, 337), - Trans(0, 34, 2, 337), - Trans(0, 38, 2, 337), - Trans(0, 39, 2, 337), - Trans(0, 40, 2, 337), - Trans(0, 41, 2, 337), - Trans(0, 42, 2, 337), - Trans(0, 43, 2, 337), - Trans(0, 44, 2, 337), - Trans(0, 45, 2, 337), - Trans(0, 46, 2, 337), - Trans(0, 52, 2, 337), - Trans(0, 92, 2, 337), - Trans(0, 96, 2, 337), + Trans(0, 12, 2, 340), + Trans(0, 14, 2, 340), + Trans(0, 15, 2, 340), + Trans(0, 16, 2, 340), + Trans(0, 17, 2, 340), + Trans(0, 18, 2, 340), + Trans(0, 19, 2, 340), + Trans(0, 20, 2, 340), + Trans(0, 21, 2, 340), + Trans(0, 22, 2, 340), + Trans(0, 23, 2, 340), + Trans(0, 24, 2, 340), + Trans(0, 25, 2, 340), + Trans(0, 26, 2, 340), + Trans(0, 28, 1, 339), + Trans(0, 29, 2, 340), + Trans(0, 30, 2, 340), + Trans(0, 31, 2, 340), + Trans(0, 32, 2, 340), + Trans(0, 33, 2, 340), + Trans(0, 34, 2, 340), + Trans(0, 38, 2, 340), + Trans(0, 39, 2, 340), + Trans(0, 40, 2, 340), + Trans(0, 41, 2, 340), + Trans(0, 42, 2, 340), + Trans(0, 43, 2, 340), + Trans(0, 44, 2, 340), + Trans(0, 45, 2, 340), + Trans(0, 46, 2, 340), + Trans(0, 52, 2, 340), + Trans(0, 93, 2, 340), + Trans(0, 97, 2, 340), ], k: 1, }, /* 191 - "ExpressionIdentifierMember" */ LookaheadDFA { - prod0: 345, + prod0: 348, transitions: &[], k: 0, }, @@ -4719,38 +4738,38 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 351), - Trans(0, 14, 2, 351), - Trans(0, 15, 2, 351), - Trans(0, 16, 2, 351), - Trans(0, 17, 2, 351), - Trans(0, 18, 2, 351), - Trans(0, 19, 2, 351), - Trans(0, 20, 2, 351), - Trans(0, 21, 2, 351), - Trans(0, 22, 2, 351), - Trans(0, 23, 2, 351), - Trans(0, 24, 2, 351), - Trans(0, 25, 2, 351), - Trans(0, 26, 2, 351), - Trans(0, 29, 2, 351), - Trans(0, 30, 2, 351), - Trans(0, 31, 2, 351), - Trans(0, 32, 2, 351), - Trans(0, 33, 2, 351), - Trans(0, 34, 2, 351), - Trans(0, 38, 2, 351), - Trans(0, 39, 1, 350), - Trans(0, 40, 2, 351), - Trans(0, 41, 2, 351), - Trans(0, 42, 2, 351), - Trans(0, 43, 2, 351), - Trans(0, 44, 2, 351), - Trans(0, 45, 2, 351), - Trans(0, 46, 2, 351), - Trans(0, 52, 2, 351), - Trans(0, 92, 2, 351), - Trans(0, 96, 2, 351), + Trans(0, 12, 2, 354), + Trans(0, 14, 2, 354), + Trans(0, 15, 2, 354), + Trans(0, 16, 2, 354), + Trans(0, 17, 2, 354), + Trans(0, 18, 2, 354), + Trans(0, 19, 2, 354), + Trans(0, 20, 2, 354), + Trans(0, 21, 2, 354), + Trans(0, 22, 2, 354), + Trans(0, 23, 2, 354), + Trans(0, 24, 2, 354), + Trans(0, 25, 2, 354), + Trans(0, 26, 2, 354), + Trans(0, 29, 2, 354), + Trans(0, 30, 2, 354), + Trans(0, 31, 2, 354), + Trans(0, 32, 2, 354), + Trans(0, 33, 2, 354), + Trans(0, 34, 2, 354), + Trans(0, 38, 2, 354), + Trans(0, 39, 1, 353), + Trans(0, 40, 2, 354), + Trans(0, 41, 2, 354), + Trans(0, 42, 2, 354), + Trans(0, 43, 2, 354), + Trans(0, 44, 2, 354), + Trans(0, 45, 2, 354), + Trans(0, 46, 2, 354), + Trans(0, 52, 2, 354), + Trans(0, 93, 2, 354), + Trans(0, 97, 2, 354), ], k: 1, }, @@ -4758,37 +4777,37 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 349), - Trans(0, 14, 2, 349), - Trans(0, 15, 2, 349), - Trans(0, 16, 2, 349), - Trans(0, 17, 2, 349), - Trans(0, 18, 2, 349), - Trans(0, 19, 2, 349), - Trans(0, 20, 2, 349), - Trans(0, 21, 2, 349), - Trans(0, 22, 2, 349), - Trans(0, 23, 2, 349), - Trans(0, 24, 2, 349), - Trans(0, 25, 2, 349), - Trans(0, 26, 2, 349), - Trans(0, 29, 2, 349), - Trans(0, 30, 2, 349), - Trans(0, 31, 2, 349), - Trans(0, 32, 2, 349), - Trans(0, 33, 1, 346), - Trans(0, 34, 2, 349), - Trans(0, 38, 2, 349), - Trans(0, 40, 2, 349), - Trans(0, 41, 2, 349), - Trans(0, 42, 2, 349), - Trans(0, 43, 2, 349), - Trans(0, 44, 2, 349), - Trans(0, 45, 2, 349), - Trans(0, 46, 2, 349), - Trans(0, 52, 2, 349), - Trans(0, 92, 2, 349), - Trans(0, 96, 2, 349), + Trans(0, 12, 2, 352), + Trans(0, 14, 2, 352), + Trans(0, 15, 2, 352), + Trans(0, 16, 2, 352), + Trans(0, 17, 2, 352), + Trans(0, 18, 2, 352), + Trans(0, 19, 2, 352), + Trans(0, 20, 2, 352), + Trans(0, 21, 2, 352), + Trans(0, 22, 2, 352), + Trans(0, 23, 2, 352), + Trans(0, 24, 2, 352), + Trans(0, 25, 2, 352), + Trans(0, 26, 2, 352), + Trans(0, 29, 2, 352), + Trans(0, 30, 2, 352), + Trans(0, 31, 2, 352), + Trans(0, 32, 2, 352), + Trans(0, 33, 1, 349), + Trans(0, 34, 2, 352), + Trans(0, 38, 2, 352), + Trans(0, 40, 2, 352), + Trans(0, 41, 2, 352), + Trans(0, 42, 2, 352), + Trans(0, 43, 2, 352), + Trans(0, 44, 2, 352), + Trans(0, 45, 2, 352), + Trans(0, 46, 2, 352), + Trans(0, 52, 2, 352), + Trans(0, 93, 2, 352), + Trans(0, 97, 2, 352), ], k: 1, }, @@ -4796,44 +4815,44 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 348), - Trans(0, 14, 2, 348), - Trans(0, 15, 2, 348), - Trans(0, 16, 2, 348), - Trans(0, 17, 2, 348), - Trans(0, 18, 2, 348), - Trans(0, 19, 2, 348), - Trans(0, 20, 2, 348), - Trans(0, 21, 2, 348), - Trans(0, 22, 2, 348), - Trans(0, 23, 2, 348), - Trans(0, 24, 2, 348), - Trans(0, 25, 2, 348), - Trans(0, 26, 2, 348), - Trans(0, 29, 2, 348), - Trans(0, 30, 2, 348), - Trans(0, 31, 2, 348), - Trans(0, 32, 2, 348), - Trans(0, 33, 2, 348), - Trans(0, 34, 2, 348), - Trans(0, 38, 2, 348), - Trans(0, 39, 1, 347), - Trans(0, 40, 2, 348), - Trans(0, 41, 2, 348), - Trans(0, 42, 2, 348), - Trans(0, 43, 2, 348), - Trans(0, 44, 2, 348), - Trans(0, 45, 2, 348), - Trans(0, 46, 2, 348), - Trans(0, 52, 2, 348), - Trans(0, 92, 2, 348), - Trans(0, 96, 2, 348), + Trans(0, 12, 2, 351), + Trans(0, 14, 2, 351), + Trans(0, 15, 2, 351), + Trans(0, 16, 2, 351), + Trans(0, 17, 2, 351), + Trans(0, 18, 2, 351), + Trans(0, 19, 2, 351), + Trans(0, 20, 2, 351), + Trans(0, 21, 2, 351), + Trans(0, 22, 2, 351), + Trans(0, 23, 2, 351), + Trans(0, 24, 2, 351), + Trans(0, 25, 2, 351), + Trans(0, 26, 2, 351), + Trans(0, 29, 2, 351), + Trans(0, 30, 2, 351), + Trans(0, 31, 2, 351), + Trans(0, 32, 2, 351), + Trans(0, 33, 2, 351), + Trans(0, 34, 2, 351), + Trans(0, 38, 2, 351), + Trans(0, 39, 1, 350), + Trans(0, 40, 2, 351), + Trans(0, 41, 2, 351), + Trans(0, 42, 2, 351), + Trans(0, 43, 2, 351), + Trans(0, 44, 2, 351), + Trans(0, 45, 2, 351), + Trans(0, 46, 2, 351), + Trans(0, 52, 2, 351), + Trans(0, 93, 2, 351), + Trans(0, 97, 2, 351), ], k: 1, }, /* 195 - "ExpressionIdentifierScoped" */ LookaheadDFA { - prod0: 340, + prod0: 343, transitions: &[], k: 0, }, @@ -4841,38 +4860,38 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 344), - Trans(0, 14, 2, 344), - Trans(0, 15, 2, 344), - Trans(0, 16, 2, 344), - Trans(0, 17, 2, 344), - Trans(0, 18, 2, 344), - Trans(0, 19, 2, 344), - Trans(0, 20, 2, 344), - Trans(0, 21, 2, 344), - Trans(0, 22, 2, 344), - Trans(0, 23, 2, 344), - Trans(0, 24, 2, 344), - Trans(0, 25, 2, 344), - Trans(0, 26, 2, 344), - Trans(0, 28, 1, 343), - Trans(0, 29, 2, 344), - Trans(0, 30, 2, 344), - Trans(0, 31, 2, 344), - Trans(0, 32, 2, 344), - Trans(0, 34, 2, 344), - Trans(0, 38, 2, 344), - Trans(0, 39, 2, 344), - Trans(0, 40, 2, 344), - Trans(0, 41, 2, 344), - Trans(0, 42, 2, 344), - Trans(0, 43, 2, 344), - Trans(0, 44, 2, 344), - Trans(0, 45, 2, 344), - Trans(0, 46, 2, 344), - Trans(0, 52, 2, 344), - Trans(0, 92, 2, 344), - Trans(0, 96, 2, 344), + Trans(0, 12, 2, 347), + Trans(0, 14, 2, 347), + Trans(0, 15, 2, 347), + Trans(0, 16, 2, 347), + Trans(0, 17, 2, 347), + Trans(0, 18, 2, 347), + Trans(0, 19, 2, 347), + Trans(0, 20, 2, 347), + Trans(0, 21, 2, 347), + Trans(0, 22, 2, 347), + Trans(0, 23, 2, 347), + Trans(0, 24, 2, 347), + Trans(0, 25, 2, 347), + Trans(0, 26, 2, 347), + Trans(0, 28, 1, 346), + Trans(0, 29, 2, 347), + Trans(0, 30, 2, 347), + Trans(0, 31, 2, 347), + Trans(0, 32, 2, 347), + Trans(0, 34, 2, 347), + Trans(0, 38, 2, 347), + Trans(0, 39, 2, 347), + Trans(0, 40, 2, 347), + Trans(0, 41, 2, 347), + Trans(0, 42, 2, 347), + Trans(0, 43, 2, 347), + Trans(0, 44, 2, 347), + Trans(0, 45, 2, 347), + Trans(0, 46, 2, 347), + Trans(0, 52, 2, 347), + Trans(0, 93, 2, 347), + Trans(0, 97, 2, 347), ], k: 1, }, @@ -4880,37 +4899,37 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 342), - Trans(0, 14, 2, 342), - Trans(0, 15, 2, 342), - Trans(0, 16, 2, 342), - Trans(0, 17, 2, 342), - Trans(0, 18, 2, 342), - Trans(0, 19, 2, 342), - Trans(0, 20, 2, 342), - Trans(0, 21, 2, 342), - Trans(0, 22, 2, 342), - Trans(0, 23, 2, 342), - Trans(0, 24, 2, 342), - Trans(0, 25, 2, 342), - Trans(0, 26, 2, 342), - Trans(0, 29, 2, 342), - Trans(0, 30, 2, 342), - Trans(0, 31, 2, 342), - Trans(0, 32, 2, 342), - Trans(0, 34, 2, 342), - Trans(0, 38, 2, 342), - Trans(0, 39, 1, 341), - Trans(0, 40, 2, 342), - Trans(0, 41, 2, 342), - Trans(0, 42, 2, 342), - Trans(0, 43, 2, 342), - Trans(0, 44, 2, 342), - Trans(0, 45, 2, 342), - Trans(0, 46, 2, 342), - Trans(0, 52, 2, 342), - Trans(0, 92, 2, 342), - Trans(0, 96, 2, 342), + Trans(0, 12, 2, 345), + Trans(0, 14, 2, 345), + Trans(0, 15, 2, 345), + Trans(0, 16, 2, 345), + Trans(0, 17, 2, 345), + Trans(0, 18, 2, 345), + Trans(0, 19, 2, 345), + Trans(0, 20, 2, 345), + Trans(0, 21, 2, 345), + Trans(0, 22, 2, 345), + Trans(0, 23, 2, 345), + Trans(0, 24, 2, 345), + Trans(0, 25, 2, 345), + Trans(0, 26, 2, 345), + Trans(0, 29, 2, 345), + Trans(0, 30, 2, 345), + Trans(0, 31, 2, 345), + Trans(0, 32, 2, 345), + Trans(0, 34, 2, 345), + Trans(0, 38, 2, 345), + Trans(0, 39, 1, 344), + Trans(0, 40, 2, 345), + Trans(0, 41, 2, 345), + Trans(0, 42, 2, 345), + Trans(0, 43, 2, 345), + Trans(0, 44, 2, 345), + Trans(0, 45, 2, 345), + Trans(0, 46, 2, 345), + Trans(0, 52, 2, 345), + Trans(0, 93, 2, 345), + Trans(0, 97, 2, 345), ], k: 1, }, @@ -4918,27 +4937,27 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 354), - Trans(0, 14, 2, 354), - Trans(0, 23, 1, 353), - Trans(0, 29, 2, 354), - Trans(0, 30, 2, 354), - Trans(0, 31, 2, 354), - Trans(0, 32, 2, 354), - Trans(0, 38, 2, 354), - Trans(0, 41, 2, 354), - Trans(0, 42, 2, 354), - Trans(0, 43, 2, 354), - Trans(0, 44, 2, 354), - Trans(0, 45, 2, 354), - Trans(0, 92, 2, 354), - Trans(0, 96, 2, 354), + Trans(0, 12, 2, 357), + Trans(0, 14, 2, 357), + Trans(0, 23, 1, 356), + Trans(0, 29, 2, 357), + Trans(0, 30, 2, 357), + Trans(0, 31, 2, 357), + Trans(0, 32, 2, 357), + Trans(0, 38, 2, 357), + Trans(0, 41, 2, 357), + Trans(0, 42, 2, 357), + Trans(0, 43, 2, 357), + Trans(0, 44, 2, 357), + Trans(0, 45, 2, 357), + Trans(0, 93, 2, 357), + Trans(0, 97, 2, 357), ], k: 1, }, /* 199 - "F32" */ LookaheadDFA { - prod0: 268, + prod0: 270, transitions: &[], k: 0, }, @@ -4950,13 +4969,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 201 - "F32Token" */ LookaheadDFA { - prod0: 163, + prod0: 164, transitions: &[], k: 0, }, /* 202 - "F64" */ LookaheadDFA { - prod0: 269, + prod0: 271, transitions: &[], k: 0, }, @@ -4968,7 +4987,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 204 - "F64Token" */ LookaheadDFA { - prod0: 164, + prod0: 165, transitions: &[], k: 0, }, @@ -4976,76 +4995,76 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 8, 405), - Trans(0, 7, 1, 398), - Trans(0, 8, 1, 398), - Trans(0, 9, 1, 398), - Trans(0, 10, 1, 398), - Trans(0, 11, 1, 398), - Trans(0, 37, 5, 402), - Trans(0, 38, 4, 401), - Trans(0, 40, 3, 400), - Trans(0, 54, 7, 404), - Trans(0, 68, 6, 403), - Trans(0, 73, 10, 409), - Trans(0, 80, 9, 406), - Trans(0, 83, 9, 406), - Trans(0, 86, 11, 410), - Trans(0, 107, 2, 399), - Trans(0, 108, 2, 399), + Trans(0, 6, 8, 408), + Trans(0, 7, 1, 401), + Trans(0, 8, 1, 401), + Trans(0, 9, 1, 401), + Trans(0, 10, 1, 401), + Trans(0, 11, 1, 401), + Trans(0, 37, 5, 405), + Trans(0, 38, 4, 404), + Trans(0, 40, 3, 403), + Trans(0, 54, 7, 407), + Trans(0, 68, 6, 406), + Trans(0, 74, 10, 412), + Trans(0, 81, 9, 409), + Trans(0, 84, 9, 409), + Trans(0, 87, 11, 413), + Trans(0, 108, 2, 402), + Trans(0, 109, 2, 402), ], k: 1, }, /* 206 - "FactorGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 80, 2, 408), Trans(0, 83, 1, 407)], + transitions: &[Trans(0, 81, 2, 411), Trans(0, 84, 1, 410)], k: 1, }, /* 207 - "FactorOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 412), - Trans(0, 14, 2, 412), - Trans(0, 16, 2, 412), - Trans(0, 17, 2, 412), - Trans(0, 18, 2, 412), - Trans(0, 19, 2, 412), - Trans(0, 20, 2, 412), - Trans(0, 21, 2, 412), - Trans(0, 22, 2, 412), - Trans(0, 23, 2, 412), - Trans(0, 24, 2, 412), - Trans(0, 25, 2, 412), - Trans(0, 26, 2, 412), - Trans(0, 29, 2, 412), - Trans(0, 30, 2, 412), - Trans(0, 31, 2, 412), - Trans(0, 32, 2, 412), - Trans(0, 38, 2, 412), - Trans(0, 40, 1, 411), - Trans(0, 41, 2, 412), - Trans(0, 42, 2, 412), - Trans(0, 43, 2, 412), - Trans(0, 44, 2, 412), - Trans(0, 45, 2, 412), - Trans(0, 46, 2, 412), - Trans(0, 52, 2, 412), - Trans(0, 92, 2, 412), - Trans(0, 96, 2, 412), + Trans(0, 12, 2, 415), + Trans(0, 14, 2, 415), + Trans(0, 16, 2, 415), + Trans(0, 17, 2, 415), + Trans(0, 18, 2, 415), + Trans(0, 19, 2, 415), + Trans(0, 20, 2, 415), + Trans(0, 21, 2, 415), + Trans(0, 22, 2, 415), + Trans(0, 23, 2, 415), + Trans(0, 24, 2, 415), + Trans(0, 25, 2, 415), + Trans(0, 26, 2, 415), + Trans(0, 29, 2, 415), + Trans(0, 30, 2, 415), + Trans(0, 31, 2, 415), + Trans(0, 32, 2, 415), + Trans(0, 38, 2, 415), + Trans(0, 40, 1, 414), + Trans(0, 41, 2, 415), + Trans(0, 42, 2, 415), + Trans(0, 43, 2, 415), + Trans(0, 44, 2, 415), + Trans(0, 45, 2, 415), + Trans(0, 46, 2, 415), + Trans(0, 52, 2, 415), + Trans(0, 93, 2, 415), + Trans(0, 97, 2, 415), ], k: 1, }, /* 208 - "Final" */ LookaheadDFA { - prod0: 270, + prod0: 272, transitions: &[], k: 0, }, /* 209 - "FinalDeclaration" */ LookaheadDFA { - prod0: 639, + prod0: 642, transitions: &[], k: 0, }, @@ -5053,16 +5072,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 641), - Trans(0, 54, 1, 640), - Trans(0, 63, 1, 640), - Trans(0, 67, 1, 640), - Trans(0, 68, 1, 640), - Trans(0, 77, 1, 640), - Trans(0, 93, 1, 640), - Trans(0, 94, 1, 640), - Trans(0, 107, 1, 640), - Trans(0, 108, 1, 640), + Trans(0, 42, 2, 644), + Trans(0, 54, 1, 643), + Trans(0, 63, 1, 643), + Trans(0, 67, 1, 643), + Trans(0, 68, 1, 643), + Trans(0, 78, 1, 643), + Trans(0, 94, 1, 643), + Trans(0, 95, 1, 643), + Trans(0, 108, 1, 643), + Trans(0, 109, 1, 643), ], k: 1, }, @@ -5074,13 +5093,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 212 - "FinalToken" */ LookaheadDFA { - prod0: 165, + prod0: 166, transitions: &[], k: 0, }, /* 213 - "FixedPoint" */ LookaheadDFA { - prod0: 215, + prod0: 217, transitions: &[], k: 0, }, @@ -5092,7 +5111,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 215 - "FixedPointToken" */ LookaheadDFA { - prod0: 111, + prod0: 112, transitions: &[], k: 0, }, @@ -5100,25 +5119,25 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 60, 5, 484), - Trans(0, 61, 6, 485), - Trans(0, 65, 3, 482), - Trans(0, 66, 4, 483), - Trans(0, 97, 7, 486), - Trans(0, 103, 1, 480), - Trans(0, 104, 2, 481), + Trans(0, 60, 5, 487), + Trans(0, 61, 6, 488), + Trans(0, 65, 3, 485), + Trans(0, 66, 4, 486), + Trans(0, 98, 7, 489), + Trans(0, 104, 1, 483), + Trans(0, 105, 2, 484), ], k: 1, }, /* 217 - "For" */ LookaheadDFA { - prod0: 271, + prod0: 273, transitions: &[], k: 0, }, /* 218 - "ForStatement" */ LookaheadDFA { - prod0: 542, + prod0: 545, transitions: &[], k: 0, }, @@ -5126,23 +5145,23 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 544), - Trans(0, 54, 1, 543), - Trans(0, 63, 1, 543), - Trans(0, 67, 1, 543), - Trans(0, 68, 1, 543), - Trans(0, 77, 1, 543), - Trans(0, 93, 1, 543), - Trans(0, 94, 1, 543), - Trans(0, 107, 1, 543), - Trans(0, 108, 1, 543), + Trans(0, 42, 2, 547), + Trans(0, 54, 1, 546), + Trans(0, 63, 1, 546), + Trans(0, 67, 1, 546), + Trans(0, 68, 1, 546), + Trans(0, 78, 1, 546), + Trans(0, 94, 1, 546), + Trans(0, 95, 1, 546), + Trans(0, 108, 1, 546), + Trans(0, 109, 1, 546), ], k: 1, }, /* 220 - "ForStatementOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 2, 546), Trans(0, 96, 1, 545)], + transitions: &[Trans(0, 38, 2, 549), Trans(0, 97, 1, 548)], k: 1, }, /* 221 - "ForTerm" */ @@ -5153,19 +5172,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 222 - "ForToken" */ LookaheadDFA { - prod0: 166, + prod0: 167, transitions: &[], k: 0, }, /* 223 - "Function" */ LookaheadDFA { - prod0: 272, + prod0: 274, transitions: &[], k: 0, }, /* 224 - "FunctionCall" */ LookaheadDFA { - prod0: 413, + prod0: 416, transitions: &[], k: 0, }, @@ -5173,35 +5192,35 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 414), - Trans(0, 7, 1, 414), - Trans(0, 8, 1, 414), - Trans(0, 9, 1, 414), - Trans(0, 10, 1, 414), - Trans(0, 11, 1, 414), - Trans(0, 18, 1, 414), - Trans(0, 24, 1, 414), - Trans(0, 25, 1, 414), - Trans(0, 26, 1, 414), - Trans(0, 27, 1, 414), - Trans(0, 37, 1, 414), - Trans(0, 38, 1, 414), - Trans(0, 40, 1, 414), - Trans(0, 44, 2, 415), - Trans(0, 54, 1, 414), - Trans(0, 68, 1, 414), - Trans(0, 73, 1, 414), - Trans(0, 80, 1, 414), - Trans(0, 83, 1, 414), - Trans(0, 86, 1, 414), - Trans(0, 107, 1, 414), - Trans(0, 108, 1, 414), + Trans(0, 6, 1, 417), + Trans(0, 7, 1, 417), + Trans(0, 8, 1, 417), + Trans(0, 9, 1, 417), + Trans(0, 10, 1, 417), + Trans(0, 11, 1, 417), + Trans(0, 18, 1, 417), + Trans(0, 24, 1, 417), + Trans(0, 25, 1, 417), + Trans(0, 26, 1, 417), + Trans(0, 27, 1, 417), + Trans(0, 37, 1, 417), + Trans(0, 38, 1, 417), + Trans(0, 40, 1, 417), + Trans(0, 44, 2, 418), + Trans(0, 54, 1, 417), + Trans(0, 68, 1, 417), + Trans(0, 74, 1, 417), + Trans(0, 81, 1, 417), + Trans(0, 84, 1, 417), + Trans(0, 87, 1, 417), + Trans(0, 108, 1, 417), + Trans(0, 109, 1, 417), ], k: 1, }, /* 226 - "FunctionDeclaration" */ LookaheadDFA { - prod0: 721, + prod0: 724, transitions: &[], k: 0, }, @@ -5209,17 +5228,17 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 723), - Trans(0, 54, 1, 722), - Trans(0, 63, 1, 722), - Trans(0, 67, 1, 722), - Trans(0, 68, 1, 722), - Trans(0, 77, 1, 722), - Trans(0, 93, 1, 722), - Trans(0, 94, 1, 722), - Trans(0, 106, 1, 722), - Trans(0, 107, 1, 722), - Trans(0, 108, 1, 722), + Trans(0, 42, 2, 726), + Trans(0, 54, 1, 725), + Trans(0, 63, 1, 725), + Trans(0, 67, 1, 725), + Trans(0, 68, 1, 725), + Trans(0, 78, 1, 725), + Trans(0, 94, 1, 725), + Trans(0, 95, 1, 725), + Trans(0, 107, 1, 725), + Trans(0, 108, 1, 725), + Trans(0, 109, 1, 725), ], k: 1, }, @@ -5227,10 +5246,10 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 13, 2, 729), - Trans(0, 35, 1, 728), - Trans(0, 38, 2, 729), - Trans(0, 40, 2, 729), + Trans(0, 13, 2, 732), + Trans(0, 35, 1, 731), + Trans(0, 38, 2, 732), + Trans(0, 40, 2, 732), ], k: 1, }, @@ -5238,32 +5257,32 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 13, 2, 727), - Trans(0, 38, 2, 727), - Trans(0, 40, 1, 726), + Trans(0, 13, 2, 730), + Trans(0, 38, 2, 730), + Trans(0, 40, 1, 729), ], k: 1, }, /* 230 - "FunctionDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 13, 1, 724), Trans(0, 38, 2, 725)], + transitions: &[Trans(0, 13, 1, 727), Trans(0, 38, 2, 728)], k: 1, }, /* 231 - "FunctionItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 54, 2, 731), - Trans(0, 63, 2, 731), - Trans(0, 67, 2, 731), - Trans(0, 68, 2, 731), - Trans(0, 77, 2, 731), - Trans(0, 93, 2, 731), - Trans(0, 94, 2, 731), - Trans(0, 106, 1, 730), - Trans(0, 107, 2, 731), - Trans(0, 108, 2, 731), + Trans(0, 54, 2, 734), + Trans(0, 63, 2, 734), + Trans(0, 67, 2, 734), + Trans(0, 68, 2, 734), + Trans(0, 78, 2, 734), + Trans(0, 94, 2, 734), + Trans(0, 95, 2, 734), + Trans(0, 107, 1, 733), + Trans(0, 108, 2, 734), + Trans(0, 109, 2, 734), ], k: 1, }, @@ -5275,13 +5294,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 233 - "FunctionToken" */ LookaheadDFA { - prod0: 167, + prod0: 168, transitions: &[], k: 0, }, /* 234 - "Hash" */ LookaheadDFA { - prod0: 239, + prod0: 241, transitions: &[], k: 0, }, @@ -5293,13 +5312,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 236 - "HashToken" */ LookaheadDFA { - prod0: 135, + prod0: 136, transitions: &[], k: 0, }, /* 237 - "HierarchicalIdentifier" */ LookaheadDFA { - prod0: 323, + prod0: 326, transitions: &[], k: 0, }, @@ -5307,11 +5326,11 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 329), - Trans(0, 33, 2, 329), - Trans(0, 34, 2, 329), - Trans(0, 39, 1, 328), - Trans(0, 44, 2, 329), + Trans(0, 30, 2, 332), + Trans(0, 33, 2, 332), + Trans(0, 34, 2, 332), + Trans(0, 39, 1, 331), + Trans(0, 44, 2, 332), ], k: 1, }, @@ -5319,10 +5338,10 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 327), - Trans(0, 33, 1, 324), - Trans(0, 34, 2, 327), - Trans(0, 44, 2, 327), + Trans(0, 30, 2, 330), + Trans(0, 33, 1, 327), + Trans(0, 34, 2, 330), + Trans(0, 44, 2, 330), ], k: 1, }, @@ -5330,17 +5349,17 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 326), - Trans(0, 33, 2, 326), - Trans(0, 34, 2, 326), - Trans(0, 39, 1, 325), - Trans(0, 44, 2, 326), + Trans(0, 30, 2, 329), + Trans(0, 33, 2, 329), + Trans(0, 34, 2, 329), + Trans(0, 39, 1, 328), + Trans(0, 44, 2, 329), ], k: 1, }, /* 241 - "I32" */ LookaheadDFA { - prod0: 273, + prod0: 275, transitions: &[], k: 0, }, @@ -5352,13 +5371,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 243 - "I32Token" */ LookaheadDFA { - prod0: 168, + prod0: 169, transitions: &[], k: 0, }, /* 244 - "I64" */ LookaheadDFA { - prod0: 274, + prod0: 276, transitions: &[], k: 0, }, @@ -5370,19 +5389,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 246 - "I64Token" */ LookaheadDFA { - prod0: 169, + prod0: 170, transitions: &[], k: 0, }, /* 247 - "Identifier" */ LookaheadDFA { - prod0: 315, + prod0: 318, transitions: &[], k: 0, }, /* 248 - "IdentifierStatement" */ LookaheadDFA { - prod0: 512, + prod0: 515, transitions: &[], k: 0, }, @@ -5390,33 +5409,33 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 15, 2, 514), - Trans(0, 34, 2, 514), - Trans(0, 40, 1, 513), + Trans(0, 15, 2, 517), + Trans(0, 34, 2, 517), + Trans(0, 40, 1, 516), ], k: 1, }, /* 250 - "IdentifierTerm" */ LookaheadDFA { - prod0: 103, + prod0: 104, transitions: &[], k: 0, }, /* 251 - "IdentifierToken" */ LookaheadDFA { - prod0: 211, + prod0: 213, transitions: &[], k: 0, }, /* 252 - "If" */ LookaheadDFA { - prod0: 275, + prod0: 277, transitions: &[], k: 0, }, /* 253 - "IfExpression" */ LookaheadDFA { - prod0: 440, + prod0: 443, transitions: &[], k: 0, }, @@ -5428,66 +5447,66 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 5, 4, -1), Trans(1, 38, 5, -1), Trans(1, 68, 2, -1), - Trans(2, 5, 3, 441), - Trans(2, 6, 3, 441), - Trans(2, 7, 3, 441), - Trans(2, 8, 3, 441), - Trans(2, 9, 3, 441), - Trans(2, 10, 3, 441), - Trans(2, 11, 3, 441), - Trans(2, 18, 3, 441), - Trans(2, 24, 3, 441), - Trans(2, 25, 3, 441), - Trans(2, 26, 3, 441), - Trans(2, 27, 3, 441), - Trans(2, 37, 3, 441), - Trans(2, 38, 3, 441), - Trans(2, 40, 3, 441), - Trans(2, 54, 3, 441), - Trans(2, 68, 3, 441), - Trans(2, 73, 3, 441), - Trans(2, 80, 3, 441), - Trans(2, 83, 3, 441), - Trans(2, 86, 3, 441), - Trans(2, 107, 3, 441), - Trans(2, 108, 3, 441), - Trans(4, 38, 6, 442), - Trans(4, 68, 3, 441), - Trans(5, 5, 6, 442), - Trans(5, 6, 6, 442), - Trans(5, 7, 6, 442), - Trans(5, 8, 6, 442), - Trans(5, 9, 6, 442), - Trans(5, 10, 6, 442), - Trans(5, 11, 6, 442), - Trans(5, 18, 6, 442), - Trans(5, 24, 6, 442), - Trans(5, 25, 6, 442), - Trans(5, 26, 6, 442), - Trans(5, 27, 6, 442), - Trans(5, 37, 6, 442), - Trans(5, 38, 6, 442), - Trans(5, 40, 6, 442), - Trans(5, 54, 6, 442), - Trans(5, 68, 6, 442), - Trans(5, 73, 6, 442), - Trans(5, 80, 6, 442), - Trans(5, 83, 6, 442), - Trans(5, 86, 6, 442), - Trans(5, 107, 6, 442), - Trans(5, 108, 6, 442), + Trans(2, 5, 3, 444), + Trans(2, 6, 3, 444), + Trans(2, 7, 3, 444), + Trans(2, 8, 3, 444), + Trans(2, 9, 3, 444), + Trans(2, 10, 3, 444), + Trans(2, 11, 3, 444), + Trans(2, 18, 3, 444), + Trans(2, 24, 3, 444), + Trans(2, 25, 3, 444), + Trans(2, 26, 3, 444), + Trans(2, 27, 3, 444), + Trans(2, 37, 3, 444), + Trans(2, 38, 3, 444), + Trans(2, 40, 3, 444), + Trans(2, 54, 3, 444), + Trans(2, 68, 3, 444), + Trans(2, 74, 3, 444), + Trans(2, 81, 3, 444), + Trans(2, 84, 3, 444), + Trans(2, 87, 3, 444), + Trans(2, 108, 3, 444), + Trans(2, 109, 3, 444), + Trans(4, 38, 6, 445), + Trans(4, 68, 3, 444), + Trans(5, 5, 6, 445), + Trans(5, 6, 6, 445), + Trans(5, 7, 6, 445), + Trans(5, 8, 6, 445), + Trans(5, 9, 6, 445), + Trans(5, 10, 6, 445), + Trans(5, 11, 6, 445), + Trans(5, 18, 6, 445), + Trans(5, 24, 6, 445), + Trans(5, 25, 6, 445), + Trans(5, 26, 6, 445), + Trans(5, 27, 6, 445), + Trans(5, 37, 6, 445), + Trans(5, 38, 6, 445), + Trans(5, 40, 6, 445), + Trans(5, 54, 6, 445), + Trans(5, 68, 6, 445), + Trans(5, 74, 6, 445), + Trans(5, 81, 6, 445), + Trans(5, 84, 6, 445), + Trans(5, 87, 6, 445), + Trans(5, 108, 6, 445), + Trans(5, 109, 6, 445), ], k: 3, }, /* 255 - "IfReset" */ LookaheadDFA { - prod0: 276, + prod0: 278, transitions: &[], k: 0, }, /* 256 - "IfResetStatement" */ LookaheadDFA { - prod0: 529, + prod0: 532, transitions: &[], k: 0, }, @@ -5495,16 +5514,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 535), - Trans(0, 54, 1, 534), - Trans(0, 63, 1, 534), - Trans(0, 67, 1, 534), - Trans(0, 68, 1, 534), - Trans(0, 77, 1, 534), - Trans(0, 93, 1, 534), - Trans(0, 94, 1, 534), - Trans(0, 107, 1, 534), - Trans(0, 108, 1, 534), + Trans(0, 42, 2, 538), + Trans(0, 54, 1, 537), + Trans(0, 63, 1, 537), + Trans(0, 67, 1, 537), + Trans(0, 68, 1, 537), + Trans(0, 78, 1, 537), + Trans(0, 94, 1, 537), + Trans(0, 95, 1, 537), + Trans(0, 108, 1, 537), + Trans(0, 109, 1, 537), ], k: 1, }, @@ -5533,44 +5552,44 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 63, 13, -1), Trans(0, 67, 14, -1), Trans(0, 68, 11, -1), - Trans(0, 73, 11, -1), - Trans(0, 77, 13, -1), - Trans(0, 80, 5, -1), - Trans(0, 83, 5, -1), - Trans(0, 86, 11, -1), - Trans(0, 93, 15, -1), - Trans(0, 94, 16, -1), - Trans(0, 106, 13, -1), - Trans(0, 107, 17, -1), + Trans(0, 74, 11, -1), + Trans(0, 78, 13, -1), + Trans(0, 81, 5, -1), + Trans(0, 84, 5, -1), + Trans(0, 87, 11, -1), + Trans(0, 94, 15, -1), + Trans(0, 95, 16, -1), + Trans(0, 107, 13, -1), Trans(0, 108, 17, -1), + Trans(0, 109, 17, -1), Trans(1, 5, 4, -1), Trans(1, 38, 51, -1), Trans(1, 68, 2, -1), - Trans(2, 5, 3, 530), - Trans(2, 6, 3, 530), - Trans(2, 7, 3, 530), - Trans(2, 8, 3, 530), - Trans(2, 9, 3, 530), - Trans(2, 10, 3, 530), - Trans(2, 11, 3, 530), - Trans(2, 18, 3, 530), - Trans(2, 24, 3, 530), - Trans(2, 25, 3, 530), - Trans(2, 26, 3, 530), - Trans(2, 27, 3, 530), - Trans(2, 37, 3, 530), - Trans(2, 38, 3, 530), - Trans(2, 40, 3, 530), - Trans(2, 54, 3, 530), - Trans(2, 68, 3, 530), - Trans(2, 73, 3, 530), - Trans(2, 80, 3, 530), - Trans(2, 83, 3, 530), - Trans(2, 86, 3, 530), - Trans(2, 107, 3, 530), - Trans(2, 108, 3, 530), - Trans(4, 38, 34, 533), - Trans(4, 68, 3, 530), + Trans(2, 5, 3, 533), + Trans(2, 6, 3, 533), + Trans(2, 7, 3, 533), + Trans(2, 8, 3, 533), + Trans(2, 9, 3, 533), + Trans(2, 10, 3, 533), + Trans(2, 11, 3, 533), + Trans(2, 18, 3, 533), + Trans(2, 24, 3, 533), + Trans(2, 25, 3, 533), + Trans(2, 26, 3, 533), + Trans(2, 27, 3, 533), + Trans(2, 37, 3, 533), + Trans(2, 38, 3, 533), + Trans(2, 40, 3, 533), + Trans(2, 54, 3, 533), + Trans(2, 68, 3, 533), + Trans(2, 74, 3, 533), + Trans(2, 81, 3, 533), + Trans(2, 84, 3, 533), + Trans(2, 87, 3, 533), + Trans(2, 108, 3, 533), + Trans(2, 109, 3, 533), + Trans(4, 38, 34, 536), + Trans(4, 68, 3, 533), Trans(5, 5, 49, -1), Trans(5, 16, 20, -1), Trans(5, 17, 20, -1), @@ -5604,12 +5623,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(6, 40, 20, -1), Trans(6, 54, 20, -1), Trans(6, 68, 20, -1), - Trans(6, 73, 20, -1), - Trans(6, 80, 19, -1), - Trans(6, 83, 19, -1), - Trans(6, 86, 20, -1), - Trans(6, 107, 36, -1), + Trans(6, 74, 20, -1), + Trans(6, 81, 19, -1), + Trans(6, 84, 19, -1), + Trans(6, 87, 20, -1), Trans(6, 108, 36, -1), + Trans(6, 109, 36, -1), Trans(7, 5, 37, -1), Trans(7, 6, 38, -1), Trans(7, 7, 38, -1), @@ -5628,12 +5647,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(7, 54, 20, -1), Trans(7, 55, 28, -1), Trans(7, 68, 20, -1), - Trans(7, 73, 20, -1), - Trans(7, 80, 38, -1), - Trans(7, 83, 38, -1), - Trans(7, 86, 20, -1), - Trans(7, 107, 39, -1), + Trans(7, 74, 20, -1), + Trans(7, 81, 38, -1), + Trans(7, 84, 38, -1), + Trans(7, 87, 20, -1), Trans(7, 108, 39, -1), + Trans(7, 109, 39, -1), Trans(8, 5, 35, -1), Trans(8, 6, 38, -1), Trans(8, 7, 38, -1), @@ -5651,12 +5670,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(8, 40, 20, -1), Trans(8, 54, 20, -1), Trans(8, 68, 20, -1), - Trans(8, 73, 20, -1), - Trans(8, 80, 38, -1), - Trans(8, 83, 38, -1), - Trans(8, 86, 20, -1), - Trans(8, 107, 39, -1), + Trans(8, 74, 20, -1), + Trans(8, 81, 38, -1), + Trans(8, 84, 38, -1), + Trans(8, 87, 20, -1), Trans(8, 108, 39, -1), + Trans(8, 109, 39, -1), Trans(9, 5, 35, -1), Trans(9, 6, 40, -1), Trans(9, 7, 40, -1), @@ -5674,12 +5693,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(9, 40, 20, -1), Trans(9, 54, 20, -1), Trans(9, 68, 20, -1), - Trans(9, 73, 20, -1), - Trans(9, 80, 40, -1), - Trans(9, 83, 40, -1), - Trans(9, 86, 20, -1), - Trans(9, 107, 41, -1), + Trans(9, 74, 20, -1), + Trans(9, 81, 40, -1), + Trans(9, 84, 40, -1), + Trans(9, 87, 20, -1), Trans(9, 108, 41, -1), + Trans(9, 109, 41, -1), Trans(10, 5, 18, -1), Trans(10, 6, 19, -1), Trans(10, 7, 19, -1), @@ -5712,23 +5731,23 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(10, 67, 26, -1), Trans(10, 68, 20, -1), Trans(10, 69, 31, -1), - Trans(10, 70, 26, -1), - Trans(10, 73, 20, -1), - Trans(10, 74, 21, -1), - Trans(10, 77, 21, -1), + Trans(10, 71, 26, -1), + Trans(10, 74, 20, -1), + Trans(10, 75, 21, -1), Trans(10, 78, 21, -1), - Trans(10, 80, 19, -1), - Trans(10, 81, 21, -1), - Trans(10, 83, 19, -1), - Trans(10, 86, 20, -1), - Trans(10, 93, 20, -1), - Trans(10, 94, 32, -1), - Trans(10, 98, 21, -1), - Trans(10, 102, 21, -1), - Trans(10, 105, 21, -1), + Trans(10, 79, 21, -1), + Trans(10, 81, 19, -1), + Trans(10, 82, 21, -1), + Trans(10, 84, 19, -1), + Trans(10, 87, 20, -1), + Trans(10, 94, 20, -1), + Trans(10, 95, 32, -1), + Trans(10, 99, 21, -1), + Trans(10, 103, 21, -1), Trans(10, 106, 21, -1), - Trans(10, 107, 33, -1), + Trans(10, 107, 21, -1), Trans(10, 108, 33, -1), + Trans(10, 109, 33, -1), Trans(11, 5, 35, -1), Trans(11, 6, 42, -1), Trans(11, 7, 42, -1), @@ -5746,16 +5765,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(11, 40, 20, -1), Trans(11, 54, 20, -1), Trans(11, 68, 20, -1), - Trans(11, 73, 20, -1), - Trans(11, 80, 42, -1), - Trans(11, 83, 42, -1), - Trans(11, 86, 20, -1), - Trans(11, 107, 43, -1), + Trans(11, 74, 20, -1), + Trans(11, 81, 42, -1), + Trans(11, 84, 42, -1), + Trans(11, 87, 20, -1), Trans(11, 108, 43, -1), + Trans(11, 109, 43, -1), Trans(12, 5, 50, -1), Trans(12, 29, 47, -1), Trans(13, 5, 55, -1), - Trans(13, 108, 28, -1), + Trans(13, 109, 28, -1), Trans(14, 5, 52, -1), Trans(14, 38, 51, -1), Trans(15, 5, 35, -1), @@ -5775,12 +5794,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(15, 40, 20, -1), Trans(15, 54, 20, -1), Trans(15, 68, 20, -1), - Trans(15, 73, 20, -1), - Trans(15, 80, 44, -1), - Trans(15, 83, 44, -1), - Trans(15, 86, 20, -1), - Trans(15, 107, 45, -1), + Trans(15, 74, 20, -1), + Trans(15, 81, 44, -1), + Trans(15, 84, 44, -1), + Trans(15, 87, 20, -1), Trans(15, 108, 45, -1), + Trans(15, 109, 45, -1), Trans(16, 5, 53, -1), Trans(16, 45, 54, -1), Trans(17, 5, 46, -1), @@ -5805,563 +5824,564 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(17, 40, 48, -1), Trans(17, 46, 20, -1), Trans(17, 52, 31, -1), - Trans(18, 6, 34, 533), - Trans(18, 7, 34, 533), - Trans(18, 8, 34, 533), - Trans(18, 9, 34, 533), - Trans(18, 10, 34, 533), - Trans(18, 11, 34, 533), - Trans(18, 18, 34, 533), - Trans(18, 24, 34, 533), - Trans(18, 25, 34, 533), - Trans(18, 26, 34, 533), - Trans(18, 27, 34, 533), - Trans(18, 29, 34, 533), - Trans(18, 35, 34, 533), - Trans(18, 37, 34, 533), - Trans(18, 38, 34, 533), - Trans(18, 40, 34, 533), - Trans(18, 42, 34, 533), - Trans(18, 47, 34, 533), - Trans(18, 48, 34, 533), - Trans(18, 49, 34, 533), - Trans(18, 54, 34, 533), - Trans(18, 55, 34, 533), - Trans(18, 56, 34, 533), - Trans(18, 58, 34, 533), - Trans(18, 59, 34, 533), - Trans(18, 62, 34, 533), - Trans(18, 63, 34, 533), - Trans(18, 64, 34, 533), - Trans(18, 67, 34, 533), - Trans(18, 68, 34, 533), - Trans(18, 69, 34, 533), - Trans(18, 70, 34, 533), - Trans(18, 73, 34, 533), - Trans(18, 74, 34, 533), - Trans(18, 77, 34, 533), - Trans(18, 78, 34, 533), - Trans(18, 80, 34, 533), - Trans(18, 81, 34, 533), - Trans(18, 83, 34, 533), - Trans(18, 86, 34, 533), - Trans(18, 93, 34, 533), - Trans(18, 94, 34, 533), - Trans(18, 98, 34, 533), - Trans(18, 102, 34, 533), - Trans(18, 105, 34, 533), - Trans(18, 106, 34, 533), - Trans(18, 107, 34, 533), - Trans(18, 108, 34, 533), - Trans(19, 5, 34, 533), - Trans(19, 16, 34, 533), - Trans(19, 17, 34, 533), - Trans(19, 18, 34, 533), - Trans(19, 19, 34, 533), - Trans(19, 20, 34, 533), - Trans(19, 21, 34, 533), - Trans(19, 22, 34, 533), - Trans(19, 23, 34, 533), - Trans(19, 24, 34, 533), - Trans(19, 25, 34, 533), - Trans(19, 26, 34, 533), - Trans(19, 29, 34, 533), - Trans(19, 30, 34, 533), - Trans(19, 46, 34, 533), - Trans(19, 52, 34, 533), - Trans(20, 5, 34, 533), - Trans(20, 6, 34, 533), - Trans(20, 7, 34, 533), - Trans(20, 8, 34, 533), - Trans(20, 9, 34, 533), - Trans(20, 10, 34, 533), - Trans(20, 11, 34, 533), - Trans(20, 18, 34, 533), - Trans(20, 24, 34, 533), - Trans(20, 25, 34, 533), - Trans(20, 26, 34, 533), - Trans(20, 27, 34, 533), - Trans(20, 37, 34, 533), - Trans(20, 38, 34, 533), - Trans(20, 40, 34, 533), - Trans(20, 54, 34, 533), - Trans(20, 68, 34, 533), - Trans(20, 73, 34, 533), - Trans(20, 80, 34, 533), - Trans(20, 83, 34, 533), - Trans(20, 86, 34, 533), - Trans(20, 107, 34, 533), - Trans(20, 108, 34, 533), - Trans(21, 5, 34, 533), - Trans(21, 108, 34, 533), - Trans(22, 5, 34, 533), - Trans(22, 39, 34, 533), - Trans(23, 5, 34, 533), - Trans(23, 6, 34, 533), - Trans(23, 7, 34, 533), - Trans(23, 8, 34, 533), - Trans(23, 9, 34, 533), - Trans(23, 10, 34, 533), - Trans(23, 11, 34, 533), - Trans(23, 18, 34, 533), - Trans(23, 24, 34, 533), - Trans(23, 25, 34, 533), - Trans(23, 26, 34, 533), - Trans(23, 27, 34, 533), - Trans(23, 37, 34, 533), - Trans(23, 38, 34, 533), - Trans(23, 40, 34, 533), - Trans(23, 54, 34, 533), - Trans(23, 55, 34, 533), - Trans(23, 68, 34, 533), - Trans(23, 73, 34, 533), - Trans(23, 80, 34, 533), - Trans(23, 83, 34, 533), - Trans(23, 86, 34, 533), - Trans(23, 107, 34, 533), - Trans(23, 108, 34, 533), - Trans(24, 5, 34, 533), - Trans(24, 6, 34, 533), - Trans(24, 7, 34, 533), - Trans(24, 8, 34, 533), - Trans(24, 9, 34, 533), - Trans(24, 10, 34, 533), - Trans(24, 11, 34, 533), - Trans(24, 18, 34, 533), - Trans(24, 24, 34, 533), - Trans(24, 25, 34, 533), - Trans(24, 26, 34, 533), - Trans(24, 27, 34, 533), - Trans(24, 29, 34, 533), - Trans(24, 35, 34, 533), - Trans(24, 37, 34, 533), - Trans(24, 38, 34, 533), - Trans(24, 40, 34, 533), - Trans(24, 42, 34, 533), - Trans(24, 47, 34, 533), - Trans(24, 48, 34, 533), - Trans(24, 49, 34, 533), - Trans(24, 54, 34, 533), - Trans(24, 58, 34, 533), - Trans(24, 59, 34, 533), - Trans(24, 62, 34, 533), - Trans(24, 63, 34, 533), - Trans(24, 64, 34, 533), - Trans(24, 68, 34, 533), - Trans(24, 69, 34, 533), - Trans(24, 70, 34, 533), - Trans(24, 73, 34, 533), - Trans(24, 74, 34, 533), - Trans(24, 77, 34, 533), - Trans(24, 78, 34, 533), - Trans(24, 80, 34, 533), - Trans(24, 81, 34, 533), - Trans(24, 83, 34, 533), - Trans(24, 86, 34, 533), - Trans(24, 98, 34, 533), - Trans(24, 102, 34, 533), - Trans(24, 105, 34, 533), - Trans(24, 106, 34, 533), - Trans(24, 107, 34, 533), - Trans(24, 108, 34, 533), - Trans(25, 0, 34, 533), - Trans(25, 5, 34, 533), - Trans(25, 6, 34, 533), - Trans(25, 7, 34, 533), - Trans(25, 8, 34, 533), - Trans(25, 9, 34, 533), - Trans(25, 10, 34, 533), - Trans(25, 11, 34, 533), - Trans(25, 18, 34, 533), - Trans(25, 24, 34, 533), - Trans(25, 25, 34, 533), - Trans(25, 26, 34, 533), - Trans(25, 27, 34, 533), - Trans(25, 29, 34, 533), - Trans(25, 35, 34, 533), - Trans(25, 37, 34, 533), - Trans(25, 38, 34, 533), - Trans(25, 40, 34, 533), - Trans(25, 42, 34, 533), - Trans(25, 47, 34, 533), - Trans(25, 48, 34, 533), - Trans(25, 49, 34, 533), - Trans(25, 54, 34, 533), - Trans(25, 55, 34, 533), - Trans(25, 56, 34, 533), - Trans(25, 57, 34, 533), - Trans(25, 58, 34, 533), - Trans(25, 59, 34, 533), - Trans(25, 62, 34, 533), - Trans(25, 63, 34, 533), - Trans(25, 64, 34, 533), - Trans(25, 67, 34, 533), - Trans(25, 68, 34, 533), - Trans(25, 69, 34, 533), - Trans(25, 70, 34, 533), - Trans(25, 73, 34, 533), - Trans(25, 74, 34, 533), - Trans(25, 75, 34, 533), - Trans(25, 77, 34, 533), - Trans(25, 78, 34, 533), - Trans(25, 80, 34, 533), - Trans(25, 81, 34, 533), - Trans(25, 82, 34, 533), - Trans(25, 83, 34, 533), - Trans(25, 86, 34, 533), - Trans(25, 87, 34, 533), - Trans(25, 90, 34, 533), - Trans(25, 93, 34, 533), - Trans(25, 94, 34, 533), - Trans(25, 98, 34, 533), - Trans(25, 102, 34, 533), - Trans(25, 105, 34, 533), - Trans(25, 106, 34, 533), - Trans(25, 107, 34, 533), - Trans(25, 108, 34, 533), - Trans(26, 5, 34, 533), - Trans(26, 38, 34, 533), - Trans(27, 5, 34, 533), - Trans(27, 40, 34, 533), - Trans(28, 5, 34, 533), - Trans(28, 29, 34, 533), - Trans(29, 5, 34, 533), - Trans(29, 38, 34, 533), - Trans(29, 68, 34, 533), - Trans(30, 5, 34, 533), - Trans(30, 46, 34, 533), - Trans(30, 107, 34, 533), - Trans(30, 108, 34, 533), - Trans(31, 5, 34, 533), - Trans(31, 107, 34, 533), - Trans(31, 108, 34, 533), - Trans(32, 5, 34, 533), - Trans(32, 45, 34, 533), - Trans(33, 5, 34, 533), - Trans(33, 15, 34, 533), - Trans(33, 16, 34, 533), - Trans(33, 17, 34, 533), - Trans(33, 18, 34, 533), - Trans(33, 19, 34, 533), - Trans(33, 20, 34, 533), - Trans(33, 21, 34, 533), - Trans(33, 22, 34, 533), - Trans(33, 23, 34, 533), - Trans(33, 24, 34, 533), - Trans(33, 25, 34, 533), - Trans(33, 26, 34, 533), - Trans(33, 28, 34, 533), - Trans(33, 29, 34, 533), - Trans(33, 30, 34, 533), - Trans(33, 33, 34, 533), - Trans(33, 34, 34, 533), - Trans(33, 39, 34, 533), - Trans(33, 40, 34, 533), - Trans(33, 46, 34, 533), - Trans(33, 52, 34, 533), - Trans(35, 6, 34, 533), - Trans(35, 7, 34, 533), - Trans(35, 8, 34, 533), - Trans(35, 9, 34, 533), - Trans(35, 10, 34, 533), - Trans(35, 11, 34, 533), - Trans(35, 18, 34, 533), - Trans(35, 24, 34, 533), - Trans(35, 25, 34, 533), - Trans(35, 26, 34, 533), - Trans(35, 27, 34, 533), - Trans(35, 37, 34, 533), - Trans(35, 38, 34, 533), - Trans(35, 40, 34, 533), - Trans(35, 54, 34, 533), - Trans(35, 68, 34, 533), - Trans(35, 73, 34, 533), - Trans(35, 80, 34, 533), - Trans(35, 83, 34, 533), - Trans(35, 86, 34, 533), - Trans(35, 107, 34, 533), - Trans(35, 108, 34, 533), - Trans(36, 5, 34, 533), - Trans(36, 16, 34, 533), - Trans(36, 17, 34, 533), - Trans(36, 18, 34, 533), - Trans(36, 19, 34, 533), - Trans(36, 20, 34, 533), - Trans(36, 21, 34, 533), - Trans(36, 22, 34, 533), - Trans(36, 23, 34, 533), - Trans(36, 24, 34, 533), - Trans(36, 25, 34, 533), - Trans(36, 26, 34, 533), - Trans(36, 28, 34, 533), - Trans(36, 29, 34, 533), - Trans(36, 30, 34, 533), - Trans(36, 33, 34, 533), - Trans(36, 39, 34, 533), - Trans(36, 40, 34, 533), - Trans(36, 46, 34, 533), - Trans(36, 52, 34, 533), - Trans(37, 6, 34, 533), - Trans(37, 7, 34, 533), - Trans(37, 8, 34, 533), - Trans(37, 9, 34, 533), - Trans(37, 10, 34, 533), - Trans(37, 11, 34, 533), - Trans(37, 18, 34, 533), - Trans(37, 24, 34, 533), - Trans(37, 25, 34, 533), - Trans(37, 26, 34, 533), - Trans(37, 27, 34, 533), - Trans(37, 37, 34, 533), - Trans(37, 38, 34, 533), - Trans(37, 40, 34, 533), - Trans(37, 54, 34, 533), - Trans(37, 55, 34, 533), - Trans(37, 68, 34, 533), - Trans(37, 73, 34, 533), - Trans(37, 80, 34, 533), - Trans(37, 83, 34, 533), - Trans(37, 86, 34, 533), - Trans(37, 107, 34, 533), - Trans(37, 108, 34, 533), - Trans(38, 5, 34, 533), - Trans(38, 16, 34, 533), - Trans(38, 17, 34, 533), - Trans(38, 18, 34, 533), - Trans(38, 19, 34, 533), - Trans(38, 20, 34, 533), - Trans(38, 21, 34, 533), - Trans(38, 22, 34, 533), - Trans(38, 23, 34, 533), - Trans(38, 24, 34, 533), - Trans(38, 25, 34, 533), - Trans(38, 26, 34, 533), - Trans(38, 30, 34, 533), - Trans(38, 42, 34, 533), - Trans(38, 46, 34, 533), - Trans(38, 52, 34, 533), - Trans(38, 92, 34, 533), - Trans(39, 5, 34, 533), - Trans(39, 16, 34, 533), - Trans(39, 17, 34, 533), - Trans(39, 18, 34, 533), - Trans(39, 19, 34, 533), - Trans(39, 20, 34, 533), - Trans(39, 21, 34, 533), - Trans(39, 22, 34, 533), - Trans(39, 23, 34, 533), - Trans(39, 24, 34, 533), - Trans(39, 25, 34, 533), - Trans(39, 26, 34, 533), - Trans(39, 28, 34, 533), - Trans(39, 30, 34, 533), - Trans(39, 33, 34, 533), - Trans(39, 39, 34, 533), - Trans(39, 40, 34, 533), - Trans(39, 42, 34, 533), - Trans(39, 46, 34, 533), - Trans(39, 52, 34, 533), - Trans(39, 92, 34, 533), - Trans(40, 5, 34, 533), - Trans(40, 16, 34, 533), - Trans(40, 17, 34, 533), - Trans(40, 18, 34, 533), - Trans(40, 19, 34, 533), - Trans(40, 20, 34, 533), - Trans(40, 21, 34, 533), - Trans(40, 22, 34, 533), - Trans(40, 23, 34, 533), - Trans(40, 24, 34, 533), - Trans(40, 25, 34, 533), - Trans(40, 26, 34, 533), - Trans(40, 44, 34, 533), - Trans(40, 46, 34, 533), - Trans(40, 52, 34, 533), - Trans(41, 5, 34, 533), - Trans(41, 16, 34, 533), - Trans(41, 17, 34, 533), - Trans(41, 18, 34, 533), - Trans(41, 19, 34, 533), - Trans(41, 20, 34, 533), - Trans(41, 21, 34, 533), - Trans(41, 22, 34, 533), - Trans(41, 23, 34, 533), - Trans(41, 24, 34, 533), - Trans(41, 25, 34, 533), - Trans(41, 26, 34, 533), - Trans(41, 28, 34, 533), - Trans(41, 33, 34, 533), - Trans(41, 39, 34, 533), - Trans(41, 40, 34, 533), - Trans(41, 44, 34, 533), - Trans(41, 46, 34, 533), - Trans(41, 52, 34, 533), - Trans(42, 5, 34, 533), - Trans(42, 16, 34, 533), - Trans(42, 17, 34, 533), - Trans(42, 18, 34, 533), - Trans(42, 19, 34, 533), - Trans(42, 20, 34, 533), - Trans(42, 21, 34, 533), - Trans(42, 22, 34, 533), - Trans(42, 23, 34, 533), - Trans(42, 24, 34, 533), - Trans(42, 25, 34, 533), - Trans(42, 26, 34, 533), - Trans(42, 38, 34, 533), - Trans(42, 46, 34, 533), - Trans(42, 52, 34, 533), - Trans(43, 5, 34, 533), - Trans(43, 16, 34, 533), - Trans(43, 17, 34, 533), - Trans(43, 18, 34, 533), - Trans(43, 19, 34, 533), - Trans(43, 20, 34, 533), - Trans(43, 21, 34, 533), - Trans(43, 22, 34, 533), - Trans(43, 23, 34, 533), - Trans(43, 24, 34, 533), - Trans(43, 25, 34, 533), - Trans(43, 26, 34, 533), - Trans(43, 28, 34, 533), - Trans(43, 33, 34, 533), - Trans(43, 38, 34, 533), - Trans(43, 39, 34, 533), - Trans(43, 40, 34, 533), - Trans(43, 46, 34, 533), - Trans(43, 52, 34, 533), - Trans(44, 5, 34, 533), - Trans(44, 16, 34, 533), - Trans(44, 17, 34, 533), - Trans(44, 18, 34, 533), - Trans(44, 19, 34, 533), - Trans(44, 20, 34, 533), - Trans(44, 21, 34, 533), - Trans(44, 22, 34, 533), - Trans(44, 23, 34, 533), - Trans(44, 24, 34, 533), - Trans(44, 25, 34, 533), - Trans(44, 26, 34, 533), - Trans(44, 45, 34, 533), - Trans(44, 46, 34, 533), - Trans(44, 52, 34, 533), - Trans(45, 5, 34, 533), - Trans(45, 16, 34, 533), - Trans(45, 17, 34, 533), - Trans(45, 18, 34, 533), - Trans(45, 19, 34, 533), - Trans(45, 20, 34, 533), - Trans(45, 21, 34, 533), - Trans(45, 22, 34, 533), - Trans(45, 23, 34, 533), - Trans(45, 24, 34, 533), - Trans(45, 25, 34, 533), - Trans(45, 26, 34, 533), - Trans(45, 28, 34, 533), - Trans(45, 33, 34, 533), - Trans(45, 39, 34, 533), - Trans(45, 40, 34, 533), - Trans(45, 45, 34, 533), - Trans(45, 46, 34, 533), - Trans(45, 52, 34, 533), - Trans(46, 15, 34, 533), - Trans(46, 16, 34, 533), - Trans(46, 17, 34, 533), - Trans(46, 18, 34, 533), - Trans(46, 19, 34, 533), - Trans(46, 20, 34, 533), - Trans(46, 21, 34, 533), - Trans(46, 22, 34, 533), - Trans(46, 23, 34, 533), - Trans(46, 24, 34, 533), - Trans(46, 25, 34, 533), - Trans(46, 26, 34, 533), - Trans(46, 28, 34, 533), - Trans(46, 29, 34, 533), - Trans(46, 30, 34, 533), - Trans(46, 33, 34, 533), - Trans(46, 34, 34, 533), - Trans(46, 39, 34, 533), - Trans(46, 40, 34, 533), - Trans(46, 46, 34, 533), - Trans(46, 52, 34, 533), - Trans(47, 5, 34, 533), - Trans(47, 38, 34, 533), - Trans(47, 54, 34, 533), - Trans(47, 63, 34, 533), - Trans(47, 67, 34, 533), - Trans(47, 68, 34, 533), - Trans(47, 77, 34, 533), - Trans(47, 93, 34, 533), - Trans(47, 94, 34, 533), - Trans(47, 107, 34, 533), - Trans(47, 108, 34, 533), - Trans(48, 5, 34, 533), - Trans(48, 6, 34, 533), - Trans(48, 7, 34, 533), - Trans(48, 8, 34, 533), - Trans(48, 9, 34, 533), - Trans(48, 10, 34, 533), - Trans(48, 11, 34, 533), - Trans(48, 18, 34, 533), - Trans(48, 24, 34, 533), - Trans(48, 25, 34, 533), - Trans(48, 26, 34, 533), - Trans(48, 27, 34, 533), - Trans(48, 37, 34, 533), - Trans(48, 38, 34, 533), - Trans(48, 40, 34, 533), - Trans(48, 44, 34, 533), - Trans(48, 54, 34, 533), - Trans(48, 68, 34, 533), - Trans(48, 73, 34, 533), - Trans(48, 80, 34, 533), - Trans(48, 83, 34, 533), - Trans(48, 86, 34, 533), - Trans(48, 107, 34, 533), - Trans(48, 108, 34, 533), - Trans(49, 16, 34, 533), - Trans(49, 17, 34, 533), - Trans(49, 18, 34, 533), - Trans(49, 19, 34, 533), - Trans(49, 20, 34, 533), - Trans(49, 21, 34, 533), - Trans(49, 22, 34, 533), - Trans(49, 23, 34, 533), - Trans(49, 24, 34, 533), - Trans(49, 25, 34, 533), - Trans(49, 26, 34, 533), - Trans(49, 29, 34, 533), - Trans(49, 30, 34, 533), - Trans(49, 46, 34, 533), - Trans(49, 52, 34, 533), - Trans(50, 29, 34, 533), - Trans(51, 5, 34, 533), - Trans(51, 42, 34, 533), - Trans(51, 54, 34, 533), - Trans(51, 63, 34, 533), - Trans(51, 67, 34, 533), - Trans(51, 68, 34, 533), - Trans(51, 77, 34, 533), - Trans(51, 93, 34, 533), - Trans(51, 94, 34, 533), - Trans(51, 107, 34, 533), - Trans(51, 108, 34, 533), - Trans(52, 38, 34, 533), - Trans(53, 45, 34, 533), - Trans(54, 5, 34, 533), - Trans(54, 42, 34, 533), - Trans(54, 54, 34, 533), - Trans(54, 63, 34, 533), - Trans(54, 67, 34, 533), - Trans(54, 68, 34, 533), - Trans(54, 77, 34, 533), - Trans(54, 93, 34, 533), - Trans(54, 94, 34, 533), - Trans(54, 106, 34, 533), - Trans(54, 107, 34, 533), - Trans(54, 108, 34, 533), - Trans(55, 108, 34, 533), + Trans(18, 6, 34, 536), + Trans(18, 7, 34, 536), + Trans(18, 8, 34, 536), + Trans(18, 9, 34, 536), + Trans(18, 10, 34, 536), + Trans(18, 11, 34, 536), + Trans(18, 18, 34, 536), + Trans(18, 24, 34, 536), + Trans(18, 25, 34, 536), + Trans(18, 26, 34, 536), + Trans(18, 27, 34, 536), + Trans(18, 29, 34, 536), + Trans(18, 35, 34, 536), + Trans(18, 37, 34, 536), + Trans(18, 38, 34, 536), + Trans(18, 40, 34, 536), + Trans(18, 42, 34, 536), + Trans(18, 47, 34, 536), + Trans(18, 48, 34, 536), + Trans(18, 49, 34, 536), + Trans(18, 54, 34, 536), + Trans(18, 55, 34, 536), + Trans(18, 56, 34, 536), + Trans(18, 58, 34, 536), + Trans(18, 59, 34, 536), + Trans(18, 62, 34, 536), + Trans(18, 63, 34, 536), + Trans(18, 64, 34, 536), + Trans(18, 67, 34, 536), + Trans(18, 68, 34, 536), + Trans(18, 69, 34, 536), + Trans(18, 71, 34, 536), + Trans(18, 74, 34, 536), + Trans(18, 75, 34, 536), + Trans(18, 78, 34, 536), + Trans(18, 79, 34, 536), + Trans(18, 81, 34, 536), + Trans(18, 82, 34, 536), + Trans(18, 84, 34, 536), + Trans(18, 87, 34, 536), + Trans(18, 94, 34, 536), + Trans(18, 95, 34, 536), + Trans(18, 99, 34, 536), + Trans(18, 103, 34, 536), + Trans(18, 106, 34, 536), + Trans(18, 107, 34, 536), + Trans(18, 108, 34, 536), + Trans(18, 109, 34, 536), + Trans(19, 5, 34, 536), + Trans(19, 16, 34, 536), + Trans(19, 17, 34, 536), + Trans(19, 18, 34, 536), + Trans(19, 19, 34, 536), + Trans(19, 20, 34, 536), + Trans(19, 21, 34, 536), + Trans(19, 22, 34, 536), + Trans(19, 23, 34, 536), + Trans(19, 24, 34, 536), + Trans(19, 25, 34, 536), + Trans(19, 26, 34, 536), + Trans(19, 29, 34, 536), + Trans(19, 30, 34, 536), + Trans(19, 46, 34, 536), + Trans(19, 52, 34, 536), + Trans(20, 5, 34, 536), + Trans(20, 6, 34, 536), + Trans(20, 7, 34, 536), + Trans(20, 8, 34, 536), + Trans(20, 9, 34, 536), + Trans(20, 10, 34, 536), + Trans(20, 11, 34, 536), + Trans(20, 18, 34, 536), + Trans(20, 24, 34, 536), + Trans(20, 25, 34, 536), + Trans(20, 26, 34, 536), + Trans(20, 27, 34, 536), + Trans(20, 37, 34, 536), + Trans(20, 38, 34, 536), + Trans(20, 40, 34, 536), + Trans(20, 54, 34, 536), + Trans(20, 68, 34, 536), + Trans(20, 74, 34, 536), + Trans(20, 81, 34, 536), + Trans(20, 84, 34, 536), + Trans(20, 87, 34, 536), + Trans(20, 108, 34, 536), + Trans(20, 109, 34, 536), + Trans(21, 5, 34, 536), + Trans(21, 109, 34, 536), + Trans(22, 5, 34, 536), + Trans(22, 39, 34, 536), + Trans(23, 5, 34, 536), + Trans(23, 6, 34, 536), + Trans(23, 7, 34, 536), + Trans(23, 8, 34, 536), + Trans(23, 9, 34, 536), + Trans(23, 10, 34, 536), + Trans(23, 11, 34, 536), + Trans(23, 18, 34, 536), + Trans(23, 24, 34, 536), + Trans(23, 25, 34, 536), + Trans(23, 26, 34, 536), + Trans(23, 27, 34, 536), + Trans(23, 37, 34, 536), + Trans(23, 38, 34, 536), + Trans(23, 40, 34, 536), + Trans(23, 54, 34, 536), + Trans(23, 55, 34, 536), + Trans(23, 68, 34, 536), + Trans(23, 74, 34, 536), + Trans(23, 81, 34, 536), + Trans(23, 84, 34, 536), + Trans(23, 87, 34, 536), + Trans(23, 108, 34, 536), + Trans(23, 109, 34, 536), + Trans(24, 5, 34, 536), + Trans(24, 6, 34, 536), + Trans(24, 7, 34, 536), + Trans(24, 8, 34, 536), + Trans(24, 9, 34, 536), + Trans(24, 10, 34, 536), + Trans(24, 11, 34, 536), + Trans(24, 18, 34, 536), + Trans(24, 24, 34, 536), + Trans(24, 25, 34, 536), + Trans(24, 26, 34, 536), + Trans(24, 27, 34, 536), + Trans(24, 29, 34, 536), + Trans(24, 35, 34, 536), + Trans(24, 37, 34, 536), + Trans(24, 38, 34, 536), + Trans(24, 40, 34, 536), + Trans(24, 42, 34, 536), + Trans(24, 47, 34, 536), + Trans(24, 48, 34, 536), + Trans(24, 49, 34, 536), + Trans(24, 54, 34, 536), + Trans(24, 58, 34, 536), + Trans(24, 59, 34, 536), + Trans(24, 62, 34, 536), + Trans(24, 63, 34, 536), + Trans(24, 64, 34, 536), + Trans(24, 68, 34, 536), + Trans(24, 69, 34, 536), + Trans(24, 71, 34, 536), + Trans(24, 74, 34, 536), + Trans(24, 75, 34, 536), + Trans(24, 78, 34, 536), + Trans(24, 79, 34, 536), + Trans(24, 81, 34, 536), + Trans(24, 82, 34, 536), + Trans(24, 84, 34, 536), + Trans(24, 87, 34, 536), + Trans(24, 99, 34, 536), + Trans(24, 103, 34, 536), + Trans(24, 106, 34, 536), + Trans(24, 107, 34, 536), + Trans(24, 108, 34, 536), + Trans(24, 109, 34, 536), + Trans(25, 0, 34, 536), + Trans(25, 5, 34, 536), + Trans(25, 6, 34, 536), + Trans(25, 7, 34, 536), + Trans(25, 8, 34, 536), + Trans(25, 9, 34, 536), + Trans(25, 10, 34, 536), + Trans(25, 11, 34, 536), + Trans(25, 18, 34, 536), + Trans(25, 24, 34, 536), + Trans(25, 25, 34, 536), + Trans(25, 26, 34, 536), + Trans(25, 27, 34, 536), + Trans(25, 29, 34, 536), + Trans(25, 35, 34, 536), + Trans(25, 37, 34, 536), + Trans(25, 38, 34, 536), + Trans(25, 40, 34, 536), + Trans(25, 42, 34, 536), + Trans(25, 47, 34, 536), + Trans(25, 48, 34, 536), + Trans(25, 49, 34, 536), + Trans(25, 54, 34, 536), + Trans(25, 55, 34, 536), + Trans(25, 56, 34, 536), + Trans(25, 57, 34, 536), + Trans(25, 58, 34, 536), + Trans(25, 59, 34, 536), + Trans(25, 62, 34, 536), + Trans(25, 63, 34, 536), + Trans(25, 64, 34, 536), + Trans(25, 67, 34, 536), + Trans(25, 68, 34, 536), + Trans(25, 69, 34, 536), + Trans(25, 70, 34, 536), + Trans(25, 71, 34, 536), + Trans(25, 74, 34, 536), + Trans(25, 75, 34, 536), + Trans(25, 76, 34, 536), + Trans(25, 78, 34, 536), + Trans(25, 79, 34, 536), + Trans(25, 81, 34, 536), + Trans(25, 82, 34, 536), + Trans(25, 83, 34, 536), + Trans(25, 84, 34, 536), + Trans(25, 87, 34, 536), + Trans(25, 88, 34, 536), + Trans(25, 91, 34, 536), + Trans(25, 94, 34, 536), + Trans(25, 95, 34, 536), + Trans(25, 99, 34, 536), + Trans(25, 103, 34, 536), + Trans(25, 106, 34, 536), + Trans(25, 107, 34, 536), + Trans(25, 108, 34, 536), + Trans(25, 109, 34, 536), + Trans(26, 5, 34, 536), + Trans(26, 38, 34, 536), + Trans(27, 5, 34, 536), + Trans(27, 40, 34, 536), + Trans(28, 5, 34, 536), + Trans(28, 29, 34, 536), + Trans(29, 5, 34, 536), + Trans(29, 38, 34, 536), + Trans(29, 68, 34, 536), + Trans(30, 5, 34, 536), + Trans(30, 46, 34, 536), + Trans(30, 108, 34, 536), + Trans(30, 109, 34, 536), + Trans(31, 5, 34, 536), + Trans(31, 108, 34, 536), + Trans(31, 109, 34, 536), + Trans(32, 5, 34, 536), + Trans(32, 45, 34, 536), + Trans(33, 5, 34, 536), + Trans(33, 15, 34, 536), + Trans(33, 16, 34, 536), + Trans(33, 17, 34, 536), + Trans(33, 18, 34, 536), + Trans(33, 19, 34, 536), + Trans(33, 20, 34, 536), + Trans(33, 21, 34, 536), + Trans(33, 22, 34, 536), + Trans(33, 23, 34, 536), + Trans(33, 24, 34, 536), + Trans(33, 25, 34, 536), + Trans(33, 26, 34, 536), + Trans(33, 28, 34, 536), + Trans(33, 29, 34, 536), + Trans(33, 30, 34, 536), + Trans(33, 33, 34, 536), + Trans(33, 34, 34, 536), + Trans(33, 39, 34, 536), + Trans(33, 40, 34, 536), + Trans(33, 46, 34, 536), + Trans(33, 52, 34, 536), + Trans(35, 6, 34, 536), + Trans(35, 7, 34, 536), + Trans(35, 8, 34, 536), + Trans(35, 9, 34, 536), + Trans(35, 10, 34, 536), + Trans(35, 11, 34, 536), + Trans(35, 18, 34, 536), + Trans(35, 24, 34, 536), + Trans(35, 25, 34, 536), + Trans(35, 26, 34, 536), + Trans(35, 27, 34, 536), + Trans(35, 37, 34, 536), + Trans(35, 38, 34, 536), + Trans(35, 40, 34, 536), + Trans(35, 54, 34, 536), + Trans(35, 68, 34, 536), + Trans(35, 74, 34, 536), + Trans(35, 81, 34, 536), + Trans(35, 84, 34, 536), + Trans(35, 87, 34, 536), + Trans(35, 108, 34, 536), + Trans(35, 109, 34, 536), + Trans(36, 5, 34, 536), + Trans(36, 16, 34, 536), + Trans(36, 17, 34, 536), + Trans(36, 18, 34, 536), + Trans(36, 19, 34, 536), + Trans(36, 20, 34, 536), + Trans(36, 21, 34, 536), + Trans(36, 22, 34, 536), + Trans(36, 23, 34, 536), + Trans(36, 24, 34, 536), + Trans(36, 25, 34, 536), + Trans(36, 26, 34, 536), + Trans(36, 28, 34, 536), + Trans(36, 29, 34, 536), + Trans(36, 30, 34, 536), + Trans(36, 33, 34, 536), + Trans(36, 39, 34, 536), + Trans(36, 40, 34, 536), + Trans(36, 46, 34, 536), + Trans(36, 52, 34, 536), + Trans(37, 6, 34, 536), + Trans(37, 7, 34, 536), + Trans(37, 8, 34, 536), + Trans(37, 9, 34, 536), + Trans(37, 10, 34, 536), + Trans(37, 11, 34, 536), + Trans(37, 18, 34, 536), + Trans(37, 24, 34, 536), + Trans(37, 25, 34, 536), + Trans(37, 26, 34, 536), + Trans(37, 27, 34, 536), + Trans(37, 37, 34, 536), + Trans(37, 38, 34, 536), + Trans(37, 40, 34, 536), + Trans(37, 54, 34, 536), + Trans(37, 55, 34, 536), + Trans(37, 68, 34, 536), + Trans(37, 74, 34, 536), + Trans(37, 81, 34, 536), + Trans(37, 84, 34, 536), + Trans(37, 87, 34, 536), + Trans(37, 108, 34, 536), + Trans(37, 109, 34, 536), + Trans(38, 5, 34, 536), + Trans(38, 16, 34, 536), + Trans(38, 17, 34, 536), + Trans(38, 18, 34, 536), + Trans(38, 19, 34, 536), + Trans(38, 20, 34, 536), + Trans(38, 21, 34, 536), + Trans(38, 22, 34, 536), + Trans(38, 23, 34, 536), + Trans(38, 24, 34, 536), + Trans(38, 25, 34, 536), + Trans(38, 26, 34, 536), + Trans(38, 30, 34, 536), + Trans(38, 42, 34, 536), + Trans(38, 46, 34, 536), + Trans(38, 52, 34, 536), + Trans(38, 93, 34, 536), + Trans(39, 5, 34, 536), + Trans(39, 16, 34, 536), + Trans(39, 17, 34, 536), + Trans(39, 18, 34, 536), + Trans(39, 19, 34, 536), + Trans(39, 20, 34, 536), + Trans(39, 21, 34, 536), + Trans(39, 22, 34, 536), + Trans(39, 23, 34, 536), + Trans(39, 24, 34, 536), + Trans(39, 25, 34, 536), + Trans(39, 26, 34, 536), + Trans(39, 28, 34, 536), + Trans(39, 30, 34, 536), + Trans(39, 33, 34, 536), + Trans(39, 39, 34, 536), + Trans(39, 40, 34, 536), + Trans(39, 42, 34, 536), + Trans(39, 46, 34, 536), + Trans(39, 52, 34, 536), + Trans(39, 93, 34, 536), + Trans(40, 5, 34, 536), + Trans(40, 16, 34, 536), + Trans(40, 17, 34, 536), + Trans(40, 18, 34, 536), + Trans(40, 19, 34, 536), + Trans(40, 20, 34, 536), + Trans(40, 21, 34, 536), + Trans(40, 22, 34, 536), + Trans(40, 23, 34, 536), + Trans(40, 24, 34, 536), + Trans(40, 25, 34, 536), + Trans(40, 26, 34, 536), + Trans(40, 44, 34, 536), + Trans(40, 46, 34, 536), + Trans(40, 52, 34, 536), + Trans(41, 5, 34, 536), + Trans(41, 16, 34, 536), + Trans(41, 17, 34, 536), + Trans(41, 18, 34, 536), + Trans(41, 19, 34, 536), + Trans(41, 20, 34, 536), + Trans(41, 21, 34, 536), + Trans(41, 22, 34, 536), + Trans(41, 23, 34, 536), + Trans(41, 24, 34, 536), + Trans(41, 25, 34, 536), + Trans(41, 26, 34, 536), + Trans(41, 28, 34, 536), + Trans(41, 33, 34, 536), + Trans(41, 39, 34, 536), + Trans(41, 40, 34, 536), + Trans(41, 44, 34, 536), + Trans(41, 46, 34, 536), + Trans(41, 52, 34, 536), + Trans(42, 5, 34, 536), + Trans(42, 16, 34, 536), + Trans(42, 17, 34, 536), + Trans(42, 18, 34, 536), + Trans(42, 19, 34, 536), + Trans(42, 20, 34, 536), + Trans(42, 21, 34, 536), + Trans(42, 22, 34, 536), + Trans(42, 23, 34, 536), + Trans(42, 24, 34, 536), + Trans(42, 25, 34, 536), + Trans(42, 26, 34, 536), + Trans(42, 38, 34, 536), + Trans(42, 46, 34, 536), + Trans(42, 52, 34, 536), + Trans(43, 5, 34, 536), + Trans(43, 16, 34, 536), + Trans(43, 17, 34, 536), + Trans(43, 18, 34, 536), + Trans(43, 19, 34, 536), + Trans(43, 20, 34, 536), + Trans(43, 21, 34, 536), + Trans(43, 22, 34, 536), + Trans(43, 23, 34, 536), + Trans(43, 24, 34, 536), + Trans(43, 25, 34, 536), + Trans(43, 26, 34, 536), + Trans(43, 28, 34, 536), + Trans(43, 33, 34, 536), + Trans(43, 38, 34, 536), + Trans(43, 39, 34, 536), + Trans(43, 40, 34, 536), + Trans(43, 46, 34, 536), + Trans(43, 52, 34, 536), + Trans(44, 5, 34, 536), + Trans(44, 16, 34, 536), + Trans(44, 17, 34, 536), + Trans(44, 18, 34, 536), + Trans(44, 19, 34, 536), + Trans(44, 20, 34, 536), + Trans(44, 21, 34, 536), + Trans(44, 22, 34, 536), + Trans(44, 23, 34, 536), + Trans(44, 24, 34, 536), + Trans(44, 25, 34, 536), + Trans(44, 26, 34, 536), + Trans(44, 45, 34, 536), + Trans(44, 46, 34, 536), + Trans(44, 52, 34, 536), + Trans(45, 5, 34, 536), + Trans(45, 16, 34, 536), + Trans(45, 17, 34, 536), + Trans(45, 18, 34, 536), + Trans(45, 19, 34, 536), + Trans(45, 20, 34, 536), + Trans(45, 21, 34, 536), + Trans(45, 22, 34, 536), + Trans(45, 23, 34, 536), + Trans(45, 24, 34, 536), + Trans(45, 25, 34, 536), + Trans(45, 26, 34, 536), + Trans(45, 28, 34, 536), + Trans(45, 33, 34, 536), + Trans(45, 39, 34, 536), + Trans(45, 40, 34, 536), + Trans(45, 45, 34, 536), + Trans(45, 46, 34, 536), + Trans(45, 52, 34, 536), + Trans(46, 15, 34, 536), + Trans(46, 16, 34, 536), + Trans(46, 17, 34, 536), + Trans(46, 18, 34, 536), + Trans(46, 19, 34, 536), + Trans(46, 20, 34, 536), + Trans(46, 21, 34, 536), + Trans(46, 22, 34, 536), + Trans(46, 23, 34, 536), + Trans(46, 24, 34, 536), + Trans(46, 25, 34, 536), + Trans(46, 26, 34, 536), + Trans(46, 28, 34, 536), + Trans(46, 29, 34, 536), + Trans(46, 30, 34, 536), + Trans(46, 33, 34, 536), + Trans(46, 34, 34, 536), + Trans(46, 39, 34, 536), + Trans(46, 40, 34, 536), + Trans(46, 46, 34, 536), + Trans(46, 52, 34, 536), + Trans(47, 5, 34, 536), + Trans(47, 38, 34, 536), + Trans(47, 54, 34, 536), + Trans(47, 63, 34, 536), + Trans(47, 67, 34, 536), + Trans(47, 68, 34, 536), + Trans(47, 78, 34, 536), + Trans(47, 94, 34, 536), + Trans(47, 95, 34, 536), + Trans(47, 108, 34, 536), + Trans(47, 109, 34, 536), + Trans(48, 5, 34, 536), + Trans(48, 6, 34, 536), + Trans(48, 7, 34, 536), + Trans(48, 8, 34, 536), + Trans(48, 9, 34, 536), + Trans(48, 10, 34, 536), + Trans(48, 11, 34, 536), + Trans(48, 18, 34, 536), + Trans(48, 24, 34, 536), + Trans(48, 25, 34, 536), + Trans(48, 26, 34, 536), + Trans(48, 27, 34, 536), + Trans(48, 37, 34, 536), + Trans(48, 38, 34, 536), + Trans(48, 40, 34, 536), + Trans(48, 44, 34, 536), + Trans(48, 54, 34, 536), + Trans(48, 68, 34, 536), + Trans(48, 74, 34, 536), + Trans(48, 81, 34, 536), + Trans(48, 84, 34, 536), + Trans(48, 87, 34, 536), + Trans(48, 108, 34, 536), + Trans(48, 109, 34, 536), + Trans(49, 16, 34, 536), + Trans(49, 17, 34, 536), + Trans(49, 18, 34, 536), + Trans(49, 19, 34, 536), + Trans(49, 20, 34, 536), + Trans(49, 21, 34, 536), + Trans(49, 22, 34, 536), + Trans(49, 23, 34, 536), + Trans(49, 24, 34, 536), + Trans(49, 25, 34, 536), + Trans(49, 26, 34, 536), + Trans(49, 29, 34, 536), + Trans(49, 30, 34, 536), + Trans(49, 46, 34, 536), + Trans(49, 52, 34, 536), + Trans(50, 29, 34, 536), + Trans(51, 5, 34, 536), + Trans(51, 42, 34, 536), + Trans(51, 54, 34, 536), + Trans(51, 63, 34, 536), + Trans(51, 67, 34, 536), + Trans(51, 68, 34, 536), + Trans(51, 78, 34, 536), + Trans(51, 94, 34, 536), + Trans(51, 95, 34, 536), + Trans(51, 108, 34, 536), + Trans(51, 109, 34, 536), + Trans(52, 38, 34, 536), + Trans(53, 45, 34, 536), + Trans(54, 5, 34, 536), + Trans(54, 42, 34, 536), + Trans(54, 54, 34, 536), + Trans(54, 63, 34, 536), + Trans(54, 67, 34, 536), + Trans(54, 68, 34, 536), + Trans(54, 78, 34, 536), + Trans(54, 94, 34, 536), + Trans(54, 95, 34, 536), + Trans(54, 107, 34, 536), + Trans(54, 108, 34, 536), + Trans(54, 109, 34, 536), + Trans(55, 109, 34, 536), ], k: 3, }, @@ -6369,16 +6389,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 532), - Trans(0, 54, 1, 531), - Trans(0, 63, 1, 531), - Trans(0, 67, 1, 531), - Trans(0, 68, 1, 531), - Trans(0, 77, 1, 531), - Trans(0, 93, 1, 531), - Trans(0, 94, 1, 531), - Trans(0, 107, 1, 531), - Trans(0, 108, 1, 531), + Trans(0, 42, 2, 535), + Trans(0, 54, 1, 534), + Trans(0, 63, 1, 534), + Trans(0, 67, 1, 534), + Trans(0, 68, 1, 534), + Trans(0, 78, 1, 534), + Trans(0, 94, 1, 534), + Trans(0, 95, 1, 534), + Trans(0, 108, 1, 534), + Trans(0, 109, 1, 534), ], k: 1, }, @@ -6386,37 +6406,37 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 2, 539), - Trans(0, 7, 2, 539), - Trans(0, 8, 2, 539), - Trans(0, 9, 2, 539), - Trans(0, 10, 2, 539), - Trans(0, 11, 2, 539), - Trans(0, 18, 2, 539), - Trans(0, 24, 2, 539), - Trans(0, 25, 2, 539), - Trans(0, 26, 2, 539), - Trans(0, 27, 2, 539), - Trans(0, 37, 2, 539), - Trans(0, 38, 2, 539), - Trans(0, 40, 2, 539), - Trans(0, 42, 2, 539), - Trans(0, 54, 2, 539), - Trans(0, 55, 2, 539), - Trans(0, 56, 1, 536), - Trans(0, 63, 2, 539), - Trans(0, 67, 2, 539), - Trans(0, 68, 2, 539), - Trans(0, 73, 2, 539), - Trans(0, 77, 2, 539), - Trans(0, 80, 2, 539), - Trans(0, 83, 2, 539), - Trans(0, 86, 2, 539), - Trans(0, 93, 2, 539), - Trans(0, 94, 2, 539), - Trans(0, 106, 2, 539), - Trans(0, 107, 2, 539), - Trans(0, 108, 2, 539), + Trans(0, 6, 2, 542), + Trans(0, 7, 2, 542), + Trans(0, 8, 2, 542), + Trans(0, 9, 2, 542), + Trans(0, 10, 2, 542), + Trans(0, 11, 2, 542), + Trans(0, 18, 2, 542), + Trans(0, 24, 2, 542), + Trans(0, 25, 2, 542), + Trans(0, 26, 2, 542), + Trans(0, 27, 2, 542), + Trans(0, 37, 2, 542), + Trans(0, 38, 2, 542), + Trans(0, 40, 2, 542), + Trans(0, 42, 2, 542), + Trans(0, 54, 2, 542), + Trans(0, 55, 2, 542), + Trans(0, 56, 1, 539), + Trans(0, 63, 2, 542), + Trans(0, 67, 2, 542), + Trans(0, 68, 2, 542), + Trans(0, 74, 2, 542), + Trans(0, 78, 2, 542), + Trans(0, 81, 2, 542), + Trans(0, 84, 2, 542), + Trans(0, 87, 2, 542), + Trans(0, 94, 2, 542), + Trans(0, 95, 2, 542), + Trans(0, 107, 2, 542), + Trans(0, 108, 2, 542), + Trans(0, 109, 2, 542), ], k: 1, }, @@ -6424,16 +6444,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 538), - Trans(0, 54, 1, 537), - Trans(0, 63, 1, 537), - Trans(0, 67, 1, 537), - Trans(0, 68, 1, 537), - Trans(0, 77, 1, 537), - Trans(0, 93, 1, 537), - Trans(0, 94, 1, 537), - Trans(0, 107, 1, 537), - Trans(0, 108, 1, 537), + Trans(0, 42, 2, 541), + Trans(0, 54, 1, 540), + Trans(0, 63, 1, 540), + Trans(0, 67, 1, 540), + Trans(0, 68, 1, 540), + Trans(0, 78, 1, 540), + Trans(0, 94, 1, 540), + Trans(0, 95, 1, 540), + Trans(0, 108, 1, 540), + Trans(0, 109, 1, 540), ], k: 1, }, @@ -6445,13 +6465,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 263 - "IfResetToken" */ LookaheadDFA { - prod0: 170, + prod0: 171, transitions: &[], k: 0, }, /* 264 - "IfStatement" */ LookaheadDFA { - prod0: 518, + prod0: 521, transitions: &[], k: 0, }, @@ -6459,16 +6479,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 524), - Trans(0, 54, 1, 523), - Trans(0, 63, 1, 523), - Trans(0, 67, 1, 523), - Trans(0, 68, 1, 523), - Trans(0, 77, 1, 523), - Trans(0, 93, 1, 523), - Trans(0, 94, 1, 523), - Trans(0, 107, 1, 523), - Trans(0, 108, 1, 523), + Trans(0, 42, 2, 527), + Trans(0, 54, 1, 526), + Trans(0, 63, 1, 526), + Trans(0, 67, 1, 526), + Trans(0, 68, 1, 526), + Trans(0, 78, 1, 526), + Trans(0, 94, 1, 526), + Trans(0, 95, 1, 526), + Trans(0, 108, 1, 526), + Trans(0, 109, 1, 526), ], k: 1, }, @@ -6497,44 +6517,44 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 63, 13, -1), Trans(0, 67, 14, -1), Trans(0, 68, 11, -1), - Trans(0, 73, 11, -1), - Trans(0, 77, 13, -1), - Trans(0, 80, 5, -1), - Trans(0, 83, 5, -1), - Trans(0, 86, 11, -1), - Trans(0, 93, 15, -1), - Trans(0, 94, 16, -1), - Trans(0, 106, 13, -1), - Trans(0, 107, 17, -1), + Trans(0, 74, 11, -1), + Trans(0, 78, 13, -1), + Trans(0, 81, 5, -1), + Trans(0, 84, 5, -1), + Trans(0, 87, 11, -1), + Trans(0, 94, 15, -1), + Trans(0, 95, 16, -1), + Trans(0, 107, 13, -1), Trans(0, 108, 17, -1), + Trans(0, 109, 17, -1), Trans(1, 5, 4, -1), Trans(1, 38, 51, -1), Trans(1, 68, 2, -1), - Trans(2, 5, 3, 519), - Trans(2, 6, 3, 519), - Trans(2, 7, 3, 519), - Trans(2, 8, 3, 519), - Trans(2, 9, 3, 519), - Trans(2, 10, 3, 519), - Trans(2, 11, 3, 519), - Trans(2, 18, 3, 519), - Trans(2, 24, 3, 519), - Trans(2, 25, 3, 519), - Trans(2, 26, 3, 519), - Trans(2, 27, 3, 519), - Trans(2, 37, 3, 519), - Trans(2, 38, 3, 519), - Trans(2, 40, 3, 519), - Trans(2, 54, 3, 519), - Trans(2, 68, 3, 519), - Trans(2, 73, 3, 519), - Trans(2, 80, 3, 519), - Trans(2, 83, 3, 519), - Trans(2, 86, 3, 519), - Trans(2, 107, 3, 519), - Trans(2, 108, 3, 519), - Trans(4, 38, 34, 522), - Trans(4, 68, 3, 519), + Trans(2, 5, 3, 522), + Trans(2, 6, 3, 522), + Trans(2, 7, 3, 522), + Trans(2, 8, 3, 522), + Trans(2, 9, 3, 522), + Trans(2, 10, 3, 522), + Trans(2, 11, 3, 522), + Trans(2, 18, 3, 522), + Trans(2, 24, 3, 522), + Trans(2, 25, 3, 522), + Trans(2, 26, 3, 522), + Trans(2, 27, 3, 522), + Trans(2, 37, 3, 522), + Trans(2, 38, 3, 522), + Trans(2, 40, 3, 522), + Trans(2, 54, 3, 522), + Trans(2, 68, 3, 522), + Trans(2, 74, 3, 522), + Trans(2, 81, 3, 522), + Trans(2, 84, 3, 522), + Trans(2, 87, 3, 522), + Trans(2, 108, 3, 522), + Trans(2, 109, 3, 522), + Trans(4, 38, 34, 525), + Trans(4, 68, 3, 522), Trans(5, 5, 49, -1), Trans(5, 16, 20, -1), Trans(5, 17, 20, -1), @@ -6568,12 +6588,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(6, 40, 20, -1), Trans(6, 54, 20, -1), Trans(6, 68, 20, -1), - Trans(6, 73, 20, -1), - Trans(6, 80, 19, -1), - Trans(6, 83, 19, -1), - Trans(6, 86, 20, -1), - Trans(6, 107, 36, -1), + Trans(6, 74, 20, -1), + Trans(6, 81, 19, -1), + Trans(6, 84, 19, -1), + Trans(6, 87, 20, -1), Trans(6, 108, 36, -1), + Trans(6, 109, 36, -1), Trans(7, 5, 37, -1), Trans(7, 6, 38, -1), Trans(7, 7, 38, -1), @@ -6592,12 +6612,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(7, 54, 20, -1), Trans(7, 55, 28, -1), Trans(7, 68, 20, -1), - Trans(7, 73, 20, -1), - Trans(7, 80, 38, -1), - Trans(7, 83, 38, -1), - Trans(7, 86, 20, -1), - Trans(7, 107, 39, -1), + Trans(7, 74, 20, -1), + Trans(7, 81, 38, -1), + Trans(7, 84, 38, -1), + Trans(7, 87, 20, -1), Trans(7, 108, 39, -1), + Trans(7, 109, 39, -1), Trans(8, 5, 35, -1), Trans(8, 6, 38, -1), Trans(8, 7, 38, -1), @@ -6615,12 +6635,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(8, 40, 20, -1), Trans(8, 54, 20, -1), Trans(8, 68, 20, -1), - Trans(8, 73, 20, -1), - Trans(8, 80, 38, -1), - Trans(8, 83, 38, -1), - Trans(8, 86, 20, -1), - Trans(8, 107, 39, -1), + Trans(8, 74, 20, -1), + Trans(8, 81, 38, -1), + Trans(8, 84, 38, -1), + Trans(8, 87, 20, -1), Trans(8, 108, 39, -1), + Trans(8, 109, 39, -1), Trans(9, 5, 35, -1), Trans(9, 6, 40, -1), Trans(9, 7, 40, -1), @@ -6638,12 +6658,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(9, 40, 20, -1), Trans(9, 54, 20, -1), Trans(9, 68, 20, -1), - Trans(9, 73, 20, -1), - Trans(9, 80, 40, -1), - Trans(9, 83, 40, -1), - Trans(9, 86, 20, -1), - Trans(9, 107, 41, -1), + Trans(9, 74, 20, -1), + Trans(9, 81, 40, -1), + Trans(9, 84, 40, -1), + Trans(9, 87, 20, -1), Trans(9, 108, 41, -1), + Trans(9, 109, 41, -1), Trans(10, 5, 18, -1), Trans(10, 6, 19, -1), Trans(10, 7, 19, -1), @@ -6676,23 +6696,23 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(10, 67, 26, -1), Trans(10, 68, 20, -1), Trans(10, 69, 31, -1), - Trans(10, 70, 26, -1), - Trans(10, 73, 20, -1), - Trans(10, 74, 21, -1), - Trans(10, 77, 21, -1), + Trans(10, 71, 26, -1), + Trans(10, 74, 20, -1), + Trans(10, 75, 21, -1), Trans(10, 78, 21, -1), - Trans(10, 80, 19, -1), - Trans(10, 81, 21, -1), - Trans(10, 83, 19, -1), - Trans(10, 86, 20, -1), - Trans(10, 93, 20, -1), - Trans(10, 94, 32, -1), - Trans(10, 98, 21, -1), - Trans(10, 102, 21, -1), - Trans(10, 105, 21, -1), + Trans(10, 79, 21, -1), + Trans(10, 81, 19, -1), + Trans(10, 82, 21, -1), + Trans(10, 84, 19, -1), + Trans(10, 87, 20, -1), + Trans(10, 94, 20, -1), + Trans(10, 95, 32, -1), + Trans(10, 99, 21, -1), + Trans(10, 103, 21, -1), Trans(10, 106, 21, -1), - Trans(10, 107, 33, -1), + Trans(10, 107, 21, -1), Trans(10, 108, 33, -1), + Trans(10, 109, 33, -1), Trans(11, 5, 35, -1), Trans(11, 6, 42, -1), Trans(11, 7, 42, -1), @@ -6710,16 +6730,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(11, 40, 20, -1), Trans(11, 54, 20, -1), Trans(11, 68, 20, -1), - Trans(11, 73, 20, -1), - Trans(11, 80, 42, -1), - Trans(11, 83, 42, -1), - Trans(11, 86, 20, -1), - Trans(11, 107, 43, -1), + Trans(11, 74, 20, -1), + Trans(11, 81, 42, -1), + Trans(11, 84, 42, -1), + Trans(11, 87, 20, -1), Trans(11, 108, 43, -1), + Trans(11, 109, 43, -1), Trans(12, 5, 50, -1), Trans(12, 29, 47, -1), Trans(13, 5, 55, -1), - Trans(13, 108, 28, -1), + Trans(13, 109, 28, -1), Trans(14, 5, 52, -1), Trans(14, 38, 51, -1), Trans(15, 5, 35, -1), @@ -6739,12 +6759,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(15, 40, 20, -1), Trans(15, 54, 20, -1), Trans(15, 68, 20, -1), - Trans(15, 73, 20, -1), - Trans(15, 80, 44, -1), - Trans(15, 83, 44, -1), - Trans(15, 86, 20, -1), - Trans(15, 107, 45, -1), + Trans(15, 74, 20, -1), + Trans(15, 81, 44, -1), + Trans(15, 84, 44, -1), + Trans(15, 87, 20, -1), Trans(15, 108, 45, -1), + Trans(15, 109, 45, -1), Trans(16, 5, 53, -1), Trans(16, 45, 54, -1), Trans(17, 5, 46, -1), @@ -6769,563 +6789,564 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(17, 40, 48, -1), Trans(17, 46, 20, -1), Trans(17, 52, 31, -1), - Trans(18, 6, 34, 522), - Trans(18, 7, 34, 522), - Trans(18, 8, 34, 522), - Trans(18, 9, 34, 522), - Trans(18, 10, 34, 522), - Trans(18, 11, 34, 522), - Trans(18, 18, 34, 522), - Trans(18, 24, 34, 522), - Trans(18, 25, 34, 522), - Trans(18, 26, 34, 522), - Trans(18, 27, 34, 522), - Trans(18, 29, 34, 522), - Trans(18, 35, 34, 522), - Trans(18, 37, 34, 522), - Trans(18, 38, 34, 522), - Trans(18, 40, 34, 522), - Trans(18, 42, 34, 522), - Trans(18, 47, 34, 522), - Trans(18, 48, 34, 522), - Trans(18, 49, 34, 522), - Trans(18, 54, 34, 522), - Trans(18, 55, 34, 522), - Trans(18, 56, 34, 522), - Trans(18, 58, 34, 522), - Trans(18, 59, 34, 522), - Trans(18, 62, 34, 522), - Trans(18, 63, 34, 522), - Trans(18, 64, 34, 522), - Trans(18, 67, 34, 522), - Trans(18, 68, 34, 522), - Trans(18, 69, 34, 522), - Trans(18, 70, 34, 522), - Trans(18, 73, 34, 522), - Trans(18, 74, 34, 522), - Trans(18, 77, 34, 522), - Trans(18, 78, 34, 522), - Trans(18, 80, 34, 522), - Trans(18, 81, 34, 522), - Trans(18, 83, 34, 522), - Trans(18, 86, 34, 522), - Trans(18, 93, 34, 522), - Trans(18, 94, 34, 522), - Trans(18, 98, 34, 522), - Trans(18, 102, 34, 522), - Trans(18, 105, 34, 522), - Trans(18, 106, 34, 522), - Trans(18, 107, 34, 522), - Trans(18, 108, 34, 522), - Trans(19, 5, 34, 522), - Trans(19, 16, 34, 522), - Trans(19, 17, 34, 522), - Trans(19, 18, 34, 522), - Trans(19, 19, 34, 522), - Trans(19, 20, 34, 522), - Trans(19, 21, 34, 522), - Trans(19, 22, 34, 522), - Trans(19, 23, 34, 522), - Trans(19, 24, 34, 522), - Trans(19, 25, 34, 522), - Trans(19, 26, 34, 522), - Trans(19, 29, 34, 522), - Trans(19, 30, 34, 522), - Trans(19, 46, 34, 522), - Trans(19, 52, 34, 522), - Trans(20, 5, 34, 522), - Trans(20, 6, 34, 522), - Trans(20, 7, 34, 522), - Trans(20, 8, 34, 522), - Trans(20, 9, 34, 522), - Trans(20, 10, 34, 522), - Trans(20, 11, 34, 522), - Trans(20, 18, 34, 522), - Trans(20, 24, 34, 522), - Trans(20, 25, 34, 522), - Trans(20, 26, 34, 522), - Trans(20, 27, 34, 522), - Trans(20, 37, 34, 522), - Trans(20, 38, 34, 522), - Trans(20, 40, 34, 522), - Trans(20, 54, 34, 522), - Trans(20, 68, 34, 522), - Trans(20, 73, 34, 522), - Trans(20, 80, 34, 522), - Trans(20, 83, 34, 522), - Trans(20, 86, 34, 522), - Trans(20, 107, 34, 522), - Trans(20, 108, 34, 522), - Trans(21, 5, 34, 522), - Trans(21, 108, 34, 522), - Trans(22, 5, 34, 522), - Trans(22, 39, 34, 522), - Trans(23, 5, 34, 522), - Trans(23, 6, 34, 522), - Trans(23, 7, 34, 522), - Trans(23, 8, 34, 522), - Trans(23, 9, 34, 522), - Trans(23, 10, 34, 522), - Trans(23, 11, 34, 522), - Trans(23, 18, 34, 522), - Trans(23, 24, 34, 522), - Trans(23, 25, 34, 522), - Trans(23, 26, 34, 522), - Trans(23, 27, 34, 522), - Trans(23, 37, 34, 522), - Trans(23, 38, 34, 522), - Trans(23, 40, 34, 522), - Trans(23, 54, 34, 522), - Trans(23, 55, 34, 522), - Trans(23, 68, 34, 522), - Trans(23, 73, 34, 522), - Trans(23, 80, 34, 522), - Trans(23, 83, 34, 522), - Trans(23, 86, 34, 522), - Trans(23, 107, 34, 522), - Trans(23, 108, 34, 522), - Trans(24, 5, 34, 522), - Trans(24, 6, 34, 522), - Trans(24, 7, 34, 522), - Trans(24, 8, 34, 522), - Trans(24, 9, 34, 522), - Trans(24, 10, 34, 522), - Trans(24, 11, 34, 522), - Trans(24, 18, 34, 522), - Trans(24, 24, 34, 522), - Trans(24, 25, 34, 522), - Trans(24, 26, 34, 522), - Trans(24, 27, 34, 522), - Trans(24, 29, 34, 522), - Trans(24, 35, 34, 522), - Trans(24, 37, 34, 522), - Trans(24, 38, 34, 522), - Trans(24, 40, 34, 522), - Trans(24, 42, 34, 522), - Trans(24, 47, 34, 522), - Trans(24, 48, 34, 522), - Trans(24, 49, 34, 522), - Trans(24, 54, 34, 522), - Trans(24, 58, 34, 522), - Trans(24, 59, 34, 522), - Trans(24, 62, 34, 522), - Trans(24, 63, 34, 522), - Trans(24, 64, 34, 522), - Trans(24, 68, 34, 522), - Trans(24, 69, 34, 522), - Trans(24, 70, 34, 522), - Trans(24, 73, 34, 522), - Trans(24, 74, 34, 522), - Trans(24, 77, 34, 522), - Trans(24, 78, 34, 522), - Trans(24, 80, 34, 522), - Trans(24, 81, 34, 522), - Trans(24, 83, 34, 522), - Trans(24, 86, 34, 522), - Trans(24, 98, 34, 522), - Trans(24, 102, 34, 522), - Trans(24, 105, 34, 522), - Trans(24, 106, 34, 522), - Trans(24, 107, 34, 522), - Trans(24, 108, 34, 522), - Trans(25, 0, 34, 522), - Trans(25, 5, 34, 522), - Trans(25, 6, 34, 522), - Trans(25, 7, 34, 522), - Trans(25, 8, 34, 522), - Trans(25, 9, 34, 522), - Trans(25, 10, 34, 522), - Trans(25, 11, 34, 522), - Trans(25, 18, 34, 522), - Trans(25, 24, 34, 522), - Trans(25, 25, 34, 522), - Trans(25, 26, 34, 522), - Trans(25, 27, 34, 522), - Trans(25, 29, 34, 522), - Trans(25, 35, 34, 522), - Trans(25, 37, 34, 522), - Trans(25, 38, 34, 522), - Trans(25, 40, 34, 522), - Trans(25, 42, 34, 522), - Trans(25, 47, 34, 522), - Trans(25, 48, 34, 522), - Trans(25, 49, 34, 522), - Trans(25, 54, 34, 522), - Trans(25, 55, 34, 522), - Trans(25, 56, 34, 522), - Trans(25, 57, 34, 522), - Trans(25, 58, 34, 522), - Trans(25, 59, 34, 522), - Trans(25, 62, 34, 522), - Trans(25, 63, 34, 522), - Trans(25, 64, 34, 522), - Trans(25, 67, 34, 522), - Trans(25, 68, 34, 522), - Trans(25, 69, 34, 522), - Trans(25, 70, 34, 522), - Trans(25, 73, 34, 522), - Trans(25, 74, 34, 522), - Trans(25, 75, 34, 522), - Trans(25, 77, 34, 522), - Trans(25, 78, 34, 522), - Trans(25, 80, 34, 522), - Trans(25, 81, 34, 522), - Trans(25, 82, 34, 522), - Trans(25, 83, 34, 522), - Trans(25, 86, 34, 522), - Trans(25, 87, 34, 522), - Trans(25, 90, 34, 522), - Trans(25, 93, 34, 522), - Trans(25, 94, 34, 522), - Trans(25, 98, 34, 522), - Trans(25, 102, 34, 522), - Trans(25, 105, 34, 522), - Trans(25, 106, 34, 522), - Trans(25, 107, 34, 522), - Trans(25, 108, 34, 522), - Trans(26, 5, 34, 522), - Trans(26, 38, 34, 522), - Trans(27, 5, 34, 522), - Trans(27, 40, 34, 522), - Trans(28, 5, 34, 522), - Trans(28, 29, 34, 522), - Trans(29, 5, 34, 522), - Trans(29, 38, 34, 522), - Trans(29, 68, 34, 522), - Trans(30, 5, 34, 522), - Trans(30, 46, 34, 522), - Trans(30, 107, 34, 522), - Trans(30, 108, 34, 522), - Trans(31, 5, 34, 522), - Trans(31, 107, 34, 522), - Trans(31, 108, 34, 522), - Trans(32, 5, 34, 522), - Trans(32, 45, 34, 522), - Trans(33, 5, 34, 522), - Trans(33, 15, 34, 522), - Trans(33, 16, 34, 522), - Trans(33, 17, 34, 522), - Trans(33, 18, 34, 522), - Trans(33, 19, 34, 522), - Trans(33, 20, 34, 522), - Trans(33, 21, 34, 522), - Trans(33, 22, 34, 522), - Trans(33, 23, 34, 522), - Trans(33, 24, 34, 522), - Trans(33, 25, 34, 522), - Trans(33, 26, 34, 522), - Trans(33, 28, 34, 522), - Trans(33, 29, 34, 522), - Trans(33, 30, 34, 522), - Trans(33, 33, 34, 522), - Trans(33, 34, 34, 522), - Trans(33, 39, 34, 522), - Trans(33, 40, 34, 522), - Trans(33, 46, 34, 522), - Trans(33, 52, 34, 522), - Trans(35, 6, 34, 522), - Trans(35, 7, 34, 522), - Trans(35, 8, 34, 522), - Trans(35, 9, 34, 522), - Trans(35, 10, 34, 522), - Trans(35, 11, 34, 522), - Trans(35, 18, 34, 522), - Trans(35, 24, 34, 522), - Trans(35, 25, 34, 522), - Trans(35, 26, 34, 522), - Trans(35, 27, 34, 522), - Trans(35, 37, 34, 522), - Trans(35, 38, 34, 522), - Trans(35, 40, 34, 522), - Trans(35, 54, 34, 522), - Trans(35, 68, 34, 522), - Trans(35, 73, 34, 522), - Trans(35, 80, 34, 522), - Trans(35, 83, 34, 522), - Trans(35, 86, 34, 522), - Trans(35, 107, 34, 522), - Trans(35, 108, 34, 522), - Trans(36, 5, 34, 522), - Trans(36, 16, 34, 522), - Trans(36, 17, 34, 522), - Trans(36, 18, 34, 522), - Trans(36, 19, 34, 522), - Trans(36, 20, 34, 522), - Trans(36, 21, 34, 522), - Trans(36, 22, 34, 522), - Trans(36, 23, 34, 522), - Trans(36, 24, 34, 522), - Trans(36, 25, 34, 522), - Trans(36, 26, 34, 522), - Trans(36, 28, 34, 522), - Trans(36, 29, 34, 522), - Trans(36, 30, 34, 522), - Trans(36, 33, 34, 522), - Trans(36, 39, 34, 522), - Trans(36, 40, 34, 522), - Trans(36, 46, 34, 522), - Trans(36, 52, 34, 522), - Trans(37, 6, 34, 522), - Trans(37, 7, 34, 522), - Trans(37, 8, 34, 522), - Trans(37, 9, 34, 522), - Trans(37, 10, 34, 522), - Trans(37, 11, 34, 522), - Trans(37, 18, 34, 522), - Trans(37, 24, 34, 522), - Trans(37, 25, 34, 522), - Trans(37, 26, 34, 522), - Trans(37, 27, 34, 522), - Trans(37, 37, 34, 522), - Trans(37, 38, 34, 522), - Trans(37, 40, 34, 522), - Trans(37, 54, 34, 522), - Trans(37, 55, 34, 522), - Trans(37, 68, 34, 522), - Trans(37, 73, 34, 522), - Trans(37, 80, 34, 522), - Trans(37, 83, 34, 522), - Trans(37, 86, 34, 522), - Trans(37, 107, 34, 522), - Trans(37, 108, 34, 522), - Trans(38, 5, 34, 522), - Trans(38, 16, 34, 522), - Trans(38, 17, 34, 522), - Trans(38, 18, 34, 522), - Trans(38, 19, 34, 522), - Trans(38, 20, 34, 522), - Trans(38, 21, 34, 522), - Trans(38, 22, 34, 522), - Trans(38, 23, 34, 522), - Trans(38, 24, 34, 522), - Trans(38, 25, 34, 522), - Trans(38, 26, 34, 522), - Trans(38, 30, 34, 522), - Trans(38, 42, 34, 522), - Trans(38, 46, 34, 522), - Trans(38, 52, 34, 522), - Trans(38, 92, 34, 522), - Trans(39, 5, 34, 522), - Trans(39, 16, 34, 522), - Trans(39, 17, 34, 522), - Trans(39, 18, 34, 522), - Trans(39, 19, 34, 522), - Trans(39, 20, 34, 522), - Trans(39, 21, 34, 522), - Trans(39, 22, 34, 522), - Trans(39, 23, 34, 522), - Trans(39, 24, 34, 522), - Trans(39, 25, 34, 522), - Trans(39, 26, 34, 522), - Trans(39, 28, 34, 522), - Trans(39, 30, 34, 522), - Trans(39, 33, 34, 522), - Trans(39, 39, 34, 522), - Trans(39, 40, 34, 522), - Trans(39, 42, 34, 522), - Trans(39, 46, 34, 522), - Trans(39, 52, 34, 522), - Trans(39, 92, 34, 522), - Trans(40, 5, 34, 522), - Trans(40, 16, 34, 522), - Trans(40, 17, 34, 522), - Trans(40, 18, 34, 522), - Trans(40, 19, 34, 522), - Trans(40, 20, 34, 522), - Trans(40, 21, 34, 522), - Trans(40, 22, 34, 522), - Trans(40, 23, 34, 522), - Trans(40, 24, 34, 522), - Trans(40, 25, 34, 522), - Trans(40, 26, 34, 522), - Trans(40, 44, 34, 522), - Trans(40, 46, 34, 522), - Trans(40, 52, 34, 522), - Trans(41, 5, 34, 522), - Trans(41, 16, 34, 522), - Trans(41, 17, 34, 522), - Trans(41, 18, 34, 522), - Trans(41, 19, 34, 522), - Trans(41, 20, 34, 522), - Trans(41, 21, 34, 522), - Trans(41, 22, 34, 522), - Trans(41, 23, 34, 522), - Trans(41, 24, 34, 522), - Trans(41, 25, 34, 522), - Trans(41, 26, 34, 522), - Trans(41, 28, 34, 522), - Trans(41, 33, 34, 522), - Trans(41, 39, 34, 522), - Trans(41, 40, 34, 522), - Trans(41, 44, 34, 522), - Trans(41, 46, 34, 522), - Trans(41, 52, 34, 522), - Trans(42, 5, 34, 522), - Trans(42, 16, 34, 522), - Trans(42, 17, 34, 522), - Trans(42, 18, 34, 522), - Trans(42, 19, 34, 522), - Trans(42, 20, 34, 522), - Trans(42, 21, 34, 522), - Trans(42, 22, 34, 522), - Trans(42, 23, 34, 522), - Trans(42, 24, 34, 522), - Trans(42, 25, 34, 522), - Trans(42, 26, 34, 522), - Trans(42, 38, 34, 522), - Trans(42, 46, 34, 522), - Trans(42, 52, 34, 522), - Trans(43, 5, 34, 522), - Trans(43, 16, 34, 522), - Trans(43, 17, 34, 522), - Trans(43, 18, 34, 522), - Trans(43, 19, 34, 522), - Trans(43, 20, 34, 522), - Trans(43, 21, 34, 522), - Trans(43, 22, 34, 522), - Trans(43, 23, 34, 522), - Trans(43, 24, 34, 522), - Trans(43, 25, 34, 522), - Trans(43, 26, 34, 522), - Trans(43, 28, 34, 522), - Trans(43, 33, 34, 522), - Trans(43, 38, 34, 522), - Trans(43, 39, 34, 522), - Trans(43, 40, 34, 522), - Trans(43, 46, 34, 522), - Trans(43, 52, 34, 522), - Trans(44, 5, 34, 522), - Trans(44, 16, 34, 522), - Trans(44, 17, 34, 522), - Trans(44, 18, 34, 522), - Trans(44, 19, 34, 522), - Trans(44, 20, 34, 522), - Trans(44, 21, 34, 522), - Trans(44, 22, 34, 522), - Trans(44, 23, 34, 522), - Trans(44, 24, 34, 522), - Trans(44, 25, 34, 522), - Trans(44, 26, 34, 522), - Trans(44, 45, 34, 522), - Trans(44, 46, 34, 522), - Trans(44, 52, 34, 522), - Trans(45, 5, 34, 522), - Trans(45, 16, 34, 522), - Trans(45, 17, 34, 522), - Trans(45, 18, 34, 522), - Trans(45, 19, 34, 522), - Trans(45, 20, 34, 522), - Trans(45, 21, 34, 522), - Trans(45, 22, 34, 522), - Trans(45, 23, 34, 522), - Trans(45, 24, 34, 522), - Trans(45, 25, 34, 522), - Trans(45, 26, 34, 522), - Trans(45, 28, 34, 522), - Trans(45, 33, 34, 522), - Trans(45, 39, 34, 522), - Trans(45, 40, 34, 522), - Trans(45, 45, 34, 522), - Trans(45, 46, 34, 522), - Trans(45, 52, 34, 522), - Trans(46, 15, 34, 522), - Trans(46, 16, 34, 522), - Trans(46, 17, 34, 522), - Trans(46, 18, 34, 522), - Trans(46, 19, 34, 522), - Trans(46, 20, 34, 522), - Trans(46, 21, 34, 522), - Trans(46, 22, 34, 522), - Trans(46, 23, 34, 522), - Trans(46, 24, 34, 522), - Trans(46, 25, 34, 522), - Trans(46, 26, 34, 522), - Trans(46, 28, 34, 522), - Trans(46, 29, 34, 522), - Trans(46, 30, 34, 522), - Trans(46, 33, 34, 522), - Trans(46, 34, 34, 522), - Trans(46, 39, 34, 522), - Trans(46, 40, 34, 522), - Trans(46, 46, 34, 522), - Trans(46, 52, 34, 522), - Trans(47, 5, 34, 522), - Trans(47, 38, 34, 522), - Trans(47, 54, 34, 522), - Trans(47, 63, 34, 522), - Trans(47, 67, 34, 522), - Trans(47, 68, 34, 522), - Trans(47, 77, 34, 522), - Trans(47, 93, 34, 522), - Trans(47, 94, 34, 522), - Trans(47, 107, 34, 522), - Trans(47, 108, 34, 522), - Trans(48, 5, 34, 522), - Trans(48, 6, 34, 522), - Trans(48, 7, 34, 522), - Trans(48, 8, 34, 522), - Trans(48, 9, 34, 522), - Trans(48, 10, 34, 522), - Trans(48, 11, 34, 522), - Trans(48, 18, 34, 522), - Trans(48, 24, 34, 522), - Trans(48, 25, 34, 522), - Trans(48, 26, 34, 522), - Trans(48, 27, 34, 522), - Trans(48, 37, 34, 522), - Trans(48, 38, 34, 522), - Trans(48, 40, 34, 522), - Trans(48, 44, 34, 522), - Trans(48, 54, 34, 522), - Trans(48, 68, 34, 522), - Trans(48, 73, 34, 522), - Trans(48, 80, 34, 522), - Trans(48, 83, 34, 522), - Trans(48, 86, 34, 522), - Trans(48, 107, 34, 522), - Trans(48, 108, 34, 522), - Trans(49, 16, 34, 522), - Trans(49, 17, 34, 522), - Trans(49, 18, 34, 522), - Trans(49, 19, 34, 522), - Trans(49, 20, 34, 522), - Trans(49, 21, 34, 522), - Trans(49, 22, 34, 522), - Trans(49, 23, 34, 522), - Trans(49, 24, 34, 522), - Trans(49, 25, 34, 522), - Trans(49, 26, 34, 522), - Trans(49, 29, 34, 522), - Trans(49, 30, 34, 522), - Trans(49, 46, 34, 522), - Trans(49, 52, 34, 522), - Trans(50, 29, 34, 522), - Trans(51, 5, 34, 522), - Trans(51, 42, 34, 522), - Trans(51, 54, 34, 522), - Trans(51, 63, 34, 522), - Trans(51, 67, 34, 522), - Trans(51, 68, 34, 522), - Trans(51, 77, 34, 522), - Trans(51, 93, 34, 522), - Trans(51, 94, 34, 522), - Trans(51, 107, 34, 522), - Trans(51, 108, 34, 522), - Trans(52, 38, 34, 522), - Trans(53, 45, 34, 522), - Trans(54, 5, 34, 522), - Trans(54, 42, 34, 522), - Trans(54, 54, 34, 522), - Trans(54, 63, 34, 522), - Trans(54, 67, 34, 522), - Trans(54, 68, 34, 522), - Trans(54, 77, 34, 522), - Trans(54, 93, 34, 522), - Trans(54, 94, 34, 522), - Trans(54, 106, 34, 522), - Trans(54, 107, 34, 522), - Trans(54, 108, 34, 522), - Trans(55, 108, 34, 522), + Trans(18, 6, 34, 525), + Trans(18, 7, 34, 525), + Trans(18, 8, 34, 525), + Trans(18, 9, 34, 525), + Trans(18, 10, 34, 525), + Trans(18, 11, 34, 525), + Trans(18, 18, 34, 525), + Trans(18, 24, 34, 525), + Trans(18, 25, 34, 525), + Trans(18, 26, 34, 525), + Trans(18, 27, 34, 525), + Trans(18, 29, 34, 525), + Trans(18, 35, 34, 525), + Trans(18, 37, 34, 525), + Trans(18, 38, 34, 525), + Trans(18, 40, 34, 525), + Trans(18, 42, 34, 525), + Trans(18, 47, 34, 525), + Trans(18, 48, 34, 525), + Trans(18, 49, 34, 525), + Trans(18, 54, 34, 525), + Trans(18, 55, 34, 525), + Trans(18, 56, 34, 525), + Trans(18, 58, 34, 525), + Trans(18, 59, 34, 525), + Trans(18, 62, 34, 525), + Trans(18, 63, 34, 525), + Trans(18, 64, 34, 525), + Trans(18, 67, 34, 525), + Trans(18, 68, 34, 525), + Trans(18, 69, 34, 525), + Trans(18, 71, 34, 525), + Trans(18, 74, 34, 525), + Trans(18, 75, 34, 525), + Trans(18, 78, 34, 525), + Trans(18, 79, 34, 525), + Trans(18, 81, 34, 525), + Trans(18, 82, 34, 525), + Trans(18, 84, 34, 525), + Trans(18, 87, 34, 525), + Trans(18, 94, 34, 525), + Trans(18, 95, 34, 525), + Trans(18, 99, 34, 525), + Trans(18, 103, 34, 525), + Trans(18, 106, 34, 525), + Trans(18, 107, 34, 525), + Trans(18, 108, 34, 525), + Trans(18, 109, 34, 525), + Trans(19, 5, 34, 525), + Trans(19, 16, 34, 525), + Trans(19, 17, 34, 525), + Trans(19, 18, 34, 525), + Trans(19, 19, 34, 525), + Trans(19, 20, 34, 525), + Trans(19, 21, 34, 525), + Trans(19, 22, 34, 525), + Trans(19, 23, 34, 525), + Trans(19, 24, 34, 525), + Trans(19, 25, 34, 525), + Trans(19, 26, 34, 525), + Trans(19, 29, 34, 525), + Trans(19, 30, 34, 525), + Trans(19, 46, 34, 525), + Trans(19, 52, 34, 525), + Trans(20, 5, 34, 525), + Trans(20, 6, 34, 525), + Trans(20, 7, 34, 525), + Trans(20, 8, 34, 525), + Trans(20, 9, 34, 525), + Trans(20, 10, 34, 525), + Trans(20, 11, 34, 525), + Trans(20, 18, 34, 525), + Trans(20, 24, 34, 525), + Trans(20, 25, 34, 525), + Trans(20, 26, 34, 525), + Trans(20, 27, 34, 525), + Trans(20, 37, 34, 525), + Trans(20, 38, 34, 525), + Trans(20, 40, 34, 525), + Trans(20, 54, 34, 525), + Trans(20, 68, 34, 525), + Trans(20, 74, 34, 525), + Trans(20, 81, 34, 525), + Trans(20, 84, 34, 525), + Trans(20, 87, 34, 525), + Trans(20, 108, 34, 525), + Trans(20, 109, 34, 525), + Trans(21, 5, 34, 525), + Trans(21, 109, 34, 525), + Trans(22, 5, 34, 525), + Trans(22, 39, 34, 525), + Trans(23, 5, 34, 525), + Trans(23, 6, 34, 525), + Trans(23, 7, 34, 525), + Trans(23, 8, 34, 525), + Trans(23, 9, 34, 525), + Trans(23, 10, 34, 525), + Trans(23, 11, 34, 525), + Trans(23, 18, 34, 525), + Trans(23, 24, 34, 525), + Trans(23, 25, 34, 525), + Trans(23, 26, 34, 525), + Trans(23, 27, 34, 525), + Trans(23, 37, 34, 525), + Trans(23, 38, 34, 525), + Trans(23, 40, 34, 525), + Trans(23, 54, 34, 525), + Trans(23, 55, 34, 525), + Trans(23, 68, 34, 525), + Trans(23, 74, 34, 525), + Trans(23, 81, 34, 525), + Trans(23, 84, 34, 525), + Trans(23, 87, 34, 525), + Trans(23, 108, 34, 525), + Trans(23, 109, 34, 525), + Trans(24, 5, 34, 525), + Trans(24, 6, 34, 525), + Trans(24, 7, 34, 525), + Trans(24, 8, 34, 525), + Trans(24, 9, 34, 525), + Trans(24, 10, 34, 525), + Trans(24, 11, 34, 525), + Trans(24, 18, 34, 525), + Trans(24, 24, 34, 525), + Trans(24, 25, 34, 525), + Trans(24, 26, 34, 525), + Trans(24, 27, 34, 525), + Trans(24, 29, 34, 525), + Trans(24, 35, 34, 525), + Trans(24, 37, 34, 525), + Trans(24, 38, 34, 525), + Trans(24, 40, 34, 525), + Trans(24, 42, 34, 525), + Trans(24, 47, 34, 525), + Trans(24, 48, 34, 525), + Trans(24, 49, 34, 525), + Trans(24, 54, 34, 525), + Trans(24, 58, 34, 525), + Trans(24, 59, 34, 525), + Trans(24, 62, 34, 525), + Trans(24, 63, 34, 525), + Trans(24, 64, 34, 525), + Trans(24, 68, 34, 525), + Trans(24, 69, 34, 525), + Trans(24, 71, 34, 525), + Trans(24, 74, 34, 525), + Trans(24, 75, 34, 525), + Trans(24, 78, 34, 525), + Trans(24, 79, 34, 525), + Trans(24, 81, 34, 525), + Trans(24, 82, 34, 525), + Trans(24, 84, 34, 525), + Trans(24, 87, 34, 525), + Trans(24, 99, 34, 525), + Trans(24, 103, 34, 525), + Trans(24, 106, 34, 525), + Trans(24, 107, 34, 525), + Trans(24, 108, 34, 525), + Trans(24, 109, 34, 525), + Trans(25, 0, 34, 525), + Trans(25, 5, 34, 525), + Trans(25, 6, 34, 525), + Trans(25, 7, 34, 525), + Trans(25, 8, 34, 525), + Trans(25, 9, 34, 525), + Trans(25, 10, 34, 525), + Trans(25, 11, 34, 525), + Trans(25, 18, 34, 525), + Trans(25, 24, 34, 525), + Trans(25, 25, 34, 525), + Trans(25, 26, 34, 525), + Trans(25, 27, 34, 525), + Trans(25, 29, 34, 525), + Trans(25, 35, 34, 525), + Trans(25, 37, 34, 525), + Trans(25, 38, 34, 525), + Trans(25, 40, 34, 525), + Trans(25, 42, 34, 525), + Trans(25, 47, 34, 525), + Trans(25, 48, 34, 525), + Trans(25, 49, 34, 525), + Trans(25, 54, 34, 525), + Trans(25, 55, 34, 525), + Trans(25, 56, 34, 525), + Trans(25, 57, 34, 525), + Trans(25, 58, 34, 525), + Trans(25, 59, 34, 525), + Trans(25, 62, 34, 525), + Trans(25, 63, 34, 525), + Trans(25, 64, 34, 525), + Trans(25, 67, 34, 525), + Trans(25, 68, 34, 525), + Trans(25, 69, 34, 525), + Trans(25, 70, 34, 525), + Trans(25, 71, 34, 525), + Trans(25, 74, 34, 525), + Trans(25, 75, 34, 525), + Trans(25, 76, 34, 525), + Trans(25, 78, 34, 525), + Trans(25, 79, 34, 525), + Trans(25, 81, 34, 525), + Trans(25, 82, 34, 525), + Trans(25, 83, 34, 525), + Trans(25, 84, 34, 525), + Trans(25, 87, 34, 525), + Trans(25, 88, 34, 525), + Trans(25, 91, 34, 525), + Trans(25, 94, 34, 525), + Trans(25, 95, 34, 525), + Trans(25, 99, 34, 525), + Trans(25, 103, 34, 525), + Trans(25, 106, 34, 525), + Trans(25, 107, 34, 525), + Trans(25, 108, 34, 525), + Trans(25, 109, 34, 525), + Trans(26, 5, 34, 525), + Trans(26, 38, 34, 525), + Trans(27, 5, 34, 525), + Trans(27, 40, 34, 525), + Trans(28, 5, 34, 525), + Trans(28, 29, 34, 525), + Trans(29, 5, 34, 525), + Trans(29, 38, 34, 525), + Trans(29, 68, 34, 525), + Trans(30, 5, 34, 525), + Trans(30, 46, 34, 525), + Trans(30, 108, 34, 525), + Trans(30, 109, 34, 525), + Trans(31, 5, 34, 525), + Trans(31, 108, 34, 525), + Trans(31, 109, 34, 525), + Trans(32, 5, 34, 525), + Trans(32, 45, 34, 525), + Trans(33, 5, 34, 525), + Trans(33, 15, 34, 525), + Trans(33, 16, 34, 525), + Trans(33, 17, 34, 525), + Trans(33, 18, 34, 525), + Trans(33, 19, 34, 525), + Trans(33, 20, 34, 525), + Trans(33, 21, 34, 525), + Trans(33, 22, 34, 525), + Trans(33, 23, 34, 525), + Trans(33, 24, 34, 525), + Trans(33, 25, 34, 525), + Trans(33, 26, 34, 525), + Trans(33, 28, 34, 525), + Trans(33, 29, 34, 525), + Trans(33, 30, 34, 525), + Trans(33, 33, 34, 525), + Trans(33, 34, 34, 525), + Trans(33, 39, 34, 525), + Trans(33, 40, 34, 525), + Trans(33, 46, 34, 525), + Trans(33, 52, 34, 525), + Trans(35, 6, 34, 525), + Trans(35, 7, 34, 525), + Trans(35, 8, 34, 525), + Trans(35, 9, 34, 525), + Trans(35, 10, 34, 525), + Trans(35, 11, 34, 525), + Trans(35, 18, 34, 525), + Trans(35, 24, 34, 525), + Trans(35, 25, 34, 525), + Trans(35, 26, 34, 525), + Trans(35, 27, 34, 525), + Trans(35, 37, 34, 525), + Trans(35, 38, 34, 525), + Trans(35, 40, 34, 525), + Trans(35, 54, 34, 525), + Trans(35, 68, 34, 525), + Trans(35, 74, 34, 525), + Trans(35, 81, 34, 525), + Trans(35, 84, 34, 525), + Trans(35, 87, 34, 525), + Trans(35, 108, 34, 525), + Trans(35, 109, 34, 525), + Trans(36, 5, 34, 525), + Trans(36, 16, 34, 525), + Trans(36, 17, 34, 525), + Trans(36, 18, 34, 525), + Trans(36, 19, 34, 525), + Trans(36, 20, 34, 525), + Trans(36, 21, 34, 525), + Trans(36, 22, 34, 525), + Trans(36, 23, 34, 525), + Trans(36, 24, 34, 525), + Trans(36, 25, 34, 525), + Trans(36, 26, 34, 525), + Trans(36, 28, 34, 525), + Trans(36, 29, 34, 525), + Trans(36, 30, 34, 525), + Trans(36, 33, 34, 525), + Trans(36, 39, 34, 525), + Trans(36, 40, 34, 525), + Trans(36, 46, 34, 525), + Trans(36, 52, 34, 525), + Trans(37, 6, 34, 525), + Trans(37, 7, 34, 525), + Trans(37, 8, 34, 525), + Trans(37, 9, 34, 525), + Trans(37, 10, 34, 525), + Trans(37, 11, 34, 525), + Trans(37, 18, 34, 525), + Trans(37, 24, 34, 525), + Trans(37, 25, 34, 525), + Trans(37, 26, 34, 525), + Trans(37, 27, 34, 525), + Trans(37, 37, 34, 525), + Trans(37, 38, 34, 525), + Trans(37, 40, 34, 525), + Trans(37, 54, 34, 525), + Trans(37, 55, 34, 525), + Trans(37, 68, 34, 525), + Trans(37, 74, 34, 525), + Trans(37, 81, 34, 525), + Trans(37, 84, 34, 525), + Trans(37, 87, 34, 525), + Trans(37, 108, 34, 525), + Trans(37, 109, 34, 525), + Trans(38, 5, 34, 525), + Trans(38, 16, 34, 525), + Trans(38, 17, 34, 525), + Trans(38, 18, 34, 525), + Trans(38, 19, 34, 525), + Trans(38, 20, 34, 525), + Trans(38, 21, 34, 525), + Trans(38, 22, 34, 525), + Trans(38, 23, 34, 525), + Trans(38, 24, 34, 525), + Trans(38, 25, 34, 525), + Trans(38, 26, 34, 525), + Trans(38, 30, 34, 525), + Trans(38, 42, 34, 525), + Trans(38, 46, 34, 525), + Trans(38, 52, 34, 525), + Trans(38, 93, 34, 525), + Trans(39, 5, 34, 525), + Trans(39, 16, 34, 525), + Trans(39, 17, 34, 525), + Trans(39, 18, 34, 525), + Trans(39, 19, 34, 525), + Trans(39, 20, 34, 525), + Trans(39, 21, 34, 525), + Trans(39, 22, 34, 525), + Trans(39, 23, 34, 525), + Trans(39, 24, 34, 525), + Trans(39, 25, 34, 525), + Trans(39, 26, 34, 525), + Trans(39, 28, 34, 525), + Trans(39, 30, 34, 525), + Trans(39, 33, 34, 525), + Trans(39, 39, 34, 525), + Trans(39, 40, 34, 525), + Trans(39, 42, 34, 525), + Trans(39, 46, 34, 525), + Trans(39, 52, 34, 525), + Trans(39, 93, 34, 525), + Trans(40, 5, 34, 525), + Trans(40, 16, 34, 525), + Trans(40, 17, 34, 525), + Trans(40, 18, 34, 525), + Trans(40, 19, 34, 525), + Trans(40, 20, 34, 525), + Trans(40, 21, 34, 525), + Trans(40, 22, 34, 525), + Trans(40, 23, 34, 525), + Trans(40, 24, 34, 525), + Trans(40, 25, 34, 525), + Trans(40, 26, 34, 525), + Trans(40, 44, 34, 525), + Trans(40, 46, 34, 525), + Trans(40, 52, 34, 525), + Trans(41, 5, 34, 525), + Trans(41, 16, 34, 525), + Trans(41, 17, 34, 525), + Trans(41, 18, 34, 525), + Trans(41, 19, 34, 525), + Trans(41, 20, 34, 525), + Trans(41, 21, 34, 525), + Trans(41, 22, 34, 525), + Trans(41, 23, 34, 525), + Trans(41, 24, 34, 525), + Trans(41, 25, 34, 525), + Trans(41, 26, 34, 525), + Trans(41, 28, 34, 525), + Trans(41, 33, 34, 525), + Trans(41, 39, 34, 525), + Trans(41, 40, 34, 525), + Trans(41, 44, 34, 525), + Trans(41, 46, 34, 525), + Trans(41, 52, 34, 525), + Trans(42, 5, 34, 525), + Trans(42, 16, 34, 525), + Trans(42, 17, 34, 525), + Trans(42, 18, 34, 525), + Trans(42, 19, 34, 525), + Trans(42, 20, 34, 525), + Trans(42, 21, 34, 525), + Trans(42, 22, 34, 525), + Trans(42, 23, 34, 525), + Trans(42, 24, 34, 525), + Trans(42, 25, 34, 525), + Trans(42, 26, 34, 525), + Trans(42, 38, 34, 525), + Trans(42, 46, 34, 525), + Trans(42, 52, 34, 525), + Trans(43, 5, 34, 525), + Trans(43, 16, 34, 525), + Trans(43, 17, 34, 525), + Trans(43, 18, 34, 525), + Trans(43, 19, 34, 525), + Trans(43, 20, 34, 525), + Trans(43, 21, 34, 525), + Trans(43, 22, 34, 525), + Trans(43, 23, 34, 525), + Trans(43, 24, 34, 525), + Trans(43, 25, 34, 525), + Trans(43, 26, 34, 525), + Trans(43, 28, 34, 525), + Trans(43, 33, 34, 525), + Trans(43, 38, 34, 525), + Trans(43, 39, 34, 525), + Trans(43, 40, 34, 525), + Trans(43, 46, 34, 525), + Trans(43, 52, 34, 525), + Trans(44, 5, 34, 525), + Trans(44, 16, 34, 525), + Trans(44, 17, 34, 525), + Trans(44, 18, 34, 525), + Trans(44, 19, 34, 525), + Trans(44, 20, 34, 525), + Trans(44, 21, 34, 525), + Trans(44, 22, 34, 525), + Trans(44, 23, 34, 525), + Trans(44, 24, 34, 525), + Trans(44, 25, 34, 525), + Trans(44, 26, 34, 525), + Trans(44, 45, 34, 525), + Trans(44, 46, 34, 525), + Trans(44, 52, 34, 525), + Trans(45, 5, 34, 525), + Trans(45, 16, 34, 525), + Trans(45, 17, 34, 525), + Trans(45, 18, 34, 525), + Trans(45, 19, 34, 525), + Trans(45, 20, 34, 525), + Trans(45, 21, 34, 525), + Trans(45, 22, 34, 525), + Trans(45, 23, 34, 525), + Trans(45, 24, 34, 525), + Trans(45, 25, 34, 525), + Trans(45, 26, 34, 525), + Trans(45, 28, 34, 525), + Trans(45, 33, 34, 525), + Trans(45, 39, 34, 525), + Trans(45, 40, 34, 525), + Trans(45, 45, 34, 525), + Trans(45, 46, 34, 525), + Trans(45, 52, 34, 525), + Trans(46, 15, 34, 525), + Trans(46, 16, 34, 525), + Trans(46, 17, 34, 525), + Trans(46, 18, 34, 525), + Trans(46, 19, 34, 525), + Trans(46, 20, 34, 525), + Trans(46, 21, 34, 525), + Trans(46, 22, 34, 525), + Trans(46, 23, 34, 525), + Trans(46, 24, 34, 525), + Trans(46, 25, 34, 525), + Trans(46, 26, 34, 525), + Trans(46, 28, 34, 525), + Trans(46, 29, 34, 525), + Trans(46, 30, 34, 525), + Trans(46, 33, 34, 525), + Trans(46, 34, 34, 525), + Trans(46, 39, 34, 525), + Trans(46, 40, 34, 525), + Trans(46, 46, 34, 525), + Trans(46, 52, 34, 525), + Trans(47, 5, 34, 525), + Trans(47, 38, 34, 525), + Trans(47, 54, 34, 525), + Trans(47, 63, 34, 525), + Trans(47, 67, 34, 525), + Trans(47, 68, 34, 525), + Trans(47, 78, 34, 525), + Trans(47, 94, 34, 525), + Trans(47, 95, 34, 525), + Trans(47, 108, 34, 525), + Trans(47, 109, 34, 525), + Trans(48, 5, 34, 525), + Trans(48, 6, 34, 525), + Trans(48, 7, 34, 525), + Trans(48, 8, 34, 525), + Trans(48, 9, 34, 525), + Trans(48, 10, 34, 525), + Trans(48, 11, 34, 525), + Trans(48, 18, 34, 525), + Trans(48, 24, 34, 525), + Trans(48, 25, 34, 525), + Trans(48, 26, 34, 525), + Trans(48, 27, 34, 525), + Trans(48, 37, 34, 525), + Trans(48, 38, 34, 525), + Trans(48, 40, 34, 525), + Trans(48, 44, 34, 525), + Trans(48, 54, 34, 525), + Trans(48, 68, 34, 525), + Trans(48, 74, 34, 525), + Trans(48, 81, 34, 525), + Trans(48, 84, 34, 525), + Trans(48, 87, 34, 525), + Trans(48, 108, 34, 525), + Trans(48, 109, 34, 525), + Trans(49, 16, 34, 525), + Trans(49, 17, 34, 525), + Trans(49, 18, 34, 525), + Trans(49, 19, 34, 525), + Trans(49, 20, 34, 525), + Trans(49, 21, 34, 525), + Trans(49, 22, 34, 525), + Trans(49, 23, 34, 525), + Trans(49, 24, 34, 525), + Trans(49, 25, 34, 525), + Trans(49, 26, 34, 525), + Trans(49, 29, 34, 525), + Trans(49, 30, 34, 525), + Trans(49, 46, 34, 525), + Trans(49, 52, 34, 525), + Trans(50, 29, 34, 525), + Trans(51, 5, 34, 525), + Trans(51, 42, 34, 525), + Trans(51, 54, 34, 525), + Trans(51, 63, 34, 525), + Trans(51, 67, 34, 525), + Trans(51, 68, 34, 525), + Trans(51, 78, 34, 525), + Trans(51, 94, 34, 525), + Trans(51, 95, 34, 525), + Trans(51, 108, 34, 525), + Trans(51, 109, 34, 525), + Trans(52, 38, 34, 525), + Trans(53, 45, 34, 525), + Trans(54, 5, 34, 525), + Trans(54, 42, 34, 525), + Trans(54, 54, 34, 525), + Trans(54, 63, 34, 525), + Trans(54, 67, 34, 525), + Trans(54, 68, 34, 525), + Trans(54, 78, 34, 525), + Trans(54, 94, 34, 525), + Trans(54, 95, 34, 525), + Trans(54, 107, 34, 525), + Trans(54, 108, 34, 525), + Trans(54, 109, 34, 525), + Trans(55, 109, 34, 525), ], k: 3, }, @@ -7333,16 +7354,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 521), - Trans(0, 54, 1, 520), - Trans(0, 63, 1, 520), - Trans(0, 67, 1, 520), - Trans(0, 68, 1, 520), - Trans(0, 77, 1, 520), - Trans(0, 93, 1, 520), - Trans(0, 94, 1, 520), - Trans(0, 107, 1, 520), - Trans(0, 108, 1, 520), + Trans(0, 42, 2, 524), + Trans(0, 54, 1, 523), + Trans(0, 63, 1, 523), + Trans(0, 67, 1, 523), + Trans(0, 68, 1, 523), + Trans(0, 78, 1, 523), + Trans(0, 94, 1, 523), + Trans(0, 95, 1, 523), + Trans(0, 108, 1, 523), + Trans(0, 109, 1, 523), ], k: 1, }, @@ -7350,37 +7371,37 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 2, 528), - Trans(0, 7, 2, 528), - Trans(0, 8, 2, 528), - Trans(0, 9, 2, 528), - Trans(0, 10, 2, 528), - Trans(0, 11, 2, 528), - Trans(0, 18, 2, 528), - Trans(0, 24, 2, 528), - Trans(0, 25, 2, 528), - Trans(0, 26, 2, 528), - Trans(0, 27, 2, 528), - Trans(0, 37, 2, 528), - Trans(0, 38, 2, 528), - Trans(0, 40, 2, 528), - Trans(0, 42, 2, 528), - Trans(0, 54, 2, 528), - Trans(0, 55, 2, 528), - Trans(0, 56, 1, 525), - Trans(0, 63, 2, 528), - Trans(0, 67, 2, 528), - Trans(0, 68, 2, 528), - Trans(0, 73, 2, 528), - Trans(0, 77, 2, 528), - Trans(0, 80, 2, 528), - Trans(0, 83, 2, 528), - Trans(0, 86, 2, 528), - Trans(0, 93, 2, 528), - Trans(0, 94, 2, 528), - Trans(0, 106, 2, 528), - Trans(0, 107, 2, 528), - Trans(0, 108, 2, 528), + Trans(0, 6, 2, 531), + Trans(0, 7, 2, 531), + Trans(0, 8, 2, 531), + Trans(0, 9, 2, 531), + Trans(0, 10, 2, 531), + Trans(0, 11, 2, 531), + Trans(0, 18, 2, 531), + Trans(0, 24, 2, 531), + Trans(0, 25, 2, 531), + Trans(0, 26, 2, 531), + Trans(0, 27, 2, 531), + Trans(0, 37, 2, 531), + Trans(0, 38, 2, 531), + Trans(0, 40, 2, 531), + Trans(0, 42, 2, 531), + Trans(0, 54, 2, 531), + Trans(0, 55, 2, 531), + Trans(0, 56, 1, 528), + Trans(0, 63, 2, 531), + Trans(0, 67, 2, 531), + Trans(0, 68, 2, 531), + Trans(0, 74, 2, 531), + Trans(0, 78, 2, 531), + Trans(0, 81, 2, 531), + Trans(0, 84, 2, 531), + Trans(0, 87, 2, 531), + Trans(0, 94, 2, 531), + Trans(0, 95, 2, 531), + Trans(0, 107, 2, 531), + Trans(0, 108, 2, 531), + Trans(0, 109, 2, 531), ], k: 1, }, @@ -7388,16 +7409,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 42, 2, 527), - Trans(0, 54, 1, 526), - Trans(0, 63, 1, 526), - Trans(0, 67, 1, 526), - Trans(0, 68, 1, 526), - Trans(0, 77, 1, 526), - Trans(0, 93, 1, 526), - Trans(0, 94, 1, 526), - Trans(0, 107, 1, 526), - Trans(0, 108, 1, 526), + Trans(0, 42, 2, 530), + Trans(0, 54, 1, 529), + Trans(0, 63, 1, 529), + Trans(0, 67, 1, 529), + Trans(0, 68, 1, 529), + Trans(0, 78, 1, 529), + Trans(0, 94, 1, 529), + Trans(0, 95, 1, 529), + Trans(0, 108, 1, 529), + Trans(0, 109, 1, 529), ], k: 1, }, @@ -7409,26 +7430,26 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 271 - "IfToken" */ LookaheadDFA { - prod0: 171, + prod0: 172, transitions: &[], k: 0, }, /* 272 - "Import" */ LookaheadDFA { - prod0: 277, + prod0: 279, transitions: &[], k: 0, }, /* 273 - "ImportDeclaration" */ LookaheadDFA { - prod0: 732, + prod0: 735, transitions: &[], k: 0, }, /* 274 - "ImportDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 28, 1, 733), Trans(0, 45, 2, 734)], + transitions: &[Trans(0, 28, 1, 736), Trans(0, 45, 2, 737)], k: 1, }, /* 275 - "ImportTerm" */ @@ -7439,231 +7460,255 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ }, /* 276 - "ImportToken" */ LookaheadDFA { - prod0: 172, + prod0: 173, transitions: &[], k: 0, }, /* 277 - "In" */ LookaheadDFA { - prod0: 278, + prod0: 280, transitions: &[], k: 0, }, /* 278 - "InTerm" */ LookaheadDFA { - prod0: 71, + prod0: 72, transitions: &[], k: 0, }, /* 279 - "InToken" */ LookaheadDFA { - prod0: 179, + prod0: 181, transitions: &[], k: 0, }, - /* 280 - "Initial" */ + /* 280 - "Include" */ LookaheadDFA { - prod0: 279, + prod0: 281, transitions: &[], k: 0, }, - /* 281 - "InitialDeclaration" */ + /* 281 - "IncludeDeclaration" */ LookaheadDFA { - prod0: 636, + prod0: 867, transitions: &[], k: 0, }, - /* 282 - "InitialDeclarationList" */ + /* 282 - "IncludeTerm" */ LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 42, 2, 638), - Trans(0, 54, 1, 637), - Trans(0, 63, 1, 637), - Trans(0, 67, 1, 637), - Trans(0, 68, 1, 637), - Trans(0, 77, 1, 637), - Trans(0, 93, 1, 637), - Trans(0, 94, 1, 637), - Trans(0, 107, 1, 637), - Trans(0, 108, 1, 637), - ], - k: 1, + prod0: 65, + transitions: &[], + k: 0, }, - /* 283 - "InitialTerm" */ + /* 283 - "IncludeToken" */ LookaheadDFA { - prod0: 65, + prod0: 174, transitions: &[], k: 0, }, - /* 284 - "InitialToken" */ + /* 284 - "Initial" */ LookaheadDFA { - prod0: 173, + prod0: 282, transitions: &[], k: 0, }, - /* 285 - "Inout" */ + /* 285 - "InitialDeclaration" */ LookaheadDFA { - prod0: 280, + prod0: 639, transitions: &[], k: 0, }, - /* 286 - "InoutTerm" */ + /* 286 - "InitialDeclarationList" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 42, 2, 641), + Trans(0, 54, 1, 640), + Trans(0, 63, 1, 640), + Trans(0, 67, 1, 640), + Trans(0, 68, 1, 640), + Trans(0, 78, 1, 640), + Trans(0, 94, 1, 640), + Trans(0, 95, 1, 640), + Trans(0, 108, 1, 640), + Trans(0, 109, 1, 640), + ], + k: 1, + }, + /* 287 - "InitialTerm" */ LookaheadDFA { prod0: 66, transitions: &[], k: 0, }, - /* 287 - "InoutToken" */ + /* 288 - "InitialToken" */ LookaheadDFA { - prod0: 174, + prod0: 175, transitions: &[], k: 0, }, - /* 288 - "Input" */ + /* 289 - "Inout" */ LookaheadDFA { - prod0: 281, + prod0: 283, transitions: &[], k: 0, }, - /* 289 - "InputTerm" */ + /* 290 - "InoutTerm" */ LookaheadDFA { prod0: 67, transitions: &[], k: 0, }, - /* 290 - "InputToken" */ + /* 291 - "InoutToken" */ LookaheadDFA { - prod0: 175, + prod0: 176, transitions: &[], k: 0, }, - /* 291 - "Inside" */ + /* 292 - "Input" */ LookaheadDFA { - prod0: 282, + prod0: 284, transitions: &[], k: 0, }, - /* 292 - "InsideExpression" */ + /* 293 - "InputTerm" */ LookaheadDFA { - prod0: 454, + prod0: 68, transitions: &[], k: 0, }, - /* 293 - "InsideTerm" */ + /* 294 - "InputToken" */ LookaheadDFA { - prod0: 68, + prod0: 177, transitions: &[], k: 0, }, - /* 294 - "InsideToken" */ + /* 295 - "Inside" */ LookaheadDFA { - prod0: 176, + prod0: 285, transitions: &[], k: 0, }, - /* 295 - "Inst" */ + /* 296 - "InsideExpression" */ LookaheadDFA { - prod0: 283, + prod0: 457, transitions: &[], k: 0, }, - /* 296 - "InstDeclaration" */ + /* 297 - "InsideTerm" */ LookaheadDFA { - prod0: 642, + prod0: 69, + transitions: &[], + k: 0, + }, + /* 298 - "InsideToken" */ + LookaheadDFA { + prod0: 178, + transitions: &[], + k: 0, + }, + /* 299 - "Inst" */ + LookaheadDFA { + prod0: 286, + transitions: &[], + k: 0, + }, + /* 300 - "InstDeclaration" */ + LookaheadDFA { + prod0: 645, transitions: &[], k: 0, }, - /* 297 - "InstDeclarationOpt" */ + /* 301 - "InstDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 2, 650), - Trans(0, 39, 1, 649), - Trans(0, 40, 2, 650), - Trans(0, 45, 2, 650), + Trans(0, 35, 2, 653), + Trans(0, 39, 1, 652), + Trans(0, 40, 2, 653), + Trans(0, 45, 2, 653), ], k: 1, }, - /* 298 - "InstDeclarationOpt0" */ + /* 302 - "InstDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 647), - Trans(0, 40, 2, 648), - Trans(0, 45, 2, 648), + Trans(0, 35, 1, 650), + Trans(0, 40, 2, 651), + Trans(0, 45, 2, 651), ], k: 1, }, - /* 299 - "InstDeclarationOpt1" */ + /* 303 - "InstDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 40, 1, 643), Trans(0, 45, 2, 646)], + transitions: &[Trans(0, 40, 1, 646), Trans(0, 45, 2, 649)], k: 1, }, - /* 300 - "InstDeclarationOpt2" */ + /* 304 - "InstDeclarationOpt2" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 644), - Trans(0, 38, 1, 644), - Trans(0, 44, 2, 645), - Trans(0, 108, 1, 644), + Trans(0, 35, 1, 647), + Trans(0, 38, 1, 647), + Trans(0, 44, 2, 648), + Trans(0, 109, 1, 647), ], k: 1, }, - /* 301 - "InstParameter" */ + /* 305 - "InstParameter" */ LookaheadDFA { - prod0: 651, + prod0: 654, transitions: &[], k: 0, }, - /* 302 - "InstParameterGroup" */ + /* 306 - "InstParameterGroup" */ LookaheadDFA { - prod0: 659, + prod0: 662, transitions: &[], k: 0, }, - /* 303 - "InstParameterGroupGroup" */ + /* 307 - "InstParameterGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 660), Trans(0, 108, 2, 661)], + transitions: &[Trans(0, 38, 1, 663), Trans(0, 109, 2, 664)], k: 1, }, - /* 304 - "InstParameterGroupList" */ + /* 308 - "InstParameterGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 662), - Trans(0, 38, 2, 663), - Trans(0, 108, 2, 663), + Trans(0, 35, 1, 665), + Trans(0, 38, 2, 666), + Trans(0, 109, 2, 666), ], k: 1, }, - /* 305 - "InstParameterItem" */ + /* 309 - "InstParameterItem" */ LookaheadDFA { - prod0: 664, + prod0: 667, transitions: &[], k: 0, }, - /* 306 - "InstParameterItemOpt" */ + /* 310 - "InstParameterItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 665), - Trans(0, 30, 2, 666), - Trans(0, 42, 2, 666), - Trans(0, 44, 2, 666), + Trans(0, 29, 1, 668), + Trans(0, 30, 2, 669), + Trans(0, 42, 2, 669), + Trans(0, 44, 2, 669), ], k: 1, }, - /* 307 - "InstParameterList" */ + /* 311 - "InstParameterList" */ LookaheadDFA { - prod0: 654, + prod0: 657, transitions: &[], k: 0, }, - /* 308 - "InstParameterListList" */ + /* 312 - "InstParameterListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -7675,23 +7720,23 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 38, 4, -1), Trans(1, 42, 11, -1), Trans(1, 44, 12, -1), - Trans(1, 108, 5, -1), - Trans(2, 5, 3, 655), - Trans(2, 39, 3, 655), - Trans(4, 5, 3, 655), - Trans(4, 35, 3, 655), - Trans(4, 38, 3, 655), - Trans(4, 108, 3, 655), - Trans(5, 5, 3, 655), - Trans(5, 29, 3, 655), - Trans(5, 30, 3, 655), - Trans(5, 42, 3, 655), - Trans(5, 44, 3, 655), - Trans(6, 35, 3, 655), - Trans(6, 38, 3, 655), - Trans(6, 42, 13, 656), - Trans(6, 44, 13, 656), - Trans(6, 108, 3, 655), + Trans(1, 109, 5, -1), + Trans(2, 5, 3, 658), + Trans(2, 39, 3, 658), + Trans(4, 5, 3, 658), + Trans(4, 35, 3, 658), + Trans(4, 38, 3, 658), + Trans(4, 109, 3, 658), + Trans(5, 5, 3, 658), + Trans(5, 29, 3, 658), + Trans(5, 30, 3, 658), + Trans(5, 42, 3, 658), + Trans(5, 44, 3, 658), + Trans(6, 35, 3, 658), + Trans(6, 38, 3, 658), + Trans(6, 42, 13, 659), + Trans(6, 44, 13, 659), + Trans(6, 109, 3, 658), Trans(7, 5, 9, -1), Trans(7, 30, 10, -1), Trans(7, 42, 11, -1), @@ -7699,121 +7744,121 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(8, 5, 14, -1), Trans(8, 40, 15, -1), Trans(8, 45, 16, -1), - Trans(9, 30, 13, 656), - Trans(9, 42, 13, 656), - Trans(9, 44, 13, 656), - Trans(10, 5, 13, 656), - Trans(10, 35, 13, 656), - Trans(10, 38, 13, 656), - Trans(10, 42, 13, 656), - Trans(10, 44, 13, 656), - Trans(10, 108, 13, 656), - Trans(11, 5, 13, 656), - Trans(11, 30, 13, 656), - Trans(11, 42, 13, 656), - Trans(11, 44, 13, 656), - Trans(12, 5, 13, 656), - Trans(12, 40, 13, 656), - Trans(12, 45, 13, 656), - Trans(14, 40, 13, 656), - Trans(14, 45, 13, 656), - Trans(15, 5, 13, 656), - Trans(15, 35, 13, 656), - Trans(15, 38, 13, 656), - Trans(15, 44, 13, 656), - Trans(15, 108, 13, 656), - Trans(16, 5, 13, 656), - Trans(16, 29, 13, 656), - Trans(16, 35, 13, 656), - Trans(16, 38, 13, 656), - Trans(16, 42, 13, 656), - Trans(16, 47, 13, 656), - Trans(16, 48, 13, 656), - Trans(16, 49, 13, 656), - Trans(16, 58, 13, 656), - Trans(16, 62, 13, 656), - Trans(16, 63, 13, 656), - Trans(16, 64, 13, 656), - Trans(16, 68, 13, 656), - Trans(16, 69, 13, 656), - Trans(16, 70, 13, 656), - Trans(16, 74, 13, 656), - Trans(16, 77, 13, 656), - Trans(16, 78, 13, 656), - Trans(16, 98, 13, 656), - Trans(16, 102, 13, 656), - Trans(16, 105, 13, 656), - Trans(16, 106, 13, 656), + Trans(9, 30, 13, 659), + Trans(9, 42, 13, 659), + Trans(9, 44, 13, 659), + Trans(10, 5, 13, 659), + Trans(10, 35, 13, 659), + Trans(10, 38, 13, 659), + Trans(10, 42, 13, 659), + Trans(10, 44, 13, 659), + Trans(10, 109, 13, 659), + Trans(11, 5, 13, 659), + Trans(11, 30, 13, 659), + Trans(11, 42, 13, 659), + Trans(11, 44, 13, 659), + Trans(12, 5, 13, 659), + Trans(12, 40, 13, 659), + Trans(12, 45, 13, 659), + Trans(14, 40, 13, 659), + Trans(14, 45, 13, 659), + Trans(15, 5, 13, 659), + Trans(15, 35, 13, 659), + Trans(15, 38, 13, 659), + Trans(15, 44, 13, 659), + Trans(15, 109, 13, 659), + Trans(16, 5, 13, 659), + Trans(16, 29, 13, 659), + Trans(16, 35, 13, 659), + Trans(16, 38, 13, 659), + Trans(16, 42, 13, 659), + Trans(16, 47, 13, 659), + Trans(16, 48, 13, 659), + Trans(16, 49, 13, 659), + Trans(16, 58, 13, 659), + Trans(16, 62, 13, 659), + Trans(16, 63, 13, 659), + Trans(16, 64, 13, 659), + Trans(16, 68, 13, 659), + Trans(16, 69, 13, 659), + Trans(16, 71, 13, 659), + Trans(16, 75, 13, 659), + Trans(16, 78, 13, 659), + Trans(16, 79, 13, 659), + Trans(16, 99, 13, 659), + Trans(16, 103, 13, 659), + Trans(16, 106, 13, 659), + Trans(16, 107, 13, 659), ], k: 3, }, - /* 309 - "InstParameterListOpt" */ + /* 313 - "InstParameterListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 657), - Trans(0, 42, 2, 658), - Trans(0, 44, 2, 658), + Trans(0, 30, 1, 660), + Trans(0, 42, 2, 661), + Trans(0, 44, 2, 661), ], k: 1, }, - /* 310 - "InstParameterOpt" */ + /* 314 - "InstParameterOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 652), - Trans(0, 38, 1, 652), - Trans(0, 44, 2, 653), - Trans(0, 108, 1, 652), + Trans(0, 35, 1, 655), + Trans(0, 38, 1, 655), + Trans(0, 44, 2, 656), + Trans(0, 109, 1, 655), ], k: 1, }, - /* 311 - "InstPortGroup" */ + /* 315 - "InstPortGroup" */ LookaheadDFA { - prod0: 672, + prod0: 675, transitions: &[], k: 0, }, - /* 312 - "InstPortGroupGroup" */ + /* 316 - "InstPortGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 673), Trans(0, 108, 2, 674)], + transitions: &[Trans(0, 38, 1, 676), Trans(0, 109, 2, 677)], k: 1, }, - /* 313 - "InstPortGroupList" */ + /* 317 - "InstPortGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 675), - Trans(0, 38, 2, 676), - Trans(0, 108, 2, 676), + Trans(0, 35, 1, 678), + Trans(0, 38, 2, 679), + Trans(0, 109, 2, 679), ], k: 1, }, - /* 314 - "InstPortItem" */ + /* 318 - "InstPortItem" */ LookaheadDFA { - prod0: 677, + prod0: 680, transitions: &[], k: 0, }, - /* 315 - "InstPortItemOpt" */ + /* 319 - "InstPortItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 678), - Trans(0, 30, 2, 679), - Trans(0, 42, 2, 679), - Trans(0, 44, 2, 679), + Trans(0, 29, 1, 681), + Trans(0, 30, 2, 682), + Trans(0, 42, 2, 682), + Trans(0, 44, 2, 682), ], k: 1, }, - /* 316 - "InstPortList" */ + /* 320 - "InstPortList" */ LookaheadDFA { - prod0: 667, + prod0: 670, transitions: &[], k: 0, }, - /* 317 - "InstPortListList" */ + /* 321 - "InstPortListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -7825,248 +7870,248 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 38, 4, -1), Trans(1, 42, 11, -1), Trans(1, 44, 12, -1), - Trans(1, 108, 5, -1), - Trans(2, 5, 3, 668), - Trans(2, 39, 3, 668), - Trans(4, 5, 3, 668), - Trans(4, 35, 3, 668), - Trans(4, 38, 3, 668), - Trans(4, 108, 3, 668), - Trans(5, 5, 3, 668), - Trans(5, 29, 3, 668), - Trans(5, 30, 3, 668), - Trans(5, 42, 3, 668), - Trans(5, 44, 3, 668), - Trans(6, 35, 3, 668), - Trans(6, 38, 3, 668), - Trans(6, 42, 13, 669), - Trans(6, 44, 13, 669), - Trans(6, 108, 3, 668), + Trans(1, 109, 5, -1), + Trans(2, 5, 3, 671), + Trans(2, 39, 3, 671), + Trans(4, 5, 3, 671), + Trans(4, 35, 3, 671), + Trans(4, 38, 3, 671), + Trans(4, 109, 3, 671), + Trans(5, 5, 3, 671), + Trans(5, 29, 3, 671), + Trans(5, 30, 3, 671), + Trans(5, 42, 3, 671), + Trans(5, 44, 3, 671), + Trans(6, 35, 3, 671), + Trans(6, 38, 3, 671), + Trans(6, 42, 13, 672), + Trans(6, 44, 13, 672), + Trans(6, 109, 3, 671), Trans(7, 5, 9, -1), Trans(7, 30, 10, -1), Trans(7, 42, 11, -1), Trans(7, 44, 12, -1), Trans(8, 5, 14, -1), Trans(8, 45, 15, -1), - Trans(9, 30, 13, 669), - Trans(9, 42, 13, 669), - Trans(9, 44, 13, 669), - Trans(10, 5, 13, 669), - Trans(10, 35, 13, 669), - Trans(10, 38, 13, 669), - Trans(10, 42, 13, 669), - Trans(10, 44, 13, 669), - Trans(10, 108, 13, 669), - Trans(11, 5, 13, 669), - Trans(11, 30, 13, 669), - Trans(11, 42, 13, 669), - Trans(11, 44, 13, 669), - Trans(12, 5, 13, 669), - Trans(12, 45, 13, 669), - Trans(14, 45, 13, 669), - Trans(15, 5, 13, 669), - Trans(15, 29, 13, 669), - Trans(15, 35, 13, 669), - Trans(15, 38, 13, 669), - Trans(15, 42, 13, 669), - Trans(15, 47, 13, 669), - Trans(15, 48, 13, 669), - Trans(15, 49, 13, 669), - Trans(15, 58, 13, 669), - Trans(15, 62, 13, 669), - Trans(15, 63, 13, 669), - Trans(15, 64, 13, 669), - Trans(15, 68, 13, 669), - Trans(15, 69, 13, 669), - Trans(15, 70, 13, 669), - Trans(15, 74, 13, 669), - Trans(15, 77, 13, 669), - Trans(15, 78, 13, 669), - Trans(15, 98, 13, 669), - Trans(15, 102, 13, 669), - Trans(15, 105, 13, 669), - Trans(15, 106, 13, 669), + Trans(9, 30, 13, 672), + Trans(9, 42, 13, 672), + Trans(9, 44, 13, 672), + Trans(10, 5, 13, 672), + Trans(10, 35, 13, 672), + Trans(10, 38, 13, 672), + Trans(10, 42, 13, 672), + Trans(10, 44, 13, 672), + Trans(10, 109, 13, 672), + Trans(11, 5, 13, 672), + Trans(11, 30, 13, 672), + Trans(11, 42, 13, 672), + Trans(11, 44, 13, 672), + Trans(12, 5, 13, 672), + Trans(12, 45, 13, 672), + Trans(14, 45, 13, 672), + Trans(15, 5, 13, 672), + Trans(15, 29, 13, 672), + Trans(15, 35, 13, 672), + Trans(15, 38, 13, 672), + Trans(15, 42, 13, 672), + Trans(15, 47, 13, 672), + Trans(15, 48, 13, 672), + Trans(15, 49, 13, 672), + Trans(15, 58, 13, 672), + Trans(15, 62, 13, 672), + Trans(15, 63, 13, 672), + Trans(15, 64, 13, 672), + Trans(15, 68, 13, 672), + Trans(15, 69, 13, 672), + Trans(15, 71, 13, 672), + Trans(15, 75, 13, 672), + Trans(15, 78, 13, 672), + Trans(15, 79, 13, 672), + Trans(15, 99, 13, 672), + Trans(15, 103, 13, 672), + Trans(15, 106, 13, 672), + Trans(15, 107, 13, 672), ], k: 3, }, - /* 318 - "InstPortListOpt" */ + /* 322 - "InstPortListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 670), - Trans(0, 42, 2, 671), - Trans(0, 44, 2, 671), + Trans(0, 30, 1, 673), + Trans(0, 42, 2, 674), + Trans(0, 44, 2, 674), ], k: 1, }, - /* 319 - "InstTerm" */ + /* 323 - "InstTerm" */ LookaheadDFA { - prod0: 69, + prod0: 70, transitions: &[], k: 0, }, - /* 320 - "InstToken" */ + /* 324 - "InstToken" */ LookaheadDFA { - prod0: 177, + prod0: 179, transitions: &[], k: 0, }, - /* 321 - "IntegralNumber" */ + /* 325 - "IntegralNumber" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 9, 1, 318), - Trans(0, 10, 3, 320), - Trans(0, 11, 2, 319), + Trans(0, 9, 1, 321), + Trans(0, 10, 3, 323), + Trans(0, 11, 2, 322), ], k: 1, }, - /* 322 - "Interface" */ + /* 326 - "Interface" */ LookaheadDFA { - prod0: 284, + prod0: 287, transitions: &[], k: 0, }, - /* 323 - "InterfaceDeclaration" */ + /* 327 - "InterfaceDeclaration" */ LookaheadDFA { - prod0: 789, + prod0: 792, transitions: &[], k: 0, }, - /* 324 - "InterfaceDeclarationList" */ + /* 328 - "InterfaceDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 790), - Trans(0, 35, 1, 790), - Trans(0, 38, 1, 790), - Trans(0, 42, 2, 791), - Trans(0, 58, 1, 790), - Trans(0, 62, 1, 790), - Trans(0, 63, 1, 790), - Trans(0, 64, 1, 790), - Trans(0, 68, 1, 790), - Trans(0, 69, 1, 790), - Trans(0, 70, 1, 790), - Trans(0, 77, 1, 790), - Trans(0, 78, 1, 790), - Trans(0, 81, 1, 790), - Trans(0, 98, 1, 790), - Trans(0, 102, 1, 790), - Trans(0, 105, 1, 790), - Trans(0, 106, 1, 790), + Trans(0, 29, 1, 793), + Trans(0, 35, 1, 793), + Trans(0, 38, 1, 793), + Trans(0, 42, 2, 794), + Trans(0, 58, 1, 793), + Trans(0, 62, 1, 793), + Trans(0, 63, 1, 793), + Trans(0, 64, 1, 793), + Trans(0, 68, 1, 793), + Trans(0, 69, 1, 793), + Trans(0, 71, 1, 793), + Trans(0, 78, 1, 793), + Trans(0, 79, 1, 793), + Trans(0, 82, 1, 793), + Trans(0, 99, 1, 793), + Trans(0, 103, 1, 793), + Trans(0, 106, 1, 793), + Trans(0, 107, 1, 793), ], k: 1, }, - /* 325 - "InterfaceDeclarationOpt" */ + /* 329 - "InterfaceDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 75, 2, 795), Trans(0, 90, 1, 794)], + transitions: &[Trans(0, 76, 2, 798), Trans(0, 91, 1, 797)], k: 1, }, - /* 326 - "InterfaceDeclarationOpt0" */ + /* 330 - "InterfaceDeclarationOpt0" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 35, 1, 792), Trans(0, 38, 2, 793)], + transitions: &[Trans(0, 35, 1, 795), Trans(0, 38, 2, 796)], k: 1, }, - /* 327 - "InterfaceForDeclaration" */ + /* 331 - "InterfaceForDeclaration" */ LookaheadDFA { - prod0: 801, + prod0: 804, transitions: &[], k: 0, }, - /* 328 - "InterfaceForDeclarationOpt" */ + /* 332 - "InterfaceForDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 2, 803), Trans(0, 96, 1, 802)], + transitions: &[Trans(0, 29, 2, 806), Trans(0, 97, 1, 805)], k: 1, }, - /* 329 - "InterfaceGroup" */ + /* 333 - "InterfaceGroup" */ LookaheadDFA { - prod0: 812, + prod0: 815, transitions: &[], k: 0, }, - /* 330 - "InterfaceGroupGroup" */ + /* 334 - "InterfaceGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 816), - Trans(0, 38, 1, 813), - Trans(0, 58, 2, 816), - Trans(0, 62, 2, 816), - Trans(0, 63, 2, 816), - Trans(0, 64, 2, 816), - Trans(0, 68, 2, 816), - Trans(0, 69, 2, 816), - Trans(0, 70, 2, 816), - Trans(0, 77, 2, 816), - Trans(0, 78, 2, 816), - Trans(0, 81, 2, 816), - Trans(0, 98, 2, 816), - Trans(0, 102, 2, 816), - Trans(0, 105, 2, 816), - Trans(0, 106, 2, 816), + Trans(0, 29, 2, 819), + Trans(0, 38, 1, 816), + Trans(0, 58, 2, 819), + Trans(0, 62, 2, 819), + Trans(0, 63, 2, 819), + Trans(0, 64, 2, 819), + Trans(0, 68, 2, 819), + Trans(0, 69, 2, 819), + Trans(0, 71, 2, 819), + Trans(0, 78, 2, 819), + Trans(0, 79, 2, 819), + Trans(0, 82, 2, 819), + Trans(0, 99, 2, 819), + Trans(0, 103, 2, 819), + Trans(0, 106, 2, 819), + Trans(0, 107, 2, 819), ], k: 1, }, - /* 331 - "InterfaceGroupGroupList" */ + /* 335 - "InterfaceGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 814), - Trans(0, 35, 1, 814), - Trans(0, 38, 1, 814), - Trans(0, 42, 2, 815), - Trans(0, 58, 1, 814), - Trans(0, 62, 1, 814), - Trans(0, 63, 1, 814), - Trans(0, 64, 1, 814), - Trans(0, 68, 1, 814), - Trans(0, 69, 1, 814), - Trans(0, 70, 1, 814), - Trans(0, 77, 1, 814), - Trans(0, 78, 1, 814), - Trans(0, 81, 1, 814), - Trans(0, 98, 1, 814), - Trans(0, 102, 1, 814), - Trans(0, 105, 1, 814), - Trans(0, 106, 1, 814), + Trans(0, 29, 1, 817), + Trans(0, 35, 1, 817), + Trans(0, 38, 1, 817), + Trans(0, 42, 2, 818), + Trans(0, 58, 1, 817), + Trans(0, 62, 1, 817), + Trans(0, 63, 1, 817), + Trans(0, 64, 1, 817), + Trans(0, 68, 1, 817), + Trans(0, 69, 1, 817), + Trans(0, 71, 1, 817), + Trans(0, 78, 1, 817), + Trans(0, 79, 1, 817), + Trans(0, 82, 1, 817), + Trans(0, 99, 1, 817), + Trans(0, 103, 1, 817), + Trans(0, 106, 1, 817), + Trans(0, 107, 1, 817), ], k: 1, }, - /* 332 - "InterfaceGroupList" */ + /* 336 - "InterfaceGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 818), - Trans(0, 35, 1, 817), - Trans(0, 38, 2, 818), - Trans(0, 58, 2, 818), - Trans(0, 62, 2, 818), - Trans(0, 63, 2, 818), - Trans(0, 64, 2, 818), - Trans(0, 68, 2, 818), - Trans(0, 69, 2, 818), - Trans(0, 70, 2, 818), - Trans(0, 77, 2, 818), - Trans(0, 78, 2, 818), - Trans(0, 81, 2, 818), - Trans(0, 98, 2, 818), - Trans(0, 102, 2, 818), - Trans(0, 105, 2, 818), - Trans(0, 106, 2, 818), + Trans(0, 29, 2, 821), + Trans(0, 35, 1, 820), + Trans(0, 38, 2, 821), + Trans(0, 58, 2, 821), + Trans(0, 62, 2, 821), + Trans(0, 63, 2, 821), + Trans(0, 64, 2, 821), + Trans(0, 68, 2, 821), + Trans(0, 69, 2, 821), + Trans(0, 71, 2, 821), + Trans(0, 78, 2, 821), + Trans(0, 79, 2, 821), + Trans(0, 82, 2, 821), + Trans(0, 99, 2, 821), + Trans(0, 103, 2, 821), + Trans(0, 106, 2, 821), + Trans(0, 107, 2, 821), ], k: 1, }, - /* 333 - "InterfaceIfDeclaration" */ + /* 337 - "InterfaceIfDeclaration" */ LookaheadDFA { - prod0: 796, + prod0: 799, transitions: &[], k: 0, }, - /* 334 - "InterfaceIfDeclarationList" */ + /* 338 - "InterfaceIfDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -8081,46 +8126,46 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 64, 12, -1), Trans(0, 68, 13, -1), Trans(0, 69, 14, -1), - Trans(0, 70, 10, -1), - Trans(0, 77, 9, -1), + Trans(0, 71, 10, -1), Trans(0, 78, 9, -1), - Trans(0, 81, 5, -1), - Trans(0, 98, 5, -1), - Trans(0, 102, 15, -1), - Trans(0, 105, 5, -1), - Trans(0, 106, 9, -1), + Trans(0, 79, 9, -1), + Trans(0, 82, 5, -1), + Trans(0, 99, 5, -1), + Trans(0, 103, 15, -1), + Trans(0, 106, 5, -1), + Trans(0, 107, 9, -1), Trans(1, 5, 4, -1), Trans(1, 29, 18, -1), Trans(1, 38, 33, -1), Trans(1, 68, 2, -1), - Trans(2, 5, 3, 797), - Trans(2, 6, 3, 797), - Trans(2, 7, 3, 797), - Trans(2, 8, 3, 797), - Trans(2, 9, 3, 797), - Trans(2, 10, 3, 797), - Trans(2, 11, 3, 797), - Trans(2, 18, 3, 797), - Trans(2, 24, 3, 797), - Trans(2, 25, 3, 797), - Trans(2, 26, 3, 797), - Trans(2, 27, 3, 797), - Trans(2, 37, 3, 797), - Trans(2, 38, 3, 797), - Trans(2, 40, 3, 797), - Trans(2, 54, 3, 797), - Trans(2, 68, 3, 797), - Trans(2, 73, 3, 797), - Trans(2, 80, 3, 797), - Trans(2, 83, 3, 797), - Trans(2, 86, 3, 797), - Trans(2, 107, 3, 797), - Trans(2, 108, 3, 797), - Trans(4, 29, 16, 798), - Trans(4, 38, 16, 798), - Trans(4, 68, 3, 797), + Trans(2, 5, 3, 800), + Trans(2, 6, 3, 800), + Trans(2, 7, 3, 800), + Trans(2, 8, 3, 800), + Trans(2, 9, 3, 800), + Trans(2, 10, 3, 800), + Trans(2, 11, 3, 800), + Trans(2, 18, 3, 800), + Trans(2, 24, 3, 800), + Trans(2, 25, 3, 800), + Trans(2, 26, 3, 800), + Trans(2, 27, 3, 800), + Trans(2, 37, 3, 800), + Trans(2, 38, 3, 800), + Trans(2, 40, 3, 800), + Trans(2, 54, 3, 800), + Trans(2, 68, 3, 800), + Trans(2, 74, 3, 800), + Trans(2, 81, 3, 800), + Trans(2, 84, 3, 800), + Trans(2, 87, 3, 800), + Trans(2, 108, 3, 800), + Trans(2, 109, 3, 800), + Trans(4, 29, 16, 801), + Trans(4, 38, 16, 801), + Trans(4, 68, 3, 800), Trans(5, 5, 39, -1), - Trans(5, 108, 24, -1), + Trans(5, 109, 24, -1), Trans(6, 5, 36, -1), Trans(6, 39, 18, -1), Trans(7, 5, 32, -1), @@ -8134,15 +8179,15 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(7, 64, 18, -1), Trans(7, 68, 25, -1), Trans(7, 69, 26, -1), - Trans(7, 70, 24, -1), - Trans(7, 77, 18, -1), + Trans(7, 71, 24, -1), Trans(7, 78, 18, -1), - Trans(7, 81, 18, -1), - Trans(7, 98, 18, -1), - Trans(7, 102, 18, -1), - Trans(7, 105, 18, -1), + Trans(7, 79, 18, -1), + Trans(7, 82, 18, -1), + Trans(7, 99, 18, -1), + Trans(7, 103, 18, -1), Trans(7, 106, 18, -1), - Trans(8, 0, 16, 798), + Trans(7, 107, 18, -1), + Trans(8, 0, 16, 801), Trans(8, 5, 17, -1), Trans(8, 29, 18, -1), Trans(8, 35, 19, -1), @@ -8156,26 +8201,27 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(8, 64, 18, -1), Trans(8, 68, 25, -1), Trans(8, 69, 26, -1), - Trans(8, 70, 24, -1), - Trans(8, 75, 18, -1), - Trans(8, 77, 18, -1), + Trans(8, 70, 23, -1), + Trans(8, 71, 24, -1), + Trans(8, 76, 18, -1), Trans(8, 78, 18, -1), - Trans(8, 81, 18, -1), + Trans(8, 79, 18, -1), Trans(8, 82, 18, -1), - Trans(8, 87, 18, -1), - Trans(8, 90, 27, -1), - Trans(8, 98, 18, -1), - Trans(8, 102, 18, -1), - Trans(8, 105, 18, -1), + Trans(8, 83, 18, -1), + Trans(8, 88, 18, -1), + Trans(8, 91, 27, -1), + Trans(8, 99, 18, -1), + Trans(8, 103, 18, -1), Trans(8, 106, 18, -1), + Trans(8, 107, 18, -1), Trans(9, 5, 39, -1), - Trans(9, 108, 40, -1), + Trans(9, 109, 40, -1), Trans(10, 5, 34, -1), Trans(10, 38, 35, -1), Trans(11, 5, 39, -1), - Trans(11, 108, 41, -1), + Trans(11, 109, 41, -1), Trans(12, 5, 39, -1), - Trans(12, 108, 42, -1), + Trans(12, 109, 42, -1), Trans(13, 5, 28, -1), Trans(13, 6, 29, -1), Trans(13, 7, 29, -1), @@ -8193,370 +8239,342 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(13, 40, 25, -1), Trans(13, 54, 25, -1), Trans(13, 68, 25, -1), - Trans(13, 73, 25, -1), - Trans(13, 80, 29, -1), - Trans(13, 83, 29, -1), - Trans(13, 86, 25, -1), - Trans(13, 107, 31, -1), + Trans(13, 74, 25, -1), + Trans(13, 81, 29, -1), + Trans(13, 84, 29, -1), + Trans(13, 87, 25, -1), Trans(13, 108, 31, -1), + Trans(13, 109, 31, -1), Trans(14, 5, 37, -1), - Trans(14, 107, 38, -1), Trans(14, 108, 38, -1), + Trans(14, 109, 38, -1), Trans(15, 5, 39, -1), - Trans(15, 108, 43, -1), - Trans(17, 0, 16, 798), - Trans(17, 29, 16, 798), - Trans(17, 35, 16, 798), - Trans(17, 38, 16, 798), - Trans(17, 42, 16, 798), - Trans(17, 56, 16, 798), - Trans(17, 57, 16, 798), - Trans(17, 58, 16, 798), - Trans(17, 62, 16, 798), - Trans(17, 63, 16, 798), - Trans(17, 64, 16, 798), - Trans(17, 68, 16, 798), - Trans(17, 69, 16, 798), - Trans(17, 70, 16, 798), - Trans(17, 75, 16, 798), - Trans(17, 77, 16, 798), - Trans(17, 78, 16, 798), - Trans(17, 81, 16, 798), - Trans(17, 82, 16, 798), - Trans(17, 87, 16, 798), - Trans(17, 90, 16, 798), - Trans(17, 98, 16, 798), - Trans(17, 102, 16, 798), - Trans(17, 105, 16, 798), - Trans(17, 106, 16, 798), - Trans(18, 5, 16, 798), - Trans(18, 108, 16, 798), - Trans(19, 5, 16, 798), - Trans(19, 39, 16, 798), - Trans(20, 5, 16, 798), - Trans(20, 29, 16, 798), - Trans(20, 35, 16, 798), - Trans(20, 38, 16, 798), - Trans(20, 42, 16, 798), - Trans(20, 57, 16, 798), - Trans(20, 58, 16, 798), - Trans(20, 62, 16, 798), - Trans(20, 63, 16, 798), - Trans(20, 64, 16, 798), - Trans(20, 68, 16, 798), - Trans(20, 69, 16, 798), - Trans(20, 70, 16, 798), - Trans(20, 75, 16, 798), - Trans(20, 77, 16, 798), - Trans(20, 78, 16, 798), - Trans(20, 81, 16, 798), - Trans(20, 82, 16, 798), - Trans(20, 87, 16, 798), - Trans(20, 90, 16, 798), - Trans(20, 98, 16, 798), - Trans(20, 102, 16, 798), - Trans(20, 105, 16, 798), - Trans(20, 106, 16, 798), - Trans(21, 0, 16, 798), - Trans(21, 5, 16, 798), - Trans(21, 29, 16, 798), - Trans(21, 35, 16, 798), - Trans(21, 38, 16, 798), - Trans(21, 42, 16, 798), - Trans(21, 56, 16, 798), - Trans(21, 57, 16, 798), - Trans(21, 58, 16, 798), - Trans(21, 62, 16, 798), - Trans(21, 63, 16, 798), - Trans(21, 64, 16, 798), - Trans(21, 68, 16, 798), - Trans(21, 69, 16, 798), - Trans(21, 70, 16, 798), - Trans(21, 75, 16, 798), - Trans(21, 77, 16, 798), - Trans(21, 78, 16, 798), - Trans(21, 81, 16, 798), - Trans(21, 82, 16, 798), - Trans(21, 87, 16, 798), - Trans(21, 90, 16, 798), - Trans(21, 98, 16, 798), - Trans(21, 102, 16, 798), - Trans(21, 105, 16, 798), - Trans(21, 106, 16, 798), - Trans(22, 5, 16, 798), - Trans(22, 29, 16, 798), - Trans(22, 38, 16, 798), - Trans(22, 68, 16, 798), - Trans(23, 5, 16, 798), - Trans(23, 40, 16, 798), - Trans(24, 5, 16, 798), - Trans(24, 38, 16, 798), - Trans(25, 5, 16, 798), - Trans(25, 6, 16, 798), - Trans(25, 7, 16, 798), - Trans(25, 8, 16, 798), - Trans(25, 9, 16, 798), - Trans(25, 10, 16, 798), - Trans(25, 11, 16, 798), - Trans(25, 18, 16, 798), - Trans(25, 24, 16, 798), - Trans(25, 25, 16, 798), - Trans(25, 26, 16, 798), - Trans(25, 27, 16, 798), - Trans(25, 37, 16, 798), - Trans(25, 38, 16, 798), - Trans(25, 40, 16, 798), - Trans(25, 54, 16, 798), - Trans(25, 68, 16, 798), - Trans(25, 73, 16, 798), - Trans(25, 80, 16, 798), - Trans(25, 83, 16, 798), - Trans(25, 86, 16, 798), - Trans(25, 107, 16, 798), - Trans(25, 108, 16, 798), - Trans(26, 5, 16, 798), - Trans(26, 107, 16, 798), - Trans(26, 108, 16, 798), - Trans(27, 5, 16, 798), - Trans(27, 75, 16, 798), - Trans(27, 82, 16, 798), - Trans(27, 87, 16, 798), - Trans(28, 6, 16, 798), - Trans(28, 7, 16, 798), - Trans(28, 8, 16, 798), - Trans(28, 9, 16, 798), - Trans(28, 10, 16, 798), - Trans(28, 11, 16, 798), - Trans(28, 18, 16, 798), - Trans(28, 24, 16, 798), - Trans(28, 25, 16, 798), - Trans(28, 26, 16, 798), - Trans(28, 27, 16, 798), - Trans(28, 37, 16, 798), - Trans(28, 38, 16, 798), - Trans(28, 40, 16, 798), - Trans(28, 54, 16, 798), - Trans(28, 68, 16, 798), - Trans(28, 73, 16, 798), - Trans(28, 80, 16, 798), - Trans(28, 83, 16, 798), - Trans(28, 86, 16, 798), - Trans(28, 107, 16, 798), - Trans(28, 108, 16, 798), - Trans(29, 5, 16, 798), - Trans(29, 16, 16, 798), - Trans(29, 17, 16, 798), - Trans(29, 18, 16, 798), - Trans(29, 19, 16, 798), - Trans(29, 20, 16, 798), - Trans(29, 21, 16, 798), - Trans(29, 22, 16, 798), - Trans(29, 23, 16, 798), - Trans(29, 24, 16, 798), - Trans(29, 25, 16, 798), - Trans(29, 26, 16, 798), - Trans(29, 29, 16, 798), - Trans(29, 46, 16, 798), - Trans(29, 52, 16, 798), - Trans(30, 5, 16, 798), - Trans(30, 6, 16, 798), - Trans(30, 7, 16, 798), - Trans(30, 8, 16, 798), - Trans(30, 9, 16, 798), - Trans(30, 10, 16, 798), - Trans(30, 11, 16, 798), - Trans(30, 18, 16, 798), - Trans(30, 24, 16, 798), - Trans(30, 25, 16, 798), - Trans(30, 26, 16, 798), - Trans(30, 27, 16, 798), - Trans(30, 37, 16, 798), - Trans(30, 38, 16, 798), - Trans(30, 40, 16, 798), - Trans(30, 54, 16, 798), - Trans(30, 55, 16, 798), - Trans(30, 68, 16, 798), - Trans(30, 73, 16, 798), - Trans(30, 80, 16, 798), - Trans(30, 83, 16, 798), - Trans(30, 86, 16, 798), - Trans(30, 107, 16, 798), - Trans(30, 108, 16, 798), - Trans(31, 5, 16, 798), - Trans(31, 16, 16, 798), - Trans(31, 17, 16, 798), - Trans(31, 18, 16, 798), - Trans(31, 19, 16, 798), - Trans(31, 20, 16, 798), - Trans(31, 21, 16, 798), - Trans(31, 22, 16, 798), - Trans(31, 23, 16, 798), - Trans(31, 24, 16, 798), - Trans(31, 25, 16, 798), - Trans(31, 26, 16, 798), - Trans(31, 28, 16, 798), - Trans(31, 29, 16, 798), - Trans(31, 33, 16, 798), - Trans(31, 39, 16, 798), - Trans(31, 40, 16, 798), - Trans(31, 46, 16, 798), - Trans(31, 52, 16, 798), - Trans(32, 29, 16, 798), - Trans(32, 35, 16, 798), - Trans(32, 38, 16, 798), - Trans(32, 42, 16, 798), - Trans(32, 58, 16, 798), - Trans(32, 62, 16, 798), - Trans(32, 63, 16, 798), - Trans(32, 64, 16, 798), - Trans(32, 68, 16, 798), - Trans(32, 69, 16, 798), - Trans(32, 70, 16, 798), - Trans(32, 77, 16, 798), - Trans(32, 78, 16, 798), - Trans(32, 81, 16, 798), - Trans(32, 98, 16, 798), - Trans(32, 102, 16, 798), - Trans(32, 105, 16, 798), - Trans(32, 106, 16, 798), - Trans(33, 5, 16, 798), - Trans(33, 29, 16, 798), - Trans(33, 35, 16, 798), - Trans(33, 38, 16, 798), - Trans(33, 42, 16, 798), - Trans(33, 58, 16, 798), - Trans(33, 62, 16, 798), - Trans(33, 63, 16, 798), - Trans(33, 64, 16, 798), - Trans(33, 68, 16, 798), - Trans(33, 69, 16, 798), - Trans(33, 70, 16, 798), - Trans(33, 77, 16, 798), - Trans(33, 78, 16, 798), - Trans(33, 81, 16, 798), - Trans(33, 98, 16, 798), - Trans(33, 102, 16, 798), - Trans(33, 105, 16, 798), - Trans(33, 106, 16, 798), - Trans(34, 38, 16, 798), - Trans(35, 5, 16, 798), - Trans(35, 42, 16, 798), - Trans(35, 54, 16, 798), - Trans(35, 63, 16, 798), - Trans(35, 67, 16, 798), - Trans(35, 68, 16, 798), - Trans(35, 77, 16, 798), - Trans(35, 93, 16, 798), - Trans(35, 94, 16, 798), - Trans(35, 107, 16, 798), - Trans(35, 108, 16, 798), - Trans(36, 39, 16, 798), - Trans(37, 107, 16, 798), - Trans(37, 108, 16, 798), - Trans(38, 5, 16, 798), - Trans(38, 28, 16, 798), - Trans(38, 45, 16, 798), - Trans(39, 108, 16, 798), - Trans(40, 5, 16, 798), - Trans(40, 29, 16, 798), - Trans(41, 5, 16, 798), - Trans(41, 76, 16, 798), - Trans(42, 5, 16, 798), - Trans(42, 13, 16, 798), - Trans(42, 35, 16, 798), - Trans(42, 38, 16, 798), - Trans(42, 40, 16, 798), - Trans(43, 5, 16, 798), - Trans(43, 34, 16, 798), + Trans(15, 109, 43, -1), + Trans(17, 0, 16, 801), + Trans(17, 29, 16, 801), + Trans(17, 35, 16, 801), + Trans(17, 38, 16, 801), + Trans(17, 42, 16, 801), + Trans(17, 56, 16, 801), + Trans(17, 57, 16, 801), + Trans(17, 58, 16, 801), + Trans(17, 62, 16, 801), + Trans(17, 63, 16, 801), + Trans(17, 64, 16, 801), + Trans(17, 68, 16, 801), + Trans(17, 69, 16, 801), + Trans(17, 70, 16, 801), + Trans(17, 71, 16, 801), + Trans(17, 76, 16, 801), + Trans(17, 78, 16, 801), + Trans(17, 79, 16, 801), + Trans(17, 82, 16, 801), + Trans(17, 83, 16, 801), + Trans(17, 88, 16, 801), + Trans(17, 91, 16, 801), + Trans(17, 99, 16, 801), + Trans(17, 103, 16, 801), + Trans(17, 106, 16, 801), + Trans(17, 107, 16, 801), + Trans(18, 5, 16, 801), + Trans(18, 109, 16, 801), + Trans(19, 5, 16, 801), + Trans(19, 39, 16, 801), + Trans(20, 5, 16, 801), + Trans(20, 29, 16, 801), + Trans(20, 35, 16, 801), + Trans(20, 38, 16, 801), + Trans(20, 42, 16, 801), + Trans(20, 57, 16, 801), + Trans(20, 58, 16, 801), + Trans(20, 62, 16, 801), + Trans(20, 63, 16, 801), + Trans(20, 64, 16, 801), + Trans(20, 68, 16, 801), + Trans(20, 69, 16, 801), + Trans(20, 70, 16, 801), + Trans(20, 71, 16, 801), + Trans(20, 76, 16, 801), + Trans(20, 78, 16, 801), + Trans(20, 79, 16, 801), + Trans(20, 82, 16, 801), + Trans(20, 83, 16, 801), + Trans(20, 88, 16, 801), + Trans(20, 91, 16, 801), + Trans(20, 99, 16, 801), + Trans(20, 103, 16, 801), + Trans(20, 106, 16, 801), + Trans(20, 107, 16, 801), + Trans(21, 0, 16, 801), + Trans(21, 5, 16, 801), + Trans(21, 29, 16, 801), + Trans(21, 35, 16, 801), + Trans(21, 38, 16, 801), + Trans(21, 42, 16, 801), + Trans(21, 56, 16, 801), + Trans(21, 57, 16, 801), + Trans(21, 58, 16, 801), + Trans(21, 62, 16, 801), + Trans(21, 63, 16, 801), + Trans(21, 64, 16, 801), + Trans(21, 68, 16, 801), + Trans(21, 69, 16, 801), + Trans(21, 70, 16, 801), + Trans(21, 71, 16, 801), + Trans(21, 76, 16, 801), + Trans(21, 78, 16, 801), + Trans(21, 79, 16, 801), + Trans(21, 82, 16, 801), + Trans(21, 83, 16, 801), + Trans(21, 88, 16, 801), + Trans(21, 91, 16, 801), + Trans(21, 99, 16, 801), + Trans(21, 103, 16, 801), + Trans(21, 106, 16, 801), + Trans(21, 107, 16, 801), + Trans(22, 5, 16, 801), + Trans(22, 29, 16, 801), + Trans(22, 38, 16, 801), + Trans(22, 68, 16, 801), + Trans(23, 5, 16, 801), + Trans(23, 40, 16, 801), + Trans(24, 5, 16, 801), + Trans(24, 38, 16, 801), + Trans(25, 5, 16, 801), + Trans(25, 6, 16, 801), + Trans(25, 7, 16, 801), + Trans(25, 8, 16, 801), + Trans(25, 9, 16, 801), + Trans(25, 10, 16, 801), + Trans(25, 11, 16, 801), + Trans(25, 18, 16, 801), + Trans(25, 24, 16, 801), + Trans(25, 25, 16, 801), + Trans(25, 26, 16, 801), + Trans(25, 27, 16, 801), + Trans(25, 37, 16, 801), + Trans(25, 38, 16, 801), + Trans(25, 40, 16, 801), + Trans(25, 54, 16, 801), + Trans(25, 68, 16, 801), + Trans(25, 74, 16, 801), + Trans(25, 81, 16, 801), + Trans(25, 84, 16, 801), + Trans(25, 87, 16, 801), + Trans(25, 108, 16, 801), + Trans(25, 109, 16, 801), + Trans(26, 5, 16, 801), + Trans(26, 108, 16, 801), + Trans(26, 109, 16, 801), + Trans(27, 5, 16, 801), + Trans(27, 76, 16, 801), + Trans(27, 83, 16, 801), + Trans(27, 88, 16, 801), + Trans(28, 6, 16, 801), + Trans(28, 7, 16, 801), + Trans(28, 8, 16, 801), + Trans(28, 9, 16, 801), + Trans(28, 10, 16, 801), + Trans(28, 11, 16, 801), + Trans(28, 18, 16, 801), + Trans(28, 24, 16, 801), + Trans(28, 25, 16, 801), + Trans(28, 26, 16, 801), + Trans(28, 27, 16, 801), + Trans(28, 37, 16, 801), + Trans(28, 38, 16, 801), + Trans(28, 40, 16, 801), + Trans(28, 54, 16, 801), + Trans(28, 68, 16, 801), + Trans(28, 74, 16, 801), + Trans(28, 81, 16, 801), + Trans(28, 84, 16, 801), + Trans(28, 87, 16, 801), + Trans(28, 108, 16, 801), + Trans(28, 109, 16, 801), + Trans(29, 5, 16, 801), + Trans(29, 16, 16, 801), + Trans(29, 17, 16, 801), + Trans(29, 18, 16, 801), + Trans(29, 19, 16, 801), + Trans(29, 20, 16, 801), + Trans(29, 21, 16, 801), + Trans(29, 22, 16, 801), + Trans(29, 23, 16, 801), + Trans(29, 24, 16, 801), + Trans(29, 25, 16, 801), + Trans(29, 26, 16, 801), + Trans(29, 29, 16, 801), + Trans(29, 46, 16, 801), + Trans(29, 52, 16, 801), + Trans(30, 5, 16, 801), + Trans(30, 6, 16, 801), + Trans(30, 7, 16, 801), + Trans(30, 8, 16, 801), + Trans(30, 9, 16, 801), + Trans(30, 10, 16, 801), + Trans(30, 11, 16, 801), + Trans(30, 18, 16, 801), + Trans(30, 24, 16, 801), + Trans(30, 25, 16, 801), + Trans(30, 26, 16, 801), + Trans(30, 27, 16, 801), + Trans(30, 37, 16, 801), + Trans(30, 38, 16, 801), + Trans(30, 40, 16, 801), + Trans(30, 54, 16, 801), + Trans(30, 55, 16, 801), + Trans(30, 68, 16, 801), + Trans(30, 74, 16, 801), + Trans(30, 81, 16, 801), + Trans(30, 84, 16, 801), + Trans(30, 87, 16, 801), + Trans(30, 108, 16, 801), + Trans(30, 109, 16, 801), + Trans(31, 5, 16, 801), + Trans(31, 16, 16, 801), + Trans(31, 17, 16, 801), + Trans(31, 18, 16, 801), + Trans(31, 19, 16, 801), + Trans(31, 20, 16, 801), + Trans(31, 21, 16, 801), + Trans(31, 22, 16, 801), + Trans(31, 23, 16, 801), + Trans(31, 24, 16, 801), + Trans(31, 25, 16, 801), + Trans(31, 26, 16, 801), + Trans(31, 28, 16, 801), + Trans(31, 29, 16, 801), + Trans(31, 33, 16, 801), + Trans(31, 39, 16, 801), + Trans(31, 40, 16, 801), + Trans(31, 46, 16, 801), + Trans(31, 52, 16, 801), + Trans(32, 29, 16, 801), + Trans(32, 35, 16, 801), + Trans(32, 38, 16, 801), + Trans(32, 42, 16, 801), + Trans(32, 58, 16, 801), + Trans(32, 62, 16, 801), + Trans(32, 63, 16, 801), + Trans(32, 64, 16, 801), + Trans(32, 68, 16, 801), + Trans(32, 69, 16, 801), + Trans(32, 71, 16, 801), + Trans(32, 78, 16, 801), + Trans(32, 79, 16, 801), + Trans(32, 82, 16, 801), + Trans(32, 99, 16, 801), + Trans(32, 103, 16, 801), + Trans(32, 106, 16, 801), + Trans(32, 107, 16, 801), + Trans(33, 5, 16, 801), + Trans(33, 29, 16, 801), + Trans(33, 35, 16, 801), + Trans(33, 38, 16, 801), + Trans(33, 42, 16, 801), + Trans(33, 58, 16, 801), + Trans(33, 62, 16, 801), + Trans(33, 63, 16, 801), + Trans(33, 64, 16, 801), + Trans(33, 68, 16, 801), + Trans(33, 69, 16, 801), + Trans(33, 71, 16, 801), + Trans(33, 78, 16, 801), + Trans(33, 79, 16, 801), + Trans(33, 82, 16, 801), + Trans(33, 99, 16, 801), + Trans(33, 103, 16, 801), + Trans(33, 106, 16, 801), + Trans(33, 107, 16, 801), + Trans(34, 38, 16, 801), + Trans(35, 5, 16, 801), + Trans(35, 42, 16, 801), + Trans(35, 54, 16, 801), + Trans(35, 63, 16, 801), + Trans(35, 67, 16, 801), + Trans(35, 68, 16, 801), + Trans(35, 78, 16, 801), + Trans(35, 94, 16, 801), + Trans(35, 95, 16, 801), + Trans(35, 108, 16, 801), + Trans(35, 109, 16, 801), + Trans(36, 39, 16, 801), + Trans(37, 108, 16, 801), + Trans(37, 109, 16, 801), + Trans(38, 5, 16, 801), + Trans(38, 28, 16, 801), + Trans(38, 45, 16, 801), + Trans(39, 109, 16, 801), + Trans(40, 5, 16, 801), + Trans(40, 29, 16, 801), + Trans(41, 5, 16, 801), + Trans(41, 77, 16, 801), + Trans(42, 5, 16, 801), + Trans(42, 13, 16, 801), + Trans(42, 35, 16, 801), + Trans(42, 38, 16, 801), + Trans(42, 40, 16, 801), + Trans(43, 5, 16, 801), + Trans(43, 34, 16, 801), ], k: 3, }, - /* 335 - "InterfaceIfDeclarationOpt" */ - LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 29, 2, 800), - Trans(0, 35, 2, 800), - Trans(0, 38, 2, 800), - Trans(0, 42, 2, 800), - Trans(0, 56, 1, 799), - Trans(0, 58, 2, 800), - Trans(0, 62, 2, 800), - Trans(0, 63, 2, 800), - Trans(0, 64, 2, 800), - Trans(0, 68, 2, 800), - Trans(0, 69, 2, 800), - Trans(0, 70, 2, 800), - Trans(0, 77, 2, 800), - Trans(0, 78, 2, 800), - Trans(0, 81, 2, 800), - Trans(0, 98, 2, 800), - Trans(0, 102, 2, 800), - Trans(0, 105, 2, 800), - Trans(0, 106, 2, 800), - ], - k: 1, - }, - /* 336 - "InterfaceItem" */ + /* 339 - "InterfaceIfDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 10, 828), - Trans(0, 58, 8, 826), - Trans(0, 62, 14, 832), - Trans(0, 63, 6, 824), - Trans(0, 64, 11, 829), - Trans(0, 68, 5, 823), - Trans(0, 69, 12, 830), - Trans(0, 70, 13, 831), - Trans(0, 77, 1, 819), - Trans(0, 78, 3, 821), - Trans(0, 81, 4, 822), - Trans(0, 98, 9, 827), - Trans(0, 102, 7, 825), - Trans(0, 105, 9, 827), - Trans(0, 106, 2, 820), + Trans(0, 29, 2, 803), + Trans(0, 35, 2, 803), + Trans(0, 38, 2, 803), + Trans(0, 42, 2, 803), + Trans(0, 56, 1, 802), + Trans(0, 58, 2, 803), + Trans(0, 62, 2, 803), + Trans(0, 63, 2, 803), + Trans(0, 64, 2, 803), + Trans(0, 68, 2, 803), + Trans(0, 69, 2, 803), + Trans(0, 71, 2, 803), + Trans(0, 78, 2, 803), + Trans(0, 79, 2, 803), + Trans(0, 82, 2, 803), + Trans(0, 99, 2, 803), + Trans(0, 103, 2, 803), + Trans(0, 106, 2, 803), + Trans(0, 107, 2, 803), ], k: 1, }, - /* 337 - "InterfaceNamedBlock" */ - LookaheadDFA { - prod0: 804, - transitions: &[], - k: 0, - }, - /* 338 - "InterfaceNamedBlockList" */ + /* 340 - "InterfaceItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 805), - Trans(0, 35, 1, 805), - Trans(0, 38, 1, 805), - Trans(0, 42, 2, 806), - Trans(0, 58, 1, 805), - Trans(0, 62, 1, 805), - Trans(0, 63, 1, 805), - Trans(0, 64, 1, 805), - Trans(0, 68, 1, 805), - Trans(0, 69, 1, 805), - Trans(0, 70, 1, 805), - Trans(0, 77, 1, 805), - Trans(0, 78, 1, 805), - Trans(0, 81, 1, 805), - Trans(0, 98, 1, 805), - Trans(0, 102, 1, 805), - Trans(0, 105, 1, 805), - Trans(0, 106, 1, 805), + Trans(0, 29, 10, 831), + Trans(0, 58, 8, 829), + Trans(0, 62, 14, 835), + Trans(0, 63, 6, 827), + Trans(0, 64, 11, 832), + Trans(0, 68, 5, 826), + Trans(0, 69, 12, 833), + Trans(0, 71, 13, 834), + Trans(0, 78, 1, 822), + Trans(0, 79, 3, 824), + Trans(0, 82, 4, 825), + Trans(0, 99, 9, 830), + Trans(0, 103, 7, 828), + Trans(0, 106, 9, 830), + Trans(0, 107, 2, 823), ], k: 1, }, - /* 339 - "InterfaceOptionalNamedBlock" */ + /* 341 - "InterfaceNamedBlock" */ LookaheadDFA { prod0: 807, transitions: &[], k: 0, }, - /* 340 - "InterfaceOptionalNamedBlockList" */ + /* 342 - "InterfaceNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -8570,301 +8588,332 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 64, 1, 808), Trans(0, 68, 1, 808), Trans(0, 69, 1, 808), - Trans(0, 70, 1, 808), - Trans(0, 77, 1, 808), + Trans(0, 71, 1, 808), Trans(0, 78, 1, 808), - Trans(0, 81, 1, 808), - Trans(0, 98, 1, 808), - Trans(0, 102, 1, 808), - Trans(0, 105, 1, 808), + Trans(0, 79, 1, 808), + Trans(0, 82, 1, 808), + Trans(0, 99, 1, 808), + Trans(0, 103, 1, 808), Trans(0, 106, 1, 808), + Trans(0, 107, 1, 808), ], k: 1, }, - /* 341 - "InterfaceOptionalNamedBlockOpt" */ + /* 343 - "InterfaceOptionalNamedBlock" */ + LookaheadDFA { + prod0: 810, + transitions: &[], + k: 0, + }, + /* 344 - "InterfaceOptionalNamedBlockList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 1, 810), Trans(0, 38, 2, 811)], + transitions: &[ + Trans(0, 29, 1, 811), + Trans(0, 35, 1, 811), + Trans(0, 38, 1, 811), + Trans(0, 42, 2, 812), + Trans(0, 58, 1, 811), + Trans(0, 62, 1, 811), + Trans(0, 63, 1, 811), + Trans(0, 64, 1, 811), + Trans(0, 68, 1, 811), + Trans(0, 69, 1, 811), + Trans(0, 71, 1, 811), + Trans(0, 78, 1, 811), + Trans(0, 79, 1, 811), + Trans(0, 82, 1, 811), + Trans(0, 99, 1, 811), + Trans(0, 103, 1, 811), + Trans(0, 106, 1, 811), + Trans(0, 107, 1, 811), + ], k: 1, }, - /* 342 - "InterfaceTerm" */ + /* 345 - "InterfaceOptionalNamedBlockOpt" */ LookaheadDFA { - prod0: 70, + prod0: -1, + transitions: &[Trans(0, 29, 1, 813), Trans(0, 38, 2, 814)], + k: 1, + }, + /* 346 - "InterfaceTerm" */ + LookaheadDFA { + prod0: 71, transitions: &[], k: 0, }, - /* 343 - "InterfaceToken" */ + /* 347 - "InterfaceToken" */ LookaheadDFA { - prod0: 178, + prod0: 180, transitions: &[], k: 0, }, - /* 344 - "LAngle" */ + /* 348 - "LAngle" */ LookaheadDFA { - prod0: 241, + prod0: 243, transitions: &[], k: 0, }, - /* 345 - "LAngleTerm" */ + /* 349 - "LAngleTerm" */ LookaheadDFA { prod0: 31, transitions: &[], k: 0, }, - /* 346 - "LAngleToken" */ + /* 350 - "LAngleToken" */ LookaheadDFA { - prod0: 137, + prod0: 138, transitions: &[], k: 0, }, - /* 347 - "LBrace" */ + /* 351 - "LBrace" */ LookaheadDFA { - prod0: 242, + prod0: 244, transitions: &[], k: 0, }, - /* 348 - "LBraceTerm" */ + /* 352 - "LBraceTerm" */ LookaheadDFA { prod0: 33, transitions: &[], k: 0, }, - /* 349 - "LBraceToken" */ + /* 353 - "LBraceToken" */ LookaheadDFA { - prod0: 138, + prod0: 139, transitions: &[], k: 0, }, - /* 350 - "LBracket" */ + /* 354 - "LBracket" */ LookaheadDFA { - prod0: 243, + prod0: 245, transitions: &[], k: 0, }, - /* 351 - "LBracketTerm" */ + /* 355 - "LBracketTerm" */ LookaheadDFA { prod0: 34, transitions: &[], k: 0, }, - /* 352 - "LBracketToken" */ + /* 356 - "LBracketToken" */ LookaheadDFA { - prod0: 139, + prod0: 140, transitions: &[], k: 0, }, - /* 353 - "LParen" */ + /* 357 - "LParen" */ LookaheadDFA { - prod0: 244, + prod0: 246, transitions: &[], k: 0, }, - /* 354 - "LParenTerm" */ + /* 358 - "LParenTerm" */ LookaheadDFA { prod0: 35, transitions: &[], k: 0, }, - /* 355 - "LParenToken" */ + /* 359 - "LParenToken" */ LookaheadDFA { - prod0: 140, + prod0: 141, transitions: &[], k: 0, }, - /* 356 - "Let" */ + /* 360 - "Let" */ LookaheadDFA { - prod0: 285, + prod0: 288, transitions: &[], k: 0, }, - /* 357 - "LetDeclaration" */ + /* 361 - "LetDeclaration" */ LookaheadDFA { - prod0: 569, + prod0: 572, transitions: &[], k: 0, }, - /* 358 - "LetStatement" */ + /* 362 - "LetStatement" */ LookaheadDFA { - prod0: 511, + prod0: 514, transitions: &[], k: 0, }, - /* 359 - "LetTerm" */ + /* 363 - "LetTerm" */ LookaheadDFA { - prod0: 72, + prod0: 73, transitions: &[], k: 0, }, - /* 360 - "LetToken" */ + /* 364 - "LetToken" */ LookaheadDFA { - prod0: 180, + prod0: 182, transitions: &[], k: 0, }, - /* 361 - "Local" */ + /* 365 - "Local" */ LookaheadDFA { - prod0: 286, + prod0: 289, transitions: &[], k: 0, }, - /* 362 - "LocalDeclaration" */ + /* 366 - "LocalDeclaration" */ LookaheadDFA { - prod0: 571, + prod0: 574, transitions: &[], k: 0, }, - /* 363 - "LocalDeclarationGroup" */ + /* 367 - "LocalDeclarationGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 53, 1, 572), - Trans(0, 60, 1, 572), - Trans(0, 61, 1, 572), - Trans(0, 65, 1, 572), - Trans(0, 66, 1, 572), - Trans(0, 79, 1, 572), - Trans(0, 95, 1, 572), - Trans(0, 97, 1, 572), - Trans(0, 101, 1, 572), - Trans(0, 102, 2, 573), - Trans(0, 103, 1, 572), - Trans(0, 104, 1, 572), - Trans(0, 107, 1, 572), - Trans(0, 108, 1, 572), + Trans(0, 53, 1, 575), + Trans(0, 60, 1, 575), + Trans(0, 61, 1, 575), + Trans(0, 65, 1, 575), + Trans(0, 66, 1, 575), + Trans(0, 80, 1, 575), + Trans(0, 96, 1, 575), + Trans(0, 98, 1, 575), + Trans(0, 102, 1, 575), + Trans(0, 103, 2, 576), + Trans(0, 104, 1, 575), + Trans(0, 105, 1, 575), + Trans(0, 108, 1, 575), + Trans(0, 109, 1, 575), ], k: 1, }, - /* 364 - "LocalTerm" */ + /* 368 - "LocalTerm" */ LookaheadDFA { - prod0: 73, + prod0: 74, transitions: &[], k: 0, }, - /* 365 - "LocalToken" */ + /* 369 - "LocalToken" */ LookaheadDFA { - prod0: 181, + prod0: 183, transitions: &[], k: 0, }, - /* 366 - "Logic" */ + /* 370 - "Logic" */ LookaheadDFA { - prod0: 287, + prod0: 290, transitions: &[], k: 0, }, - /* 367 - "LogicTerm" */ + /* 371 - "LogicTerm" */ LookaheadDFA { - prod0: 74, + prod0: 75, transitions: &[], k: 0, }, - /* 368 - "LogicToken" */ + /* 372 - "LogicToken" */ LookaheadDFA { - prod0: 182, + prod0: 184, transitions: &[], k: 0, }, - /* 369 - "Lsb" */ + /* 373 - "Lsb" */ LookaheadDFA { - prod0: 288, + prod0: 291, transitions: &[], k: 0, }, - /* 370 - "LsbTerm" */ + /* 374 - "LsbTerm" */ LookaheadDFA { - prod0: 75, + prod0: 76, transitions: &[], k: 0, }, - /* 371 - "LsbToken" */ + /* 375 - "LsbToken" */ LookaheadDFA { - prod0: 183, + prod0: 185, transitions: &[], k: 0, }, - /* 372 - "MinusColon" */ + /* 376 - "MinusColon" */ LookaheadDFA { - prod0: 245, + prod0: 247, transitions: &[], k: 0, }, - /* 373 - "MinusColonTerm" */ + /* 377 - "MinusColonTerm" */ LookaheadDFA { prod0: 7, transitions: &[], k: 0, }, - /* 374 - "MinusColonToken" */ + /* 378 - "MinusColonToken" */ LookaheadDFA { - prod0: 141, + prod0: 142, transitions: &[], k: 0, }, - /* 375 - "MinusGT" */ + /* 379 - "MinusGT" */ LookaheadDFA { - prod0: 246, + prod0: 248, transitions: &[], k: 0, }, - /* 376 - "MinusGTTerm" */ + /* 380 - "MinusGTTerm" */ LookaheadDFA { prod0: 8, transitions: &[], k: 0, }, - /* 377 - "MinusGTToken" */ + /* 381 - "MinusGTToken" */ LookaheadDFA { - prod0: 142, + prod0: 143, transitions: &[], k: 0, }, - /* 378 - "Modport" */ + /* 382 - "Modport" */ LookaheadDFA { - prod0: 289, + prod0: 292, transitions: &[], k: 0, }, - /* 379 - "ModportDeclaration" */ + /* 383 - "ModportDeclaration" */ LookaheadDFA { - prod0: 596, + prod0: 599, transitions: &[], k: 0, }, - /* 380 - "ModportGroup" */ + /* 384 - "ModportGroup" */ LookaheadDFA { - prod0: 602, + prod0: 605, transitions: &[], k: 0, }, - /* 381 - "ModportGroupGroup" */ + /* 385 - "ModportGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 603), Trans(0, 108, 2, 604)], + transitions: &[Trans(0, 38, 1, 606), Trans(0, 109, 2, 607)], k: 1, }, - /* 382 - "ModportGroupList" */ + /* 386 - "ModportGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 605), - Trans(0, 38, 2, 606), - Trans(0, 108, 2, 606), + Trans(0, 35, 1, 608), + Trans(0, 38, 2, 609), + Trans(0, 109, 2, 609), ], k: 1, }, - /* 383 - "ModportItem" */ + /* 387 - "ModportItem" */ LookaheadDFA { - prod0: 607, + prod0: 610, transitions: &[], k: 0, }, - /* 384 - "ModportList" */ + /* 388 - "ModportList" */ LookaheadDFA { - prod0: 597, + prod0: 600, transitions: &[], k: 0, }, - /* 385 - "ModportListList" */ + /* 389 - "ModportListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -8874,19 +8923,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 35, 2, -1), Trans(1, 38, 4, -1), Trans(1, 42, 18, -1), - Trans(1, 108, 5, -1), - Trans(2, 5, 3, 598), - Trans(2, 39, 3, 598), - Trans(4, 5, 3, 598), - Trans(4, 35, 3, 598), - Trans(4, 38, 3, 598), - Trans(4, 108, 3, 598), - Trans(5, 5, 3, 598), - Trans(5, 29, 3, 598), - Trans(6, 35, 3, 598), - Trans(6, 38, 3, 598), - Trans(6, 42, 17, 599), - Trans(6, 108, 3, 598), + Trans(1, 109, 5, -1), + Trans(2, 5, 3, 601), + Trans(2, 39, 3, 601), + Trans(4, 5, 3, 601), + Trans(4, 35, 3, 601), + Trans(4, 38, 3, 601), + Trans(4, 109, 3, 601), + Trans(5, 5, 3, 601), + Trans(5, 29, 3, 601), + Trans(6, 35, 3, 601), + Trans(6, 38, 3, 601), + Trans(6, 42, 17, 602), + Trans(6, 109, 3, 601), Trans(7, 5, 8, -1), Trans(7, 29, 9, -1), Trans(7, 30, 10, -1), @@ -8899,325 +8948,326 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(7, 64, 9, -1), Trans(7, 68, 15, -1), Trans(7, 69, 16, -1), - Trans(7, 70, 14, -1), - Trans(7, 77, 9, -1), + Trans(7, 71, 14, -1), Trans(7, 78, 9, -1), - Trans(7, 81, 9, -1), - Trans(7, 98, 9, -1), - Trans(7, 102, 9, -1), - Trans(7, 105, 9, -1), + Trans(7, 79, 9, -1), + Trans(7, 82, 9, -1), + Trans(7, 99, 9, -1), + Trans(7, 103, 9, -1), Trans(7, 106, 9, -1), - Trans(8, 29, 17, 599), - Trans(8, 30, 17, 599), - Trans(8, 35, 17, 599), - Trans(8, 38, 17, 599), - Trans(8, 42, 17, 599), - Trans(8, 58, 17, 599), - Trans(8, 62, 17, 599), - Trans(8, 63, 17, 599), - Trans(8, 64, 17, 599), - Trans(8, 68, 17, 599), - Trans(8, 69, 17, 599), - Trans(8, 70, 17, 599), - Trans(8, 77, 17, 599), - Trans(8, 78, 17, 599), - Trans(8, 81, 17, 599), - Trans(8, 98, 17, 599), - Trans(8, 102, 17, 599), - Trans(8, 105, 17, 599), - Trans(8, 106, 17, 599), - Trans(9, 5, 17, 599), - Trans(9, 108, 17, 599), - Trans(10, 5, 17, 599), - Trans(10, 35, 17, 599), - Trans(10, 38, 17, 599), - Trans(10, 42, 17, 599), - Trans(10, 108, 17, 599), - Trans(11, 5, 17, 599), - Trans(11, 39, 17, 599), - Trans(12, 5, 17, 599), - Trans(12, 29, 17, 599), - Trans(12, 35, 17, 599), - Trans(12, 38, 17, 599), - Trans(12, 42, 17, 599), - Trans(12, 58, 17, 599), - Trans(12, 62, 17, 599), - Trans(12, 63, 17, 599), - Trans(12, 64, 17, 599), - Trans(12, 68, 17, 599), - Trans(12, 69, 17, 599), - Trans(12, 70, 17, 599), - Trans(12, 77, 17, 599), - Trans(12, 78, 17, 599), - Trans(12, 81, 17, 599), - Trans(12, 98, 17, 599), - Trans(12, 102, 17, 599), - Trans(12, 105, 17, 599), - Trans(12, 106, 17, 599), - Trans(13, 0, 17, 599), - Trans(13, 5, 17, 599), - Trans(13, 29, 17, 599), - Trans(13, 30, 17, 599), - Trans(13, 35, 17, 599), - Trans(13, 38, 17, 599), - Trans(13, 42, 17, 599), - Trans(13, 56, 17, 599), - Trans(13, 57, 17, 599), - Trans(13, 58, 17, 599), - Trans(13, 62, 17, 599), - Trans(13, 63, 17, 599), - Trans(13, 64, 17, 599), - Trans(13, 68, 17, 599), - Trans(13, 69, 17, 599), - Trans(13, 70, 17, 599), - Trans(13, 75, 17, 599), - Trans(13, 77, 17, 599), - Trans(13, 78, 17, 599), - Trans(13, 81, 17, 599), - Trans(13, 82, 17, 599), - Trans(13, 87, 17, 599), - Trans(13, 90, 17, 599), - Trans(13, 98, 17, 599), - Trans(13, 102, 17, 599), - Trans(13, 105, 17, 599), - Trans(13, 106, 17, 599), - Trans(14, 5, 17, 599), - Trans(14, 38, 17, 599), - Trans(15, 5, 17, 599), - Trans(15, 6, 17, 599), - Trans(15, 7, 17, 599), - Trans(15, 8, 17, 599), - Trans(15, 9, 17, 599), - Trans(15, 10, 17, 599), - Trans(15, 11, 17, 599), - Trans(15, 18, 17, 599), - Trans(15, 24, 17, 599), - Trans(15, 25, 17, 599), - Trans(15, 26, 17, 599), - Trans(15, 27, 17, 599), - Trans(15, 37, 17, 599), - Trans(15, 38, 17, 599), - Trans(15, 40, 17, 599), - Trans(15, 54, 17, 599), - Trans(15, 68, 17, 599), - Trans(15, 73, 17, 599), - Trans(15, 80, 17, 599), - Trans(15, 83, 17, 599), - Trans(15, 86, 17, 599), - Trans(15, 107, 17, 599), - Trans(15, 108, 17, 599), - Trans(16, 5, 17, 599), - Trans(16, 107, 17, 599), - Trans(16, 108, 17, 599), - Trans(18, 5, 17, 599), - Trans(18, 29, 17, 599), - Trans(18, 30, 17, 599), - Trans(18, 35, 17, 599), - Trans(18, 38, 17, 599), - Trans(18, 42, 17, 599), - Trans(18, 58, 17, 599), - Trans(18, 62, 17, 599), - Trans(18, 63, 17, 599), - Trans(18, 64, 17, 599), - Trans(18, 68, 17, 599), - Trans(18, 69, 17, 599), - Trans(18, 70, 17, 599), - Trans(18, 77, 17, 599), - Trans(18, 78, 17, 599), - Trans(18, 81, 17, 599), - Trans(18, 98, 17, 599), - Trans(18, 102, 17, 599), - Trans(18, 105, 17, 599), - Trans(18, 106, 17, 599), + Trans(7, 107, 9, -1), + Trans(8, 29, 17, 602), + Trans(8, 30, 17, 602), + Trans(8, 35, 17, 602), + Trans(8, 38, 17, 602), + Trans(8, 42, 17, 602), + Trans(8, 58, 17, 602), + Trans(8, 62, 17, 602), + Trans(8, 63, 17, 602), + Trans(8, 64, 17, 602), + Trans(8, 68, 17, 602), + Trans(8, 69, 17, 602), + Trans(8, 71, 17, 602), + Trans(8, 78, 17, 602), + Trans(8, 79, 17, 602), + Trans(8, 82, 17, 602), + Trans(8, 99, 17, 602), + Trans(8, 103, 17, 602), + Trans(8, 106, 17, 602), + Trans(8, 107, 17, 602), + Trans(9, 5, 17, 602), + Trans(9, 109, 17, 602), + Trans(10, 5, 17, 602), + Trans(10, 35, 17, 602), + Trans(10, 38, 17, 602), + Trans(10, 42, 17, 602), + Trans(10, 109, 17, 602), + Trans(11, 5, 17, 602), + Trans(11, 39, 17, 602), + Trans(12, 5, 17, 602), + Trans(12, 29, 17, 602), + Trans(12, 35, 17, 602), + Trans(12, 38, 17, 602), + Trans(12, 42, 17, 602), + Trans(12, 58, 17, 602), + Trans(12, 62, 17, 602), + Trans(12, 63, 17, 602), + Trans(12, 64, 17, 602), + Trans(12, 68, 17, 602), + Trans(12, 69, 17, 602), + Trans(12, 71, 17, 602), + Trans(12, 78, 17, 602), + Trans(12, 79, 17, 602), + Trans(12, 82, 17, 602), + Trans(12, 99, 17, 602), + Trans(12, 103, 17, 602), + Trans(12, 106, 17, 602), + Trans(12, 107, 17, 602), + Trans(13, 0, 17, 602), + Trans(13, 5, 17, 602), + Trans(13, 29, 17, 602), + Trans(13, 30, 17, 602), + Trans(13, 35, 17, 602), + Trans(13, 38, 17, 602), + Trans(13, 42, 17, 602), + Trans(13, 56, 17, 602), + Trans(13, 57, 17, 602), + Trans(13, 58, 17, 602), + Trans(13, 62, 17, 602), + Trans(13, 63, 17, 602), + Trans(13, 64, 17, 602), + Trans(13, 68, 17, 602), + Trans(13, 69, 17, 602), + Trans(13, 70, 17, 602), + Trans(13, 71, 17, 602), + Trans(13, 76, 17, 602), + Trans(13, 78, 17, 602), + Trans(13, 79, 17, 602), + Trans(13, 82, 17, 602), + Trans(13, 83, 17, 602), + Trans(13, 88, 17, 602), + Trans(13, 91, 17, 602), + Trans(13, 99, 17, 602), + Trans(13, 103, 17, 602), + Trans(13, 106, 17, 602), + Trans(13, 107, 17, 602), + Trans(14, 5, 17, 602), + Trans(14, 38, 17, 602), + Trans(15, 5, 17, 602), + Trans(15, 6, 17, 602), + Trans(15, 7, 17, 602), + Trans(15, 8, 17, 602), + Trans(15, 9, 17, 602), + Trans(15, 10, 17, 602), + Trans(15, 11, 17, 602), + Trans(15, 18, 17, 602), + Trans(15, 24, 17, 602), + Trans(15, 25, 17, 602), + Trans(15, 26, 17, 602), + Trans(15, 27, 17, 602), + Trans(15, 37, 17, 602), + Trans(15, 38, 17, 602), + Trans(15, 40, 17, 602), + Trans(15, 54, 17, 602), + Trans(15, 68, 17, 602), + Trans(15, 74, 17, 602), + Trans(15, 81, 17, 602), + Trans(15, 84, 17, 602), + Trans(15, 87, 17, 602), + Trans(15, 108, 17, 602), + Trans(15, 109, 17, 602), + Trans(16, 5, 17, 602), + Trans(16, 108, 17, 602), + Trans(16, 109, 17, 602), + Trans(18, 5, 17, 602), + Trans(18, 29, 17, 602), + Trans(18, 30, 17, 602), + Trans(18, 35, 17, 602), + Trans(18, 38, 17, 602), + Trans(18, 42, 17, 602), + Trans(18, 58, 17, 602), + Trans(18, 62, 17, 602), + Trans(18, 63, 17, 602), + Trans(18, 64, 17, 602), + Trans(18, 68, 17, 602), + Trans(18, 69, 17, 602), + Trans(18, 71, 17, 602), + Trans(18, 78, 17, 602), + Trans(18, 79, 17, 602), + Trans(18, 82, 17, 602), + Trans(18, 99, 17, 602), + Trans(18, 103, 17, 602), + Trans(18, 106, 17, 602), + Trans(18, 107, 17, 602), ], k: 3, }, - /* 386 - "ModportListOpt" */ + /* 390 - "ModportListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 600), Trans(0, 42, 2, 601)], + transitions: &[Trans(0, 30, 1, 603), Trans(0, 42, 2, 604)], k: 1, }, - /* 387 - "ModportTerm" */ + /* 391 - "ModportTerm" */ LookaheadDFA { - prod0: 76, + prod0: 77, transitions: &[], k: 0, }, - /* 388 - "ModportToken" */ + /* 392 - "ModportToken" */ LookaheadDFA { - prod0: 184, + prod0: 186, transitions: &[], k: 0, }, - /* 389 - "Module" */ + /* 393 - "Module" */ LookaheadDFA { - prod0: 290, + prod0: 293, transitions: &[], k: 0, }, - /* 390 - "ModuleDeclaration" */ + /* 394 - "ModuleDeclaration" */ LookaheadDFA { - prod0: 740, + prod0: 743, transitions: &[], k: 0, }, - /* 391 - "ModuleDeclarationList" */ + /* 395 - "ModuleDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 741), - Trans(0, 35, 1, 741), - Trans(0, 38, 1, 741), - Trans(0, 42, 2, 742), - Trans(0, 47, 1, 741), - Trans(0, 48, 1, 741), - Trans(0, 49, 1, 741), - Trans(0, 58, 1, 741), - Trans(0, 62, 1, 741), - Trans(0, 63, 1, 741), - Trans(0, 64, 1, 741), - Trans(0, 68, 1, 741), - Trans(0, 69, 1, 741), - Trans(0, 70, 1, 741), - Trans(0, 74, 1, 741), - Trans(0, 77, 1, 741), - Trans(0, 78, 1, 741), - Trans(0, 98, 1, 741), - Trans(0, 102, 1, 741), - Trans(0, 105, 1, 741), - Trans(0, 106, 1, 741), + Trans(0, 29, 1, 744), + Trans(0, 35, 1, 744), + Trans(0, 38, 1, 744), + Trans(0, 42, 2, 745), + Trans(0, 47, 1, 744), + Trans(0, 48, 1, 744), + Trans(0, 49, 1, 744), + Trans(0, 58, 1, 744), + Trans(0, 62, 1, 744), + Trans(0, 63, 1, 744), + Trans(0, 64, 1, 744), + Trans(0, 68, 1, 744), + Trans(0, 69, 1, 744), + Trans(0, 71, 1, 744), + Trans(0, 75, 1, 744), + Trans(0, 78, 1, 744), + Trans(0, 79, 1, 744), + Trans(0, 99, 1, 744), + Trans(0, 103, 1, 744), + Trans(0, 106, 1, 744), + Trans(0, 107, 1, 744), ], k: 1, }, - /* 392 - "ModuleDeclarationOpt" */ + /* 396 - "ModuleDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 82, 2, 748), Trans(0, 90, 1, 747)], + transitions: &[Trans(0, 83, 2, 751), Trans(0, 91, 1, 750)], k: 1, }, - /* 393 - "ModuleDeclarationOpt0" */ + /* 397 - "ModuleDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 745), - Trans(0, 38, 2, 746), - Trans(0, 40, 2, 746), + Trans(0, 35, 1, 748), + Trans(0, 38, 2, 749), + Trans(0, 40, 2, 749), ], k: 1, }, - /* 394 - "ModuleDeclarationOpt1" */ + /* 398 - "ModuleDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 2, 744), Trans(0, 40, 1, 743)], + transitions: &[Trans(0, 38, 2, 747), Trans(0, 40, 1, 746)], k: 1, }, - /* 395 - "ModuleForDeclaration" */ + /* 399 - "ModuleForDeclaration" */ LookaheadDFA { - prod0: 754, + prod0: 757, transitions: &[], k: 0, }, - /* 396 - "ModuleForDeclarationOpt" */ + /* 400 - "ModuleForDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 2, 756), Trans(0, 96, 1, 755)], + transitions: &[Trans(0, 29, 2, 759), Trans(0, 97, 1, 758)], k: 1, }, - /* 397 - "ModuleGroup" */ + /* 401 - "ModuleGroup" */ LookaheadDFA { - prod0: 765, + prod0: 768, transitions: &[], k: 0, }, - /* 398 - "ModuleGroupGroup" */ + /* 402 - "ModuleGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 769), - Trans(0, 38, 1, 766), - Trans(0, 47, 2, 769), - Trans(0, 48, 2, 769), - Trans(0, 49, 2, 769), - Trans(0, 58, 2, 769), - Trans(0, 62, 2, 769), - Trans(0, 63, 2, 769), - Trans(0, 64, 2, 769), - Trans(0, 68, 2, 769), - Trans(0, 69, 2, 769), - Trans(0, 70, 2, 769), - Trans(0, 74, 2, 769), - Trans(0, 77, 2, 769), - Trans(0, 78, 2, 769), - Trans(0, 98, 2, 769), - Trans(0, 102, 2, 769), - Trans(0, 105, 2, 769), - Trans(0, 106, 2, 769), + Trans(0, 29, 2, 772), + Trans(0, 38, 1, 769), + Trans(0, 47, 2, 772), + Trans(0, 48, 2, 772), + Trans(0, 49, 2, 772), + Trans(0, 58, 2, 772), + Trans(0, 62, 2, 772), + Trans(0, 63, 2, 772), + Trans(0, 64, 2, 772), + Trans(0, 68, 2, 772), + Trans(0, 69, 2, 772), + Trans(0, 71, 2, 772), + Trans(0, 75, 2, 772), + Trans(0, 78, 2, 772), + Trans(0, 79, 2, 772), + Trans(0, 99, 2, 772), + Trans(0, 103, 2, 772), + Trans(0, 106, 2, 772), + Trans(0, 107, 2, 772), ], k: 1, }, - /* 399 - "ModuleGroupGroupList" */ + /* 403 - "ModuleGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 767), - Trans(0, 35, 1, 767), - Trans(0, 38, 1, 767), - Trans(0, 42, 2, 768), - Trans(0, 47, 1, 767), - Trans(0, 48, 1, 767), - Trans(0, 49, 1, 767), - Trans(0, 58, 1, 767), - Trans(0, 62, 1, 767), - Trans(0, 63, 1, 767), - Trans(0, 64, 1, 767), - Trans(0, 68, 1, 767), - Trans(0, 69, 1, 767), - Trans(0, 70, 1, 767), - Trans(0, 74, 1, 767), - Trans(0, 77, 1, 767), - Trans(0, 78, 1, 767), - Trans(0, 98, 1, 767), - Trans(0, 102, 1, 767), - Trans(0, 105, 1, 767), - Trans(0, 106, 1, 767), + Trans(0, 29, 1, 770), + Trans(0, 35, 1, 770), + Trans(0, 38, 1, 770), + Trans(0, 42, 2, 771), + Trans(0, 47, 1, 770), + Trans(0, 48, 1, 770), + Trans(0, 49, 1, 770), + Trans(0, 58, 1, 770), + Trans(0, 62, 1, 770), + Trans(0, 63, 1, 770), + Trans(0, 64, 1, 770), + Trans(0, 68, 1, 770), + Trans(0, 69, 1, 770), + Trans(0, 71, 1, 770), + Trans(0, 75, 1, 770), + Trans(0, 78, 1, 770), + Trans(0, 79, 1, 770), + Trans(0, 99, 1, 770), + Trans(0, 103, 1, 770), + Trans(0, 106, 1, 770), + Trans(0, 107, 1, 770), ], k: 1, }, - /* 400 - "ModuleGroupList" */ + /* 404 - "ModuleGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 771), - Trans(0, 35, 1, 770), - Trans(0, 38, 2, 771), - Trans(0, 47, 2, 771), - Trans(0, 48, 2, 771), - Trans(0, 49, 2, 771), - Trans(0, 58, 2, 771), - Trans(0, 62, 2, 771), - Trans(0, 63, 2, 771), - Trans(0, 64, 2, 771), - Trans(0, 68, 2, 771), - Trans(0, 69, 2, 771), - Trans(0, 70, 2, 771), - Trans(0, 74, 2, 771), - Trans(0, 77, 2, 771), - Trans(0, 78, 2, 771), - Trans(0, 98, 2, 771), - Trans(0, 102, 2, 771), - Trans(0, 105, 2, 771), - Trans(0, 106, 2, 771), + Trans(0, 29, 2, 774), + Trans(0, 35, 1, 773), + Trans(0, 38, 2, 774), + Trans(0, 47, 2, 774), + Trans(0, 48, 2, 774), + Trans(0, 49, 2, 774), + Trans(0, 58, 2, 774), + Trans(0, 62, 2, 774), + Trans(0, 63, 2, 774), + Trans(0, 64, 2, 774), + Trans(0, 68, 2, 774), + Trans(0, 69, 2, 774), + Trans(0, 71, 2, 774), + Trans(0, 75, 2, 774), + Trans(0, 78, 2, 774), + Trans(0, 79, 2, 774), + Trans(0, 99, 2, 774), + Trans(0, 103, 2, 774), + Trans(0, 106, 2, 774), + Trans(0, 107, 2, 774), ], k: 1, }, - /* 401 - "ModuleIfDeclaration" */ + /* 405 - "ModuleIfDeclaration" */ LookaheadDFA { - prod0: 749, + prod0: 752, transitions: &[], k: 0, }, - /* 402 - "ModuleIfDeclarationList" */ + /* 406 - "ModuleIfDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -9235,46 +9285,46 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 64, 14, -1), Trans(0, 68, 15, -1), Trans(0, 69, 16, -1), - Trans(0, 70, 9, -1), - Trans(0, 74, 12, -1), - Trans(0, 77, 12, -1), + Trans(0, 71, 9, -1), + Trans(0, 75, 12, -1), Trans(0, 78, 12, -1), - Trans(0, 98, 5, -1), - Trans(0, 102, 17, -1), - Trans(0, 105, 5, -1), - Trans(0, 106, 12, -1), + Trans(0, 79, 12, -1), + Trans(0, 99, 5, -1), + Trans(0, 103, 17, -1), + Trans(0, 106, 5, -1), + Trans(0, 107, 12, -1), Trans(1, 5, 4, -1), Trans(1, 29, 20, -1), Trans(1, 38, 35, -1), Trans(1, 68, 2, -1), - Trans(2, 5, 3, 750), - Trans(2, 6, 3, 750), - Trans(2, 7, 3, 750), - Trans(2, 8, 3, 750), - Trans(2, 9, 3, 750), - Trans(2, 10, 3, 750), - Trans(2, 11, 3, 750), - Trans(2, 18, 3, 750), - Trans(2, 24, 3, 750), - Trans(2, 25, 3, 750), - Trans(2, 26, 3, 750), - Trans(2, 27, 3, 750), - Trans(2, 37, 3, 750), - Trans(2, 38, 3, 750), - Trans(2, 40, 3, 750), - Trans(2, 54, 3, 750), - Trans(2, 68, 3, 750), - Trans(2, 73, 3, 750), - Trans(2, 80, 3, 750), - Trans(2, 83, 3, 750), - Trans(2, 86, 3, 750), - Trans(2, 107, 3, 750), - Trans(2, 108, 3, 750), - Trans(4, 29, 18, 751), - Trans(4, 38, 18, 751), - Trans(4, 68, 3, 750), + Trans(2, 5, 3, 753), + Trans(2, 6, 3, 753), + Trans(2, 7, 3, 753), + Trans(2, 8, 3, 753), + Trans(2, 9, 3, 753), + Trans(2, 10, 3, 753), + Trans(2, 11, 3, 753), + Trans(2, 18, 3, 753), + Trans(2, 24, 3, 753), + Trans(2, 25, 3, 753), + Trans(2, 26, 3, 753), + Trans(2, 27, 3, 753), + Trans(2, 37, 3, 753), + Trans(2, 38, 3, 753), + Trans(2, 40, 3, 753), + Trans(2, 54, 3, 753), + Trans(2, 68, 3, 753), + Trans(2, 74, 3, 753), + Trans(2, 81, 3, 753), + Trans(2, 84, 3, 753), + Trans(2, 87, 3, 753), + Trans(2, 108, 3, 753), + Trans(2, 109, 3, 753), + Trans(4, 29, 18, 754), + Trans(4, 38, 18, 754), + Trans(4, 68, 3, 753), Trans(5, 5, 43, -1), - Trans(5, 108, 24, -1), + Trans(5, 109, 24, -1), Trans(6, 5, 38, -1), Trans(6, 39, 20, -1), Trans(7, 5, 34, -1), @@ -9291,15 +9341,15 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(7, 64, 20, -1), Trans(7, 68, 27, -1), Trans(7, 69, 28, -1), - Trans(7, 70, 24, -1), - Trans(7, 74, 20, -1), - Trans(7, 77, 20, -1), + Trans(7, 71, 24, -1), + Trans(7, 75, 20, -1), Trans(7, 78, 20, -1), - Trans(7, 98, 20, -1), - Trans(7, 102, 20, -1), - Trans(7, 105, 20, -1), + Trans(7, 79, 20, -1), + Trans(7, 99, 20, -1), + Trans(7, 103, 20, -1), Trans(7, 106, 20, -1), - Trans(8, 0, 18, 751), + Trans(7, 107, 20, -1), + Trans(8, 0, 18, 754), Trans(8, 5, 19, -1), Trans(8, 29, 20, -1), Trans(8, 35, 21, -1), @@ -9316,30 +9366,31 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(8, 64, 20, -1), Trans(8, 68, 27, -1), Trans(8, 69, 28, -1), - Trans(8, 70, 24, -1), - Trans(8, 74, 20, -1), + Trans(8, 70, 25, -1), + Trans(8, 71, 24, -1), Trans(8, 75, 20, -1), - Trans(8, 77, 20, -1), + Trans(8, 76, 20, -1), Trans(8, 78, 20, -1), - Trans(8, 82, 20, -1), - Trans(8, 87, 20, -1), - Trans(8, 90, 29, -1), - Trans(8, 98, 20, -1), - Trans(8, 102, 20, -1), - Trans(8, 105, 20, -1), + Trans(8, 79, 20, -1), + Trans(8, 83, 20, -1), + Trans(8, 88, 20, -1), + Trans(8, 91, 29, -1), + Trans(8, 99, 20, -1), + Trans(8, 103, 20, -1), Trans(8, 106, 20, -1), + Trans(8, 107, 20, -1), Trans(9, 5, 36, -1), Trans(9, 38, 37, -1), Trans(10, 5, 39, -1), Trans(10, 40, 40, -1), Trans(11, 5, 43, -1), - Trans(11, 108, 44, -1), + Trans(11, 109, 44, -1), Trans(12, 5, 43, -1), - Trans(12, 108, 45, -1), + Trans(12, 109, 45, -1), Trans(13, 5, 43, -1), - Trans(13, 108, 46, -1), + Trans(13, 109, 46, -1), Trans(14, 5, 43, -1), - Trans(14, 108, 47, -1), + Trans(14, 109, 47, -1), Trans(15, 5, 30, -1), Trans(15, 6, 31, -1), Trans(15, 7, 31, -1), @@ -9357,403 +9408,372 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(15, 40, 27, -1), Trans(15, 54, 27, -1), Trans(15, 68, 27, -1), - Trans(15, 73, 27, -1), - Trans(15, 80, 31, -1), - Trans(15, 83, 31, -1), - Trans(15, 86, 27, -1), - Trans(15, 107, 33, -1), + Trans(15, 74, 27, -1), + Trans(15, 81, 31, -1), + Trans(15, 84, 31, -1), + Trans(15, 87, 27, -1), Trans(15, 108, 33, -1), + Trans(15, 109, 33, -1), Trans(16, 5, 41, -1), - Trans(16, 107, 42, -1), Trans(16, 108, 42, -1), + Trans(16, 109, 42, -1), Trans(17, 5, 43, -1), - Trans(17, 108, 48, -1), - Trans(19, 0, 18, 751), - Trans(19, 29, 18, 751), - Trans(19, 35, 18, 751), - Trans(19, 38, 18, 751), - Trans(19, 42, 18, 751), - Trans(19, 47, 18, 751), - Trans(19, 48, 18, 751), - Trans(19, 49, 18, 751), - Trans(19, 56, 18, 751), - Trans(19, 57, 18, 751), - Trans(19, 58, 18, 751), - Trans(19, 62, 18, 751), - Trans(19, 63, 18, 751), - Trans(19, 64, 18, 751), - Trans(19, 68, 18, 751), - Trans(19, 69, 18, 751), - Trans(19, 70, 18, 751), - Trans(19, 74, 18, 751), - Trans(19, 75, 18, 751), - Trans(19, 77, 18, 751), - Trans(19, 78, 18, 751), - Trans(19, 82, 18, 751), - Trans(19, 87, 18, 751), - Trans(19, 90, 18, 751), - Trans(19, 98, 18, 751), - Trans(19, 102, 18, 751), - Trans(19, 105, 18, 751), - Trans(19, 106, 18, 751), - Trans(20, 5, 18, 751), - Trans(20, 108, 18, 751), - Trans(21, 5, 18, 751), - Trans(21, 39, 18, 751), - Trans(22, 5, 18, 751), - Trans(22, 29, 18, 751), - Trans(22, 35, 18, 751), - Trans(22, 38, 18, 751), - Trans(22, 42, 18, 751), - Trans(22, 47, 18, 751), - Trans(22, 48, 18, 751), - Trans(22, 49, 18, 751), - Trans(22, 57, 18, 751), - Trans(22, 58, 18, 751), - Trans(22, 62, 18, 751), - Trans(22, 63, 18, 751), - Trans(22, 64, 18, 751), - Trans(22, 68, 18, 751), - Trans(22, 69, 18, 751), - Trans(22, 70, 18, 751), - Trans(22, 74, 18, 751), - Trans(22, 75, 18, 751), - Trans(22, 77, 18, 751), - Trans(22, 78, 18, 751), - Trans(22, 82, 18, 751), - Trans(22, 87, 18, 751), - Trans(22, 90, 18, 751), - Trans(22, 98, 18, 751), - Trans(22, 102, 18, 751), - Trans(22, 105, 18, 751), - Trans(22, 106, 18, 751), - Trans(23, 0, 18, 751), - Trans(23, 5, 18, 751), - Trans(23, 29, 18, 751), - Trans(23, 35, 18, 751), - Trans(23, 38, 18, 751), - Trans(23, 42, 18, 751), - Trans(23, 47, 18, 751), - Trans(23, 48, 18, 751), - Trans(23, 49, 18, 751), - Trans(23, 56, 18, 751), - Trans(23, 57, 18, 751), - Trans(23, 58, 18, 751), - Trans(23, 62, 18, 751), - Trans(23, 63, 18, 751), - Trans(23, 64, 18, 751), - Trans(23, 68, 18, 751), - Trans(23, 69, 18, 751), - Trans(23, 70, 18, 751), - Trans(23, 74, 18, 751), - Trans(23, 75, 18, 751), - Trans(23, 77, 18, 751), - Trans(23, 78, 18, 751), - Trans(23, 82, 18, 751), - Trans(23, 87, 18, 751), - Trans(23, 90, 18, 751), - Trans(23, 98, 18, 751), - Trans(23, 102, 18, 751), - Trans(23, 105, 18, 751), - Trans(23, 106, 18, 751), - Trans(24, 5, 18, 751), - Trans(24, 38, 18, 751), - Trans(25, 5, 18, 751), - Trans(25, 40, 18, 751), - Trans(26, 5, 18, 751), - Trans(26, 29, 18, 751), - Trans(26, 38, 18, 751), - Trans(26, 68, 18, 751), - Trans(27, 5, 18, 751), - Trans(27, 6, 18, 751), - Trans(27, 7, 18, 751), - Trans(27, 8, 18, 751), - Trans(27, 9, 18, 751), - Trans(27, 10, 18, 751), - Trans(27, 11, 18, 751), - Trans(27, 18, 18, 751), - Trans(27, 24, 18, 751), - Trans(27, 25, 18, 751), - Trans(27, 26, 18, 751), - Trans(27, 27, 18, 751), - Trans(27, 37, 18, 751), - Trans(27, 38, 18, 751), - Trans(27, 40, 18, 751), - Trans(27, 54, 18, 751), - Trans(27, 68, 18, 751), - Trans(27, 73, 18, 751), - Trans(27, 80, 18, 751), - Trans(27, 83, 18, 751), - Trans(27, 86, 18, 751), - Trans(27, 107, 18, 751), - Trans(27, 108, 18, 751), - Trans(28, 5, 18, 751), - Trans(28, 107, 18, 751), - Trans(28, 108, 18, 751), - Trans(29, 5, 18, 751), - Trans(29, 75, 18, 751), - Trans(29, 82, 18, 751), - Trans(29, 87, 18, 751), - Trans(30, 6, 18, 751), - Trans(30, 7, 18, 751), - Trans(30, 8, 18, 751), - Trans(30, 9, 18, 751), - Trans(30, 10, 18, 751), - Trans(30, 11, 18, 751), - Trans(30, 18, 18, 751), - Trans(30, 24, 18, 751), - Trans(30, 25, 18, 751), - Trans(30, 26, 18, 751), - Trans(30, 27, 18, 751), - Trans(30, 37, 18, 751), - Trans(30, 38, 18, 751), - Trans(30, 40, 18, 751), - Trans(30, 54, 18, 751), - Trans(30, 68, 18, 751), - Trans(30, 73, 18, 751), - Trans(30, 80, 18, 751), - Trans(30, 83, 18, 751), - Trans(30, 86, 18, 751), - Trans(30, 107, 18, 751), - Trans(30, 108, 18, 751), - Trans(31, 5, 18, 751), - Trans(31, 16, 18, 751), - Trans(31, 17, 18, 751), - Trans(31, 18, 18, 751), - Trans(31, 19, 18, 751), - Trans(31, 20, 18, 751), - Trans(31, 21, 18, 751), - Trans(31, 22, 18, 751), - Trans(31, 23, 18, 751), - Trans(31, 24, 18, 751), - Trans(31, 25, 18, 751), - Trans(31, 26, 18, 751), - Trans(31, 29, 18, 751), - Trans(31, 46, 18, 751), - Trans(31, 52, 18, 751), - Trans(32, 5, 18, 751), - Trans(32, 6, 18, 751), - Trans(32, 7, 18, 751), - Trans(32, 8, 18, 751), - Trans(32, 9, 18, 751), - Trans(32, 10, 18, 751), - Trans(32, 11, 18, 751), - Trans(32, 18, 18, 751), - Trans(32, 24, 18, 751), - Trans(32, 25, 18, 751), - Trans(32, 26, 18, 751), - Trans(32, 27, 18, 751), - Trans(32, 37, 18, 751), - Trans(32, 38, 18, 751), - Trans(32, 40, 18, 751), - Trans(32, 54, 18, 751), - Trans(32, 55, 18, 751), - Trans(32, 68, 18, 751), - Trans(32, 73, 18, 751), - Trans(32, 80, 18, 751), - Trans(32, 83, 18, 751), - Trans(32, 86, 18, 751), - Trans(32, 107, 18, 751), - Trans(32, 108, 18, 751), - Trans(33, 5, 18, 751), - Trans(33, 16, 18, 751), - Trans(33, 17, 18, 751), - Trans(33, 18, 18, 751), - Trans(33, 19, 18, 751), - Trans(33, 20, 18, 751), - Trans(33, 21, 18, 751), - Trans(33, 22, 18, 751), - Trans(33, 23, 18, 751), - Trans(33, 24, 18, 751), - Trans(33, 25, 18, 751), - Trans(33, 26, 18, 751), - Trans(33, 28, 18, 751), - Trans(33, 29, 18, 751), - Trans(33, 33, 18, 751), - Trans(33, 39, 18, 751), - Trans(33, 40, 18, 751), - Trans(33, 46, 18, 751), - Trans(33, 52, 18, 751), - Trans(34, 29, 18, 751), - Trans(34, 35, 18, 751), - Trans(34, 38, 18, 751), - Trans(34, 42, 18, 751), - Trans(34, 47, 18, 751), - Trans(34, 48, 18, 751), - Trans(34, 49, 18, 751), - Trans(34, 58, 18, 751), - Trans(34, 62, 18, 751), - Trans(34, 63, 18, 751), - Trans(34, 64, 18, 751), - Trans(34, 68, 18, 751), - Trans(34, 69, 18, 751), - Trans(34, 70, 18, 751), - Trans(34, 74, 18, 751), - Trans(34, 77, 18, 751), - Trans(34, 78, 18, 751), - Trans(34, 98, 18, 751), - Trans(34, 102, 18, 751), - Trans(34, 105, 18, 751), - Trans(34, 106, 18, 751), - Trans(35, 5, 18, 751), - Trans(35, 29, 18, 751), - Trans(35, 35, 18, 751), - Trans(35, 38, 18, 751), - Trans(35, 42, 18, 751), - Trans(35, 47, 18, 751), - Trans(35, 48, 18, 751), - Trans(35, 49, 18, 751), - Trans(35, 58, 18, 751), - Trans(35, 62, 18, 751), - Trans(35, 63, 18, 751), - Trans(35, 64, 18, 751), - Trans(35, 68, 18, 751), - Trans(35, 69, 18, 751), - Trans(35, 70, 18, 751), - Trans(35, 74, 18, 751), - Trans(35, 77, 18, 751), - Trans(35, 78, 18, 751), - Trans(35, 98, 18, 751), - Trans(35, 102, 18, 751), - Trans(35, 105, 18, 751), - Trans(35, 106, 18, 751), - Trans(36, 38, 18, 751), - Trans(37, 5, 18, 751), - Trans(37, 42, 18, 751), - Trans(37, 54, 18, 751), - Trans(37, 63, 18, 751), - Trans(37, 67, 18, 751), - Trans(37, 68, 18, 751), - Trans(37, 77, 18, 751), - Trans(37, 93, 18, 751), - Trans(37, 94, 18, 751), - Trans(37, 107, 18, 751), - Trans(37, 108, 18, 751), - Trans(38, 39, 18, 751), - Trans(39, 40, 18, 751), - Trans(40, 5, 18, 751), - Trans(40, 84, 18, 751), - Trans(40, 89, 18, 751), - Trans(40, 108, 18, 751), - Trans(41, 107, 18, 751), - Trans(41, 108, 18, 751), - Trans(42, 5, 18, 751), - Trans(42, 28, 18, 751), - Trans(42, 45, 18, 751), - Trans(43, 108, 18, 751), - Trans(44, 5, 18, 751), - Trans(44, 33, 18, 751), - Trans(44, 34, 18, 751), - Trans(44, 39, 18, 751), - Trans(45, 5, 18, 751), - Trans(45, 29, 18, 751), - Trans(46, 5, 18, 751), - Trans(46, 76, 18, 751), - Trans(47, 5, 18, 751), - Trans(47, 13, 18, 751), - Trans(47, 35, 18, 751), - Trans(47, 38, 18, 751), - Trans(47, 40, 18, 751), - Trans(48, 5, 18, 751), - Trans(48, 34, 18, 751), + Trans(17, 109, 48, -1), + Trans(19, 0, 18, 754), + Trans(19, 29, 18, 754), + Trans(19, 35, 18, 754), + Trans(19, 38, 18, 754), + Trans(19, 42, 18, 754), + Trans(19, 47, 18, 754), + Trans(19, 48, 18, 754), + Trans(19, 49, 18, 754), + Trans(19, 56, 18, 754), + Trans(19, 57, 18, 754), + Trans(19, 58, 18, 754), + Trans(19, 62, 18, 754), + Trans(19, 63, 18, 754), + Trans(19, 64, 18, 754), + Trans(19, 68, 18, 754), + Trans(19, 69, 18, 754), + Trans(19, 70, 18, 754), + Trans(19, 71, 18, 754), + Trans(19, 75, 18, 754), + Trans(19, 76, 18, 754), + Trans(19, 78, 18, 754), + Trans(19, 79, 18, 754), + Trans(19, 83, 18, 754), + Trans(19, 88, 18, 754), + Trans(19, 91, 18, 754), + Trans(19, 99, 18, 754), + Trans(19, 103, 18, 754), + Trans(19, 106, 18, 754), + Trans(19, 107, 18, 754), + Trans(20, 5, 18, 754), + Trans(20, 109, 18, 754), + Trans(21, 5, 18, 754), + Trans(21, 39, 18, 754), + Trans(22, 5, 18, 754), + Trans(22, 29, 18, 754), + Trans(22, 35, 18, 754), + Trans(22, 38, 18, 754), + Trans(22, 42, 18, 754), + Trans(22, 47, 18, 754), + Trans(22, 48, 18, 754), + Trans(22, 49, 18, 754), + Trans(22, 57, 18, 754), + Trans(22, 58, 18, 754), + Trans(22, 62, 18, 754), + Trans(22, 63, 18, 754), + Trans(22, 64, 18, 754), + Trans(22, 68, 18, 754), + Trans(22, 69, 18, 754), + Trans(22, 70, 18, 754), + Trans(22, 71, 18, 754), + Trans(22, 75, 18, 754), + Trans(22, 76, 18, 754), + Trans(22, 78, 18, 754), + Trans(22, 79, 18, 754), + Trans(22, 83, 18, 754), + Trans(22, 88, 18, 754), + Trans(22, 91, 18, 754), + Trans(22, 99, 18, 754), + Trans(22, 103, 18, 754), + Trans(22, 106, 18, 754), + Trans(22, 107, 18, 754), + Trans(23, 0, 18, 754), + Trans(23, 5, 18, 754), + Trans(23, 29, 18, 754), + Trans(23, 35, 18, 754), + Trans(23, 38, 18, 754), + Trans(23, 42, 18, 754), + Trans(23, 47, 18, 754), + Trans(23, 48, 18, 754), + Trans(23, 49, 18, 754), + Trans(23, 56, 18, 754), + Trans(23, 57, 18, 754), + Trans(23, 58, 18, 754), + Trans(23, 62, 18, 754), + Trans(23, 63, 18, 754), + Trans(23, 64, 18, 754), + Trans(23, 68, 18, 754), + Trans(23, 69, 18, 754), + Trans(23, 70, 18, 754), + Trans(23, 71, 18, 754), + Trans(23, 75, 18, 754), + Trans(23, 76, 18, 754), + Trans(23, 78, 18, 754), + Trans(23, 79, 18, 754), + Trans(23, 83, 18, 754), + Trans(23, 88, 18, 754), + Trans(23, 91, 18, 754), + Trans(23, 99, 18, 754), + Trans(23, 103, 18, 754), + Trans(23, 106, 18, 754), + Trans(23, 107, 18, 754), + Trans(24, 5, 18, 754), + Trans(24, 38, 18, 754), + Trans(25, 5, 18, 754), + Trans(25, 40, 18, 754), + Trans(26, 5, 18, 754), + Trans(26, 29, 18, 754), + Trans(26, 38, 18, 754), + Trans(26, 68, 18, 754), + Trans(27, 5, 18, 754), + Trans(27, 6, 18, 754), + Trans(27, 7, 18, 754), + Trans(27, 8, 18, 754), + Trans(27, 9, 18, 754), + Trans(27, 10, 18, 754), + Trans(27, 11, 18, 754), + Trans(27, 18, 18, 754), + Trans(27, 24, 18, 754), + Trans(27, 25, 18, 754), + Trans(27, 26, 18, 754), + Trans(27, 27, 18, 754), + Trans(27, 37, 18, 754), + Trans(27, 38, 18, 754), + Trans(27, 40, 18, 754), + Trans(27, 54, 18, 754), + Trans(27, 68, 18, 754), + Trans(27, 74, 18, 754), + Trans(27, 81, 18, 754), + Trans(27, 84, 18, 754), + Trans(27, 87, 18, 754), + Trans(27, 108, 18, 754), + Trans(27, 109, 18, 754), + Trans(28, 5, 18, 754), + Trans(28, 108, 18, 754), + Trans(28, 109, 18, 754), + Trans(29, 5, 18, 754), + Trans(29, 76, 18, 754), + Trans(29, 83, 18, 754), + Trans(29, 88, 18, 754), + Trans(30, 6, 18, 754), + Trans(30, 7, 18, 754), + Trans(30, 8, 18, 754), + Trans(30, 9, 18, 754), + Trans(30, 10, 18, 754), + Trans(30, 11, 18, 754), + Trans(30, 18, 18, 754), + Trans(30, 24, 18, 754), + Trans(30, 25, 18, 754), + Trans(30, 26, 18, 754), + Trans(30, 27, 18, 754), + Trans(30, 37, 18, 754), + Trans(30, 38, 18, 754), + Trans(30, 40, 18, 754), + Trans(30, 54, 18, 754), + Trans(30, 68, 18, 754), + Trans(30, 74, 18, 754), + Trans(30, 81, 18, 754), + Trans(30, 84, 18, 754), + Trans(30, 87, 18, 754), + Trans(30, 108, 18, 754), + Trans(30, 109, 18, 754), + Trans(31, 5, 18, 754), + Trans(31, 16, 18, 754), + Trans(31, 17, 18, 754), + Trans(31, 18, 18, 754), + Trans(31, 19, 18, 754), + Trans(31, 20, 18, 754), + Trans(31, 21, 18, 754), + Trans(31, 22, 18, 754), + Trans(31, 23, 18, 754), + Trans(31, 24, 18, 754), + Trans(31, 25, 18, 754), + Trans(31, 26, 18, 754), + Trans(31, 29, 18, 754), + Trans(31, 46, 18, 754), + Trans(31, 52, 18, 754), + Trans(32, 5, 18, 754), + Trans(32, 6, 18, 754), + Trans(32, 7, 18, 754), + Trans(32, 8, 18, 754), + Trans(32, 9, 18, 754), + Trans(32, 10, 18, 754), + Trans(32, 11, 18, 754), + Trans(32, 18, 18, 754), + Trans(32, 24, 18, 754), + Trans(32, 25, 18, 754), + Trans(32, 26, 18, 754), + Trans(32, 27, 18, 754), + Trans(32, 37, 18, 754), + Trans(32, 38, 18, 754), + Trans(32, 40, 18, 754), + Trans(32, 54, 18, 754), + Trans(32, 55, 18, 754), + Trans(32, 68, 18, 754), + Trans(32, 74, 18, 754), + Trans(32, 81, 18, 754), + Trans(32, 84, 18, 754), + Trans(32, 87, 18, 754), + Trans(32, 108, 18, 754), + Trans(32, 109, 18, 754), + Trans(33, 5, 18, 754), + Trans(33, 16, 18, 754), + Trans(33, 17, 18, 754), + Trans(33, 18, 18, 754), + Trans(33, 19, 18, 754), + Trans(33, 20, 18, 754), + Trans(33, 21, 18, 754), + Trans(33, 22, 18, 754), + Trans(33, 23, 18, 754), + Trans(33, 24, 18, 754), + Trans(33, 25, 18, 754), + Trans(33, 26, 18, 754), + Trans(33, 28, 18, 754), + Trans(33, 29, 18, 754), + Trans(33, 33, 18, 754), + Trans(33, 39, 18, 754), + Trans(33, 40, 18, 754), + Trans(33, 46, 18, 754), + Trans(33, 52, 18, 754), + Trans(34, 29, 18, 754), + Trans(34, 35, 18, 754), + Trans(34, 38, 18, 754), + Trans(34, 42, 18, 754), + Trans(34, 47, 18, 754), + Trans(34, 48, 18, 754), + Trans(34, 49, 18, 754), + Trans(34, 58, 18, 754), + Trans(34, 62, 18, 754), + Trans(34, 63, 18, 754), + Trans(34, 64, 18, 754), + Trans(34, 68, 18, 754), + Trans(34, 69, 18, 754), + Trans(34, 71, 18, 754), + Trans(34, 75, 18, 754), + Trans(34, 78, 18, 754), + Trans(34, 79, 18, 754), + Trans(34, 99, 18, 754), + Trans(34, 103, 18, 754), + Trans(34, 106, 18, 754), + Trans(34, 107, 18, 754), + Trans(35, 5, 18, 754), + Trans(35, 29, 18, 754), + Trans(35, 35, 18, 754), + Trans(35, 38, 18, 754), + Trans(35, 42, 18, 754), + Trans(35, 47, 18, 754), + Trans(35, 48, 18, 754), + Trans(35, 49, 18, 754), + Trans(35, 58, 18, 754), + Trans(35, 62, 18, 754), + Trans(35, 63, 18, 754), + Trans(35, 64, 18, 754), + Trans(35, 68, 18, 754), + Trans(35, 69, 18, 754), + Trans(35, 71, 18, 754), + Trans(35, 75, 18, 754), + Trans(35, 78, 18, 754), + Trans(35, 79, 18, 754), + Trans(35, 99, 18, 754), + Trans(35, 103, 18, 754), + Trans(35, 106, 18, 754), + Trans(35, 107, 18, 754), + Trans(36, 38, 18, 754), + Trans(37, 5, 18, 754), + Trans(37, 42, 18, 754), + Trans(37, 54, 18, 754), + Trans(37, 63, 18, 754), + Trans(37, 67, 18, 754), + Trans(37, 68, 18, 754), + Trans(37, 78, 18, 754), + Trans(37, 94, 18, 754), + Trans(37, 95, 18, 754), + Trans(37, 108, 18, 754), + Trans(37, 109, 18, 754), + Trans(38, 39, 18, 754), + Trans(39, 40, 18, 754), + Trans(40, 5, 18, 754), + Trans(40, 85, 18, 754), + Trans(40, 90, 18, 754), + Trans(40, 109, 18, 754), + Trans(41, 108, 18, 754), + Trans(41, 109, 18, 754), + Trans(42, 5, 18, 754), + Trans(42, 28, 18, 754), + Trans(42, 45, 18, 754), + Trans(43, 109, 18, 754), + Trans(44, 5, 18, 754), + Trans(44, 33, 18, 754), + Trans(44, 34, 18, 754), + Trans(44, 39, 18, 754), + Trans(45, 5, 18, 754), + Trans(45, 29, 18, 754), + Trans(46, 5, 18, 754), + Trans(46, 77, 18, 754), + Trans(47, 5, 18, 754), + Trans(47, 13, 18, 754), + Trans(47, 35, 18, 754), + Trans(47, 38, 18, 754), + Trans(47, 40, 18, 754), + Trans(48, 5, 18, 754), + Trans(48, 34, 18, 754), ], k: 3, }, - /* 403 - "ModuleIfDeclarationOpt" */ - LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 29, 2, 753), - Trans(0, 35, 2, 753), - Trans(0, 38, 2, 753), - Trans(0, 42, 2, 753), - Trans(0, 47, 2, 753), - Trans(0, 48, 2, 753), - Trans(0, 49, 2, 753), - Trans(0, 56, 1, 752), - Trans(0, 58, 2, 753), - Trans(0, 62, 2, 753), - Trans(0, 63, 2, 753), - Trans(0, 64, 2, 753), - Trans(0, 68, 2, 753), - Trans(0, 69, 2, 753), - Trans(0, 70, 2, 753), - Trans(0, 74, 2, 753), - Trans(0, 77, 2, 753), - Trans(0, 78, 2, 753), - Trans(0, 98, 2, 753), - Trans(0, 102, 2, 753), - Trans(0, 105, 2, 753), - Trans(0, 106, 2, 753), - ], - k: 1, - }, - /* 404 - "ModuleItem" */ + /* 407 - "ModuleIfDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 14, 785), - Trans(0, 47, 7, 778), - Trans(0, 48, 6, 777), - Trans(0, 49, 8, 779), - Trans(0, 58, 12, 783), - Trans(0, 62, 17, 788), - Trans(0, 63, 11, 782), - Trans(0, 64, 9, 780), - Trans(0, 68, 10, 781), - Trans(0, 69, 15, 786), - Trans(0, 70, 16, 787), - Trans(0, 74, 3, 774), - Trans(0, 77, 1, 772), - Trans(0, 78, 5, 776), - Trans(0, 98, 13, 784), - Trans(0, 102, 4, 775), - Trans(0, 105, 13, 784), - Trans(0, 106, 2, 773), + Trans(0, 29, 2, 756), + Trans(0, 35, 2, 756), + Trans(0, 38, 2, 756), + Trans(0, 42, 2, 756), + Trans(0, 47, 2, 756), + Trans(0, 48, 2, 756), + Trans(0, 49, 2, 756), + Trans(0, 56, 1, 755), + Trans(0, 58, 2, 756), + Trans(0, 62, 2, 756), + Trans(0, 63, 2, 756), + Trans(0, 64, 2, 756), + Trans(0, 68, 2, 756), + Trans(0, 69, 2, 756), + Trans(0, 71, 2, 756), + Trans(0, 75, 2, 756), + Trans(0, 78, 2, 756), + Trans(0, 79, 2, 756), + Trans(0, 99, 2, 756), + Trans(0, 103, 2, 756), + Trans(0, 106, 2, 756), + Trans(0, 107, 2, 756), ], k: 1, }, - /* 405 - "ModuleNamedBlock" */ - LookaheadDFA { - prod0: 757, - transitions: &[], - k: 0, - }, - /* 406 - "ModuleNamedBlockList" */ + /* 408 - "ModuleItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 758), - Trans(0, 35, 1, 758), - Trans(0, 38, 1, 758), - Trans(0, 42, 2, 759), - Trans(0, 47, 1, 758), - Trans(0, 48, 1, 758), - Trans(0, 49, 1, 758), - Trans(0, 58, 1, 758), - Trans(0, 62, 1, 758), - Trans(0, 63, 1, 758), - Trans(0, 64, 1, 758), - Trans(0, 68, 1, 758), - Trans(0, 69, 1, 758), - Trans(0, 70, 1, 758), - Trans(0, 74, 1, 758), - Trans(0, 77, 1, 758), - Trans(0, 78, 1, 758), - Trans(0, 98, 1, 758), - Trans(0, 102, 1, 758), - Trans(0, 105, 1, 758), - Trans(0, 106, 1, 758), + Trans(0, 29, 14, 788), + Trans(0, 47, 7, 781), + Trans(0, 48, 6, 780), + Trans(0, 49, 8, 782), + Trans(0, 58, 12, 786), + Trans(0, 62, 17, 791), + Trans(0, 63, 11, 785), + Trans(0, 64, 9, 783), + Trans(0, 68, 10, 784), + Trans(0, 69, 15, 789), + Trans(0, 71, 16, 790), + Trans(0, 75, 3, 777), + Trans(0, 78, 1, 775), + Trans(0, 79, 5, 779), + Trans(0, 99, 13, 787), + Trans(0, 103, 4, 778), + Trans(0, 106, 13, 787), + Trans(0, 107, 2, 776), ], k: 1, }, - /* 407 - "ModuleOptionalNamedBlock" */ + /* 409 - "ModuleNamedBlock" */ LookaheadDFA { prod0: 760, transitions: &[], k: 0, }, - /* 408 - "ModuleOptionalNamedBlockList" */ + /* 410 - "ModuleNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -9770,559 +9790,593 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 64, 1, 761), Trans(0, 68, 1, 761), Trans(0, 69, 1, 761), - Trans(0, 70, 1, 761), - Trans(0, 74, 1, 761), - Trans(0, 77, 1, 761), + Trans(0, 71, 1, 761), + Trans(0, 75, 1, 761), Trans(0, 78, 1, 761), - Trans(0, 98, 1, 761), - Trans(0, 102, 1, 761), - Trans(0, 105, 1, 761), + Trans(0, 79, 1, 761), + Trans(0, 99, 1, 761), + Trans(0, 103, 1, 761), Trans(0, 106, 1, 761), + Trans(0, 107, 1, 761), ], k: 1, }, - /* 409 - "ModuleOptionalNamedBlockOpt" */ + /* 411 - "ModuleOptionalNamedBlock" */ + LookaheadDFA { + prod0: 763, + transitions: &[], + k: 0, + }, + /* 412 - "ModuleOptionalNamedBlockList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 1, 763), Trans(0, 38, 2, 764)], + transitions: &[ + Trans(0, 29, 1, 764), + Trans(0, 35, 1, 764), + Trans(0, 38, 1, 764), + Trans(0, 42, 2, 765), + Trans(0, 47, 1, 764), + Trans(0, 48, 1, 764), + Trans(0, 49, 1, 764), + Trans(0, 58, 1, 764), + Trans(0, 62, 1, 764), + Trans(0, 63, 1, 764), + Trans(0, 64, 1, 764), + Trans(0, 68, 1, 764), + Trans(0, 69, 1, 764), + Trans(0, 71, 1, 764), + Trans(0, 75, 1, 764), + Trans(0, 78, 1, 764), + Trans(0, 79, 1, 764), + Trans(0, 99, 1, 764), + Trans(0, 103, 1, 764), + Trans(0, 106, 1, 764), + Trans(0, 107, 1, 764), + ], k: 1, }, - /* 410 - "ModuleTerm" */ + /* 413 - "ModuleOptionalNamedBlockOpt" */ LookaheadDFA { - prod0: 77, + prod0: -1, + transitions: &[Trans(0, 29, 1, 766), Trans(0, 38, 2, 767)], + k: 1, + }, + /* 414 - "ModuleTerm" */ + LookaheadDFA { + prod0: 78, transitions: &[], k: 0, }, - /* 411 - "ModuleToken" */ + /* 415 - "ModuleToken" */ LookaheadDFA { - prod0: 185, + prod0: 187, transitions: &[], k: 0, }, - /* 412 - "Msb" */ + /* 416 - "Msb" */ LookaheadDFA { - prod0: 291, + prod0: 294, transitions: &[], k: 0, }, - /* 413 - "MsbTerm" */ + /* 417 - "MsbTerm" */ LookaheadDFA { - prod0: 78, + prod0: 79, transitions: &[], k: 0, }, - /* 414 - "MsbToken" */ + /* 418 - "MsbToken" */ LookaheadDFA { - prod0: 186, + prod0: 188, transitions: &[], k: 0, }, - /* 415 - "Negedge" */ + /* 419 - "Negedge" */ LookaheadDFA { - prod0: 292, + prod0: 295, transitions: &[], k: 0, }, - /* 416 - "NegedgeTerm" */ + /* 420 - "NegedgeTerm" */ LookaheadDFA { - prod0: 79, + prod0: 80, transitions: &[], k: 0, }, - /* 417 - "NegedgeToken" */ + /* 421 - "NegedgeToken" */ LookaheadDFA { - prod0: 187, + prod0: 189, transitions: &[], k: 0, }, - /* 418 - "Number" */ + /* 422 - "Number" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 7, 2, 317), - Trans(0, 8, 2, 317), - Trans(0, 9, 1, 316), - Trans(0, 10, 1, 316), - Trans(0, 11, 1, 316), + Trans(0, 7, 2, 320), + Trans(0, 8, 2, 320), + Trans(0, 9, 1, 319), + Trans(0, 10, 1, 319), + Trans(0, 11, 1, 319), ], k: 1, }, - /* 419 - "Operator01" */ + /* 423 - "Operator01" */ LookaheadDFA { - prod0: 220, + prod0: 222, transitions: &[], k: 0, }, - /* 420 - "Operator01Term" */ + /* 424 - "Operator01Term" */ LookaheadDFA { prod0: 18, transitions: &[], k: 0, }, - /* 421 - "Operator01Token" */ + /* 425 - "Operator01Token" */ LookaheadDFA { - prod0: 116, + prod0: 117, transitions: &[], k: 0, }, - /* 422 - "Operator02" */ + /* 426 - "Operator02" */ LookaheadDFA { - prod0: 221, + prod0: 223, transitions: &[], k: 0, }, - /* 423 - "Operator02Term" */ + /* 427 - "Operator02Term" */ LookaheadDFA { prod0: 17, transitions: &[], k: 0, }, - /* 424 - "Operator02Token" */ + /* 428 - "Operator02Token" */ LookaheadDFA { - prod0: 117, + prod0: 118, transitions: &[], k: 0, }, - /* 425 - "Operator03" */ + /* 429 - "Operator03" */ LookaheadDFA { - prod0: 222, + prod0: 224, transitions: &[], k: 0, }, - /* 426 - "Operator03Term" */ + /* 430 - "Operator03Term" */ LookaheadDFA { prod0: 21, transitions: &[], k: 0, }, - /* 427 - "Operator03Token" */ + /* 431 - "Operator03Token" */ LookaheadDFA { - prod0: 118, + prod0: 119, transitions: &[], k: 0, }, - /* 428 - "Operator04" */ + /* 432 - "Operator04" */ LookaheadDFA { - prod0: 223, + prod0: 225, transitions: &[], k: 0, }, - /* 429 - "Operator04Term" */ + /* 433 - "Operator04Term" */ LookaheadDFA { prod0: 20, transitions: &[], k: 0, }, - /* 430 - "Operator04Token" */ + /* 434 - "Operator04Token" */ LookaheadDFA { - prod0: 119, + prod0: 120, transitions: &[], k: 0, }, - /* 431 - "Operator05" */ + /* 435 - "Operator05" */ LookaheadDFA { - prod0: 224, + prod0: 226, transitions: &[], k: 0, }, - /* 432 - "Operator05Term" */ + /* 436 - "Operator05Term" */ LookaheadDFA { prod0: 19, transitions: &[], k: 0, }, - /* 433 - "Operator05Token" */ + /* 437 - "Operator05Token" */ LookaheadDFA { - prod0: 120, + prod0: 121, transitions: &[], k: 0, }, - /* 434 - "Operator06" */ + /* 438 - "Operator06" */ LookaheadDFA { - prod0: 225, + prod0: 227, transitions: &[], k: 0, }, - /* 435 - "Operator06Term" */ + /* 439 - "Operator06Term" */ LookaheadDFA { prod0: 16, transitions: &[], k: 0, }, - /* 436 - "Operator06Token" */ + /* 440 - "Operator06Token" */ LookaheadDFA { - prod0: 121, + prod0: 122, transitions: &[], k: 0, }, - /* 437 - "Operator07" */ + /* 441 - "Operator07" */ LookaheadDFA { - prod0: 226, + prod0: 228, transitions: &[], k: 0, }, - /* 438 - "Operator07Term" */ + /* 442 - "Operator07Term" */ LookaheadDFA { prod0: 15, transitions: &[], k: 0, }, - /* 439 - "Operator07Token" */ + /* 443 - "Operator07Token" */ LookaheadDFA { - prod0: 122, + prod0: 123, transitions: &[], k: 0, }, - /* 440 - "Operator08" */ + /* 444 - "Operator08" */ LookaheadDFA { - prod0: 227, + prod0: 229, transitions: &[], k: 0, }, - /* 441 - "Operator08Term" */ + /* 445 - "Operator08Term" */ LookaheadDFA { prod0: 14, transitions: &[], k: 0, }, - /* 442 - "Operator08Token" */ + /* 446 - "Operator08Token" */ LookaheadDFA { - prod0: 123, + prod0: 124, transitions: &[], k: 0, }, - /* 443 - "Operator09" */ + /* 447 - "Operator09" */ LookaheadDFA { - prod0: 228, + prod0: 230, transitions: &[], k: 0, }, - /* 444 - "Operator09Term" */ + /* 448 - "Operator09Term" */ LookaheadDFA { prod0: 13, transitions: &[], k: 0, }, - /* 445 - "Operator09Token" */ + /* 449 - "Operator09Token" */ LookaheadDFA { - prod0: 124, + prod0: 125, transitions: &[], k: 0, }, - /* 446 - "Operator10" */ + /* 450 - "Operator10" */ LookaheadDFA { - prod0: 229, + prod0: 231, transitions: &[], k: 0, }, - /* 447 - "Operator10Term" */ + /* 451 - "Operator10Term" */ LookaheadDFA { prod0: 12, transitions: &[], k: 0, }, - /* 448 - "Operator10Token" */ + /* 452 - "Operator10Token" */ LookaheadDFA { - prod0: 125, + prod0: 126, transitions: &[], k: 0, }, - /* 449 - "Operator11" */ + /* 453 - "Operator11" */ LookaheadDFA { - prod0: 230, + prod0: 232, transitions: &[], k: 0, }, - /* 450 - "Operator11Term" */ + /* 454 - "Operator11Term" */ LookaheadDFA { prod0: 11, transitions: &[], k: 0, }, - /* 451 - "Operator11Token" */ + /* 455 - "Operator11Token" */ LookaheadDFA { - prod0: 126, + prod0: 127, transitions: &[], k: 0, }, - /* 452 - "Output" */ + /* 456 - "Output" */ LookaheadDFA { - prod0: 293, + prod0: 296, transitions: &[], k: 0, }, - /* 453 - "OutputTerm" */ + /* 457 - "OutputTerm" */ LookaheadDFA { - prod0: 80, + prod0: 81, transitions: &[], k: 0, }, - /* 454 - "OutputToken" */ + /* 458 - "OutputToken" */ LookaheadDFA { - prod0: 188, + prod0: 190, transitions: &[], k: 0, }, - /* 455 - "Outside" */ + /* 459 - "Outside" */ LookaheadDFA { - prod0: 294, + prod0: 297, transitions: &[], k: 0, }, - /* 456 - "OutsideExpression" */ + /* 460 - "OutsideExpression" */ LookaheadDFA { - prod0: 455, + prod0: 458, transitions: &[], k: 0, }, - /* 457 - "OutsideTerm" */ + /* 461 - "OutsideTerm" */ LookaheadDFA { - prod0: 81, + prod0: 82, transitions: &[], k: 0, }, - /* 458 - "OutsideToken" */ + /* 462 - "OutsideToken" */ LookaheadDFA { - prod0: 189, + prod0: 191, transitions: &[], k: 0, }, - /* 459 - "Package" */ + /* 463 - "Package" */ LookaheadDFA { - prod0: 295, + prod0: 298, transitions: &[], k: 0, }, - /* 460 - "PackageDeclaration" */ + /* 464 - "PackageDeclaration" */ LookaheadDFA { - prod0: 833, + prod0: 836, transitions: &[], k: 0, }, - /* 461 - "PackageDeclarationList" */ + /* 465 - "PackageDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 834), - Trans(0, 38, 1, 834), - Trans(0, 42, 2, 835), - Trans(0, 58, 1, 834), - Trans(0, 59, 1, 834), - Trans(0, 62, 1, 834), - Trans(0, 64, 1, 834), - Trans(0, 69, 1, 834), - Trans(0, 70, 1, 834), - Trans(0, 78, 1, 834), - Trans(0, 98, 1, 834), - Trans(0, 102, 1, 834), - Trans(0, 105, 1, 834), - Trans(0, 106, 1, 834), + Trans(0, 35, 1, 837), + Trans(0, 38, 1, 837), + Trans(0, 42, 2, 838), + Trans(0, 58, 1, 837), + Trans(0, 59, 1, 837), + Trans(0, 62, 1, 837), + Trans(0, 64, 1, 837), + Trans(0, 69, 1, 837), + Trans(0, 71, 1, 837), + Trans(0, 79, 1, 837), + Trans(0, 99, 1, 837), + Trans(0, 103, 1, 837), + Trans(0, 106, 1, 837), + Trans(0, 107, 1, 837), ], k: 1, }, - /* 462 - "PackageDeclarationOpt" */ + /* 466 - "PackageDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 87, 2, 837), Trans(0, 90, 1, 836)], + transitions: &[Trans(0, 88, 2, 840), Trans(0, 91, 1, 839)], k: 1, }, - /* 463 - "PackageGroup" */ + /* 467 - "PackageGroup" */ LookaheadDFA { - prod0: 838, + prod0: 841, transitions: &[], k: 0, }, - /* 464 - "PackageGroupGroup" */ + /* 468 - "PackageGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 38, 1, 839), - Trans(0, 58, 2, 842), - Trans(0, 59, 2, 842), - Trans(0, 62, 2, 842), - Trans(0, 64, 2, 842), - Trans(0, 69, 2, 842), - Trans(0, 70, 2, 842), - Trans(0, 78, 2, 842), - Trans(0, 98, 2, 842), - Trans(0, 102, 2, 842), - Trans(0, 105, 2, 842), - Trans(0, 106, 2, 842), + Trans(0, 38, 1, 842), + Trans(0, 58, 2, 845), + Trans(0, 59, 2, 845), + Trans(0, 62, 2, 845), + Trans(0, 64, 2, 845), + Trans(0, 69, 2, 845), + Trans(0, 71, 2, 845), + Trans(0, 79, 2, 845), + Trans(0, 99, 2, 845), + Trans(0, 103, 2, 845), + Trans(0, 106, 2, 845), + Trans(0, 107, 2, 845), ], k: 1, }, - /* 465 - "PackageGroupGroupList" */ + /* 469 - "PackageGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 840), - Trans(0, 38, 1, 840), - Trans(0, 42, 2, 841), - Trans(0, 58, 1, 840), - Trans(0, 59, 1, 840), - Trans(0, 62, 1, 840), - Trans(0, 64, 1, 840), - Trans(0, 69, 1, 840), - Trans(0, 70, 1, 840), - Trans(0, 78, 1, 840), - Trans(0, 98, 1, 840), - Trans(0, 102, 1, 840), - Trans(0, 105, 1, 840), - Trans(0, 106, 1, 840), + Trans(0, 35, 1, 843), + Trans(0, 38, 1, 843), + Trans(0, 42, 2, 844), + Trans(0, 58, 1, 843), + Trans(0, 59, 1, 843), + Trans(0, 62, 1, 843), + Trans(0, 64, 1, 843), + Trans(0, 69, 1, 843), + Trans(0, 71, 1, 843), + Trans(0, 79, 1, 843), + Trans(0, 99, 1, 843), + Trans(0, 103, 1, 843), + Trans(0, 106, 1, 843), + Trans(0, 107, 1, 843), ], k: 1, }, - /* 466 - "PackageGroupList" */ + /* 470 - "PackageGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 843), - Trans(0, 38, 2, 844), - Trans(0, 58, 2, 844), - Trans(0, 59, 2, 844), - Trans(0, 62, 2, 844), - Trans(0, 64, 2, 844), - Trans(0, 69, 2, 844), - Trans(0, 70, 2, 844), - Trans(0, 78, 2, 844), - Trans(0, 98, 2, 844), - Trans(0, 102, 2, 844), - Trans(0, 105, 2, 844), - Trans(0, 106, 2, 844), + Trans(0, 35, 1, 846), + Trans(0, 38, 2, 847), + Trans(0, 58, 2, 847), + Trans(0, 59, 2, 847), + Trans(0, 62, 2, 847), + Trans(0, 64, 2, 847), + Trans(0, 69, 2, 847), + Trans(0, 71, 2, 847), + Trans(0, 79, 2, 847), + Trans(0, 99, 2, 847), + Trans(0, 103, 2, 847), + Trans(0, 106, 2, 847), + Trans(0, 107, 2, 847), ], k: 1, }, - /* 467 - "PackageItem" */ + /* 471 - "PackageItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 58, 4, 848), - Trans(0, 59, 8, 852), - Trans(0, 62, 10, 854), - Trans(0, 64, 6, 850), - Trans(0, 69, 7, 851), - Trans(0, 70, 9, 853), - Trans(0, 78, 2, 846), - Trans(0, 98, 5, 849), - Trans(0, 102, 3, 847), - Trans(0, 105, 5, 849), - Trans(0, 106, 1, 845), + Trans(0, 58, 4, 851), + Trans(0, 59, 8, 855), + Trans(0, 62, 10, 857), + Trans(0, 64, 6, 853), + Trans(0, 69, 7, 854), + Trans(0, 71, 9, 856), + Trans(0, 79, 2, 849), + Trans(0, 99, 5, 852), + Trans(0, 103, 3, 850), + Trans(0, 106, 5, 852), + Trans(0, 107, 1, 848), ], k: 1, }, - /* 468 - "PackageTerm" */ + /* 472 - "PackageTerm" */ LookaheadDFA { - prod0: 82, + prod0: 83, transitions: &[], k: 0, }, - /* 469 - "PackageToken" */ + /* 473 - "PackageToken" */ LookaheadDFA { - prod0: 190, + prod0: 192, transitions: &[], k: 0, }, - /* 470 - "Param" */ + /* 474 - "Param" */ LookaheadDFA { - prod0: 296, + prod0: 299, transitions: &[], k: 0, }, - /* 471 - "ParamTerm" */ + /* 475 - "ParamTerm" */ LookaheadDFA { - prod0: 83, + prod0: 84, transitions: &[], k: 0, }, - /* 472 - "ParamToken" */ + /* 476 - "ParamToken" */ LookaheadDFA { - prod0: 191, + prod0: 193, transitions: &[], k: 0, }, - /* 473 - "PlusColon" */ + /* 477 - "PlusColon" */ LookaheadDFA { - prod0: 247, + prod0: 249, transitions: &[], k: 0, }, - /* 474 - "PlusColonTerm" */ + /* 478 - "PlusColonTerm" */ LookaheadDFA { prod0: 9, transitions: &[], k: 0, }, - /* 475 - "PlusColonToken" */ + /* 479 - "PlusColonToken" */ LookaheadDFA { - prod0: 143, + prod0: 144, transitions: &[], k: 0, }, - /* 476 - "PortDeclaration" */ + /* 480 - "PortDeclaration" */ LookaheadDFA { - prod0: 698, + prod0: 701, transitions: &[], k: 0, }, - /* 477 - "PortDeclarationGroup" */ + /* 481 - "PortDeclarationGroup" */ LookaheadDFA { - prod0: 706, + prod0: 709, transitions: &[], k: 0, }, - /* 478 - "PortDeclarationGroupGroup" */ + /* 482 - "PortDeclarationGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 707), Trans(0, 108, 2, 708)], + transitions: &[Trans(0, 38, 1, 710), Trans(0, 109, 2, 711)], k: 1, }, - /* 479 - "PortDeclarationGroupList" */ + /* 483 - "PortDeclarationGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 709), - Trans(0, 38, 2, 710), - Trans(0, 108, 2, 710), + Trans(0, 35, 1, 712), + Trans(0, 38, 2, 713), + Trans(0, 109, 2, 713), ], k: 1, }, - /* 480 - "PortDeclarationItem" */ + /* 484 - "PortDeclarationItem" */ LookaheadDFA { - prod0: 711, + prod0: 714, transitions: &[], k: 0, }, - /* 481 - "PortDeclarationItemGroup" */ + /* 485 - "PortDeclarationItemGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 71, 1, 712), - Trans(0, 72, 1, 712), - Trans(0, 75, 2, 713), - Trans(0, 81, 1, 712), - Trans(0, 85, 1, 712), - Trans(0, 91, 1, 712), + Trans(0, 72, 1, 715), + Trans(0, 73, 1, 715), + Trans(0, 76, 2, 716), + Trans(0, 82, 1, 715), + Trans(0, 86, 1, 715), + Trans(0, 92, 1, 715), ], k: 1, }, - /* 482 - "PortDeclarationItemOpt" */ + /* 486 - "PortDeclarationItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 715), - Trans(0, 39, 1, 714), - Trans(0, 42, 2, 715), - Trans(0, 44, 2, 715), + Trans(0, 30, 2, 718), + Trans(0, 39, 1, 717), + Trans(0, 42, 2, 718), + Trans(0, 44, 2, 718), ], k: 1, }, - /* 483 - "PortDeclarationList" */ + /* 487 - "PortDeclarationList" */ LookaheadDFA { - prod0: 701, + prod0: 704, transitions: &[], k: 0, }, - /* 484 - "PortDeclarationListList" */ + /* 488 - "PortDeclarationListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -10334,20 +10388,20 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 38, 4, -1), Trans(1, 42, 15, -1), Trans(1, 44, 16, -1), - Trans(1, 108, 5, -1), - Trans(2, 5, 3, 702), - Trans(2, 39, 3, 702), - Trans(4, 5, 3, 702), - Trans(4, 35, 3, 702), - Trans(4, 38, 3, 702), - Trans(4, 108, 3, 702), - Trans(5, 5, 3, 702), - Trans(5, 29, 3, 702), - Trans(6, 35, 3, 702), - Trans(6, 38, 3, 702), - Trans(6, 42, 12, 703), - Trans(6, 44, 12, 703), - Trans(6, 108, 3, 702), + Trans(1, 109, 5, -1), + Trans(2, 5, 3, 705), + Trans(2, 39, 3, 705), + Trans(4, 5, 3, 705), + Trans(4, 35, 3, 705), + Trans(4, 38, 3, 705), + Trans(4, 109, 3, 705), + Trans(5, 5, 3, 705), + Trans(5, 29, 3, 705), + Trans(6, 35, 3, 705), + Trans(6, 38, 3, 705), + Trans(6, 42, 12, 706), + Trans(6, 44, 12, 706), + Trans(6, 109, 3, 705), Trans(7, 5, 13, -1), Trans(7, 30, 14, -1), Trans(7, 42, 15, -1), @@ -10355,235 +10409,235 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(8, 5, 9, -1), Trans(8, 13, 10, -1), Trans(8, 38, 11, -1), - Trans(9, 13, 12, 703), - Trans(9, 38, 12, 703), - Trans(10, 5, 12, 703), - Trans(10, 53, 12, 703), - Trans(10, 60, 12, 703), - Trans(10, 61, 12, 703), - Trans(10, 65, 12, 703), - Trans(10, 66, 12, 703), - Trans(10, 79, 12, 703), - Trans(10, 95, 12, 703), - Trans(10, 97, 12, 703), - Trans(10, 101, 12, 703), - Trans(10, 103, 12, 703), - Trans(10, 104, 12, 703), - Trans(10, 107, 12, 703), - Trans(10, 108, 12, 703), - Trans(11, 5, 12, 703), - Trans(11, 29, 12, 703), - Trans(11, 35, 12, 703), - Trans(11, 38, 12, 703), - Trans(11, 42, 12, 703), - Trans(11, 47, 12, 703), - Trans(11, 48, 12, 703), - Trans(11, 49, 12, 703), - Trans(11, 54, 12, 703), - Trans(11, 58, 12, 703), - Trans(11, 62, 12, 703), - Trans(11, 63, 12, 703), - Trans(11, 64, 12, 703), - Trans(11, 67, 12, 703), - Trans(11, 68, 12, 703), - Trans(11, 69, 12, 703), - Trans(11, 70, 12, 703), - Trans(11, 74, 12, 703), - Trans(11, 77, 12, 703), - Trans(11, 78, 12, 703), - Trans(11, 93, 12, 703), - Trans(11, 94, 12, 703), - Trans(11, 98, 12, 703), - Trans(11, 102, 12, 703), - Trans(11, 105, 12, 703), - Trans(11, 106, 12, 703), - Trans(11, 107, 12, 703), - Trans(11, 108, 12, 703), - Trans(13, 30, 12, 703), - Trans(13, 42, 12, 703), - Trans(13, 44, 12, 703), - Trans(14, 5, 12, 703), - Trans(14, 35, 12, 703), - Trans(14, 38, 12, 703), - Trans(14, 42, 12, 703), - Trans(14, 44, 12, 703), - Trans(14, 108, 12, 703), - Trans(15, 5, 12, 703), - Trans(15, 30, 12, 703), - Trans(15, 42, 12, 703), - Trans(15, 44, 12, 703), - Trans(16, 5, 12, 703), - Trans(16, 13, 12, 703), - Trans(16, 38, 12, 703), + Trans(9, 13, 12, 706), + Trans(9, 38, 12, 706), + Trans(10, 5, 12, 706), + Trans(10, 53, 12, 706), + Trans(10, 60, 12, 706), + Trans(10, 61, 12, 706), + Trans(10, 65, 12, 706), + Trans(10, 66, 12, 706), + Trans(10, 80, 12, 706), + Trans(10, 96, 12, 706), + Trans(10, 98, 12, 706), + Trans(10, 102, 12, 706), + Trans(10, 104, 12, 706), + Trans(10, 105, 12, 706), + Trans(10, 108, 12, 706), + Trans(10, 109, 12, 706), + Trans(11, 5, 12, 706), + Trans(11, 29, 12, 706), + Trans(11, 35, 12, 706), + Trans(11, 38, 12, 706), + Trans(11, 42, 12, 706), + Trans(11, 47, 12, 706), + Trans(11, 48, 12, 706), + Trans(11, 49, 12, 706), + Trans(11, 54, 12, 706), + Trans(11, 58, 12, 706), + Trans(11, 62, 12, 706), + Trans(11, 63, 12, 706), + Trans(11, 64, 12, 706), + Trans(11, 67, 12, 706), + Trans(11, 68, 12, 706), + Trans(11, 69, 12, 706), + Trans(11, 71, 12, 706), + Trans(11, 75, 12, 706), + Trans(11, 78, 12, 706), + Trans(11, 79, 12, 706), + Trans(11, 94, 12, 706), + Trans(11, 95, 12, 706), + Trans(11, 99, 12, 706), + Trans(11, 103, 12, 706), + Trans(11, 106, 12, 706), + Trans(11, 107, 12, 706), + Trans(11, 108, 12, 706), + Trans(11, 109, 12, 706), + Trans(13, 30, 12, 706), + Trans(13, 42, 12, 706), + Trans(13, 44, 12, 706), + Trans(14, 5, 12, 706), + Trans(14, 35, 12, 706), + Trans(14, 38, 12, 706), + Trans(14, 42, 12, 706), + Trans(14, 44, 12, 706), + Trans(14, 109, 12, 706), + Trans(15, 5, 12, 706), + Trans(15, 30, 12, 706), + Trans(15, 42, 12, 706), + Trans(15, 44, 12, 706), + Trans(16, 5, 12, 706), + Trans(16, 13, 12, 706), + Trans(16, 38, 12, 706), ], k: 3, }, - /* 485 - "PortDeclarationListOpt" */ + /* 489 - "PortDeclarationListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 704), - Trans(0, 42, 2, 705), - Trans(0, 44, 2, 705), + Trans(0, 30, 1, 707), + Trans(0, 42, 2, 708), + Trans(0, 44, 2, 708), ], k: 1, }, - /* 486 - "PortDeclarationOpt" */ + /* 490 - "PortDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 699), - Trans(0, 38, 1, 699), - Trans(0, 44, 2, 700), - Trans(0, 108, 1, 699), + Trans(0, 35, 1, 702), + Trans(0, 38, 1, 702), + Trans(0, 44, 2, 703), + Trans(0, 109, 1, 702), ], k: 1, }, - /* 487 - "Posedge" */ + /* 491 - "Posedge" */ LookaheadDFA { - prod0: 297, + prod0: 300, transitions: &[], k: 0, }, - /* 488 - "PosedgeTerm" */ + /* 492 - "PosedgeTerm" */ LookaheadDFA { - prod0: 84, + prod0: 85, transitions: &[], k: 0, }, - /* 489 - "PosedgeToken" */ + /* 493 - "PosedgeToken" */ LookaheadDFA { - prod0: 192, + prod0: 194, transitions: &[], k: 0, }, - /* 490 - "Pub" */ + /* 494 - "Pub" */ LookaheadDFA { - prod0: 298, + prod0: 301, transitions: &[], k: 0, }, - /* 491 - "PubTerm" */ + /* 495 - "PubTerm" */ LookaheadDFA { - prod0: 85, + prod0: 86, transitions: &[], k: 0, }, - /* 492 - "PubToken" */ + /* 496 - "PubToken" */ LookaheadDFA { - prod0: 193, + prod0: 195, transitions: &[], k: 0, }, - /* 493 - "QuoteLBrace" */ + /* 497 - "QuoteLBrace" */ LookaheadDFA { - prod0: 240, + prod0: 242, transitions: &[], k: 0, }, - /* 494 - "QuoteLBraceTerm" */ + /* 498 - "QuoteLBraceTerm" */ LookaheadDFA { prod0: 32, transitions: &[], k: 0, }, - /* 495 - "QuoteLBraceToken" */ + /* 499 - "QuoteLBraceToken" */ LookaheadDFA { - prod0: 136, + prod0: 137, transitions: &[], k: 0, }, - /* 496 - "RAngle" */ + /* 500 - "RAngle" */ LookaheadDFA { - prod0: 248, + prod0: 250, transitions: &[], k: 0, }, - /* 497 - "RAngleTerm" */ + /* 501 - "RAngleTerm" */ LookaheadDFA { prod0: 36, transitions: &[], k: 0, }, - /* 498 - "RAngleToken" */ + /* 502 - "RAngleToken" */ LookaheadDFA { - prod0: 144, + prod0: 145, transitions: &[], k: 0, }, - /* 499 - "RBrace" */ + /* 503 - "RBrace" */ LookaheadDFA { - prod0: 249, + prod0: 251, transitions: &[], k: 0, }, - /* 500 - "RBraceTerm" */ + /* 504 - "RBraceTerm" */ LookaheadDFA { prod0: 37, transitions: &[], k: 0, }, - /* 501 - "RBraceToken" */ + /* 505 - "RBraceToken" */ LookaheadDFA { - prod0: 145, + prod0: 146, transitions: &[], k: 0, }, - /* 502 - "RBracket" */ + /* 506 - "RBracket" */ LookaheadDFA { - prod0: 250, + prod0: 252, transitions: &[], k: 0, }, - /* 503 - "RBracketTerm" */ + /* 507 - "RBracketTerm" */ LookaheadDFA { prod0: 38, transitions: &[], k: 0, }, - /* 504 - "RBracketToken" */ + /* 508 - "RBracketToken" */ LookaheadDFA { - prod0: 146, + prod0: 147, transitions: &[], k: 0, }, - /* 505 - "RParen" */ + /* 509 - "RParen" */ LookaheadDFA { - prod0: 251, + prod0: 253, transitions: &[], k: 0, }, - /* 506 - "RParenTerm" */ + /* 510 - "RParenTerm" */ LookaheadDFA { prod0: 39, transitions: &[], k: 0, }, - /* 507 - "RParenToken" */ + /* 511 - "RParenToken" */ LookaheadDFA { - prod0: 147, + prod0: 148, transitions: &[], k: 0, }, - /* 508 - "Range" */ + /* 512 - "Range" */ LookaheadDFA { - prod0: 475, + prod0: 478, transitions: &[], k: 0, }, - /* 509 - "RangeItem" */ + /* 513 - "RangeItem" */ LookaheadDFA { - prod0: 461, + prod0: 464, transitions: &[], k: 0, }, - /* 510 - "RangeList" */ + /* 514 - "RangeList" */ LookaheadDFA { - prod0: 456, + prod0: 459, transitions: &[], k: 0, }, - /* 511 - "RangeListList" */ + /* 515 - "RangeListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -10607,122 +10661,122 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 42, 22, -1), Trans(1, 54, 4, -1), Trans(1, 68, 4, -1), - Trans(1, 73, 4, -1), - Trans(1, 80, 2, -1), - Trans(1, 83, 2, -1), - Trans(1, 86, 4, -1), - Trans(1, 107, 6, -1), + Trans(1, 74, 4, -1), + Trans(1, 81, 2, -1), + Trans(1, 84, 2, -1), + Trans(1, 87, 4, -1), Trans(1, 108, 6, -1), - Trans(2, 5, 3, 457), - Trans(2, 16, 3, 457), - Trans(2, 17, 3, 457), - Trans(2, 18, 3, 457), - Trans(2, 19, 3, 457), - Trans(2, 20, 3, 457), - Trans(2, 21, 3, 457), - Trans(2, 22, 3, 457), - Trans(2, 23, 3, 457), - Trans(2, 24, 3, 457), - Trans(2, 25, 3, 457), - Trans(2, 26, 3, 457), - Trans(2, 30, 3, 457), - Trans(2, 31, 3, 457), - Trans(2, 32, 3, 457), - Trans(2, 42, 3, 457), - Trans(2, 46, 3, 457), - Trans(2, 52, 3, 457), - Trans(4, 5, 3, 457), - Trans(4, 6, 3, 457), - Trans(4, 7, 3, 457), - Trans(4, 8, 3, 457), - Trans(4, 9, 3, 457), - Trans(4, 10, 3, 457), - Trans(4, 11, 3, 457), - Trans(4, 18, 3, 457), - Trans(4, 24, 3, 457), - Trans(4, 25, 3, 457), - Trans(4, 26, 3, 457), - Trans(4, 27, 3, 457), - Trans(4, 37, 3, 457), - Trans(4, 38, 3, 457), - Trans(4, 40, 3, 457), - Trans(4, 54, 3, 457), - Trans(4, 68, 3, 457), - Trans(4, 73, 3, 457), - Trans(4, 80, 3, 457), - Trans(4, 83, 3, 457), - Trans(4, 86, 3, 457), - Trans(4, 107, 3, 457), - Trans(4, 108, 3, 457), - Trans(5, 5, 3, 457), - Trans(5, 6, 3, 457), - Trans(5, 7, 3, 457), - Trans(5, 8, 3, 457), - Trans(5, 9, 3, 457), - Trans(5, 10, 3, 457), - Trans(5, 11, 3, 457), - Trans(5, 18, 3, 457), - Trans(5, 24, 3, 457), - Trans(5, 25, 3, 457), - Trans(5, 26, 3, 457), - Trans(5, 27, 3, 457), - Trans(5, 37, 3, 457), - Trans(5, 38, 3, 457), - Trans(5, 40, 3, 457), - Trans(5, 54, 3, 457), - Trans(5, 55, 3, 457), - Trans(5, 68, 3, 457), - Trans(5, 73, 3, 457), - Trans(5, 80, 3, 457), - Trans(5, 83, 3, 457), - Trans(5, 86, 3, 457), - Trans(5, 107, 3, 457), - Trans(5, 108, 3, 457), - Trans(6, 5, 3, 457), - Trans(6, 16, 3, 457), - Trans(6, 17, 3, 457), - Trans(6, 18, 3, 457), - Trans(6, 19, 3, 457), - Trans(6, 20, 3, 457), - Trans(6, 21, 3, 457), - Trans(6, 22, 3, 457), - Trans(6, 23, 3, 457), - Trans(6, 24, 3, 457), - Trans(6, 25, 3, 457), - Trans(6, 26, 3, 457), - Trans(6, 28, 3, 457), - Trans(6, 30, 3, 457), - Trans(6, 31, 3, 457), - Trans(6, 32, 3, 457), - Trans(6, 33, 3, 457), - Trans(6, 39, 3, 457), - Trans(6, 40, 3, 457), - Trans(6, 42, 3, 457), - Trans(6, 46, 3, 457), - Trans(6, 52, 3, 457), - Trans(7, 6, 3, 457), - Trans(7, 7, 3, 457), - Trans(7, 8, 3, 457), - Trans(7, 9, 3, 457), - Trans(7, 10, 3, 457), - Trans(7, 11, 3, 457), - Trans(7, 18, 3, 457), - Trans(7, 24, 3, 457), - Trans(7, 25, 3, 457), - Trans(7, 26, 3, 457), - Trans(7, 27, 3, 457), - Trans(7, 37, 3, 457), - Trans(7, 38, 3, 457), - Trans(7, 40, 3, 457), - Trans(7, 42, 21, 458), - Trans(7, 54, 3, 457), - Trans(7, 68, 3, 457), - Trans(7, 73, 3, 457), - Trans(7, 80, 3, 457), - Trans(7, 83, 3, 457), - Trans(7, 86, 3, 457), - Trans(7, 107, 3, 457), - Trans(7, 108, 3, 457), + Trans(1, 109, 6, -1), + Trans(2, 5, 3, 460), + Trans(2, 16, 3, 460), + Trans(2, 17, 3, 460), + Trans(2, 18, 3, 460), + Trans(2, 19, 3, 460), + Trans(2, 20, 3, 460), + Trans(2, 21, 3, 460), + Trans(2, 22, 3, 460), + Trans(2, 23, 3, 460), + Trans(2, 24, 3, 460), + Trans(2, 25, 3, 460), + Trans(2, 26, 3, 460), + Trans(2, 30, 3, 460), + Trans(2, 31, 3, 460), + Trans(2, 32, 3, 460), + Trans(2, 42, 3, 460), + Trans(2, 46, 3, 460), + Trans(2, 52, 3, 460), + Trans(4, 5, 3, 460), + Trans(4, 6, 3, 460), + Trans(4, 7, 3, 460), + Trans(4, 8, 3, 460), + Trans(4, 9, 3, 460), + Trans(4, 10, 3, 460), + Trans(4, 11, 3, 460), + Trans(4, 18, 3, 460), + Trans(4, 24, 3, 460), + Trans(4, 25, 3, 460), + Trans(4, 26, 3, 460), + Trans(4, 27, 3, 460), + Trans(4, 37, 3, 460), + Trans(4, 38, 3, 460), + Trans(4, 40, 3, 460), + Trans(4, 54, 3, 460), + Trans(4, 68, 3, 460), + Trans(4, 74, 3, 460), + Trans(4, 81, 3, 460), + Trans(4, 84, 3, 460), + Trans(4, 87, 3, 460), + Trans(4, 108, 3, 460), + Trans(4, 109, 3, 460), + Trans(5, 5, 3, 460), + Trans(5, 6, 3, 460), + Trans(5, 7, 3, 460), + Trans(5, 8, 3, 460), + Trans(5, 9, 3, 460), + Trans(5, 10, 3, 460), + Trans(5, 11, 3, 460), + Trans(5, 18, 3, 460), + Trans(5, 24, 3, 460), + Trans(5, 25, 3, 460), + Trans(5, 26, 3, 460), + Trans(5, 27, 3, 460), + Trans(5, 37, 3, 460), + Trans(5, 38, 3, 460), + Trans(5, 40, 3, 460), + Trans(5, 54, 3, 460), + Trans(5, 55, 3, 460), + Trans(5, 68, 3, 460), + Trans(5, 74, 3, 460), + Trans(5, 81, 3, 460), + Trans(5, 84, 3, 460), + Trans(5, 87, 3, 460), + Trans(5, 108, 3, 460), + Trans(5, 109, 3, 460), + Trans(6, 5, 3, 460), + Trans(6, 16, 3, 460), + Trans(6, 17, 3, 460), + Trans(6, 18, 3, 460), + Trans(6, 19, 3, 460), + Trans(6, 20, 3, 460), + Trans(6, 21, 3, 460), + Trans(6, 22, 3, 460), + Trans(6, 23, 3, 460), + Trans(6, 24, 3, 460), + Trans(6, 25, 3, 460), + Trans(6, 26, 3, 460), + Trans(6, 28, 3, 460), + Trans(6, 30, 3, 460), + Trans(6, 31, 3, 460), + Trans(6, 32, 3, 460), + Trans(6, 33, 3, 460), + Trans(6, 39, 3, 460), + Trans(6, 40, 3, 460), + Trans(6, 42, 3, 460), + Trans(6, 46, 3, 460), + Trans(6, 52, 3, 460), + Trans(7, 6, 3, 460), + Trans(7, 7, 3, 460), + Trans(7, 8, 3, 460), + Trans(7, 9, 3, 460), + Trans(7, 10, 3, 460), + Trans(7, 11, 3, 460), + Trans(7, 18, 3, 460), + Trans(7, 24, 3, 460), + Trans(7, 25, 3, 460), + Trans(7, 26, 3, 460), + Trans(7, 27, 3, 460), + Trans(7, 37, 3, 460), + Trans(7, 38, 3, 460), + Trans(7, 40, 3, 460), + Trans(7, 42, 21, 461), + Trans(7, 54, 3, 460), + Trans(7, 68, 3, 460), + Trans(7, 74, 3, 460), + Trans(7, 81, 3, 460), + Trans(7, 84, 3, 460), + Trans(7, 87, 3, 460), + Trans(7, 108, 3, 460), + Trans(7, 109, 3, 460), Trans(8, 5, 9, -1), Trans(8, 12, 10, -1), Trans(8, 14, 10, -1), @@ -10749,539 +10803,539 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(8, 45, 18, -1), Trans(8, 46, 10, -1), Trans(8, 52, 19, -1), - Trans(8, 92, 10, -1), - Trans(8, 96, 20, -1), - Trans(9, 12, 21, 458), - Trans(9, 14, 21, 458), - Trans(9, 16, 21, 458), - Trans(9, 17, 21, 458), - Trans(9, 18, 21, 458), - Trans(9, 19, 21, 458), - Trans(9, 20, 21, 458), - Trans(9, 21, 21, 458), - Trans(9, 22, 21, 458), - Trans(9, 23, 21, 458), - Trans(9, 24, 21, 458), - Trans(9, 25, 21, 458), - Trans(9, 26, 21, 458), - Trans(9, 29, 21, 458), - Trans(9, 30, 21, 458), - Trans(9, 31, 21, 458), - Trans(9, 32, 21, 458), - Trans(9, 38, 21, 458), - Trans(9, 41, 21, 458), - Trans(9, 42, 21, 458), - Trans(9, 43, 21, 458), - Trans(9, 44, 21, 458), - Trans(9, 45, 21, 458), - Trans(9, 46, 21, 458), - Trans(9, 52, 21, 458), - Trans(9, 92, 21, 458), - Trans(9, 96, 21, 458), - Trans(10, 5, 21, 458), - Trans(10, 6, 21, 458), - Trans(10, 7, 21, 458), - Trans(10, 8, 21, 458), - Trans(10, 9, 21, 458), - Trans(10, 10, 21, 458), - Trans(10, 11, 21, 458), - Trans(10, 18, 21, 458), - Trans(10, 24, 21, 458), - Trans(10, 25, 21, 458), - Trans(10, 26, 21, 458), - Trans(10, 27, 21, 458), - Trans(10, 37, 21, 458), - Trans(10, 38, 21, 458), - Trans(10, 40, 21, 458), - Trans(10, 54, 21, 458), - Trans(10, 68, 21, 458), - Trans(10, 73, 21, 458), - Trans(10, 80, 21, 458), - Trans(10, 83, 21, 458), - Trans(10, 86, 21, 458), - Trans(10, 107, 21, 458), - Trans(10, 108, 21, 458), - Trans(11, 5, 21, 458), - Trans(11, 6, 21, 458), - Trans(11, 7, 21, 458), - Trans(11, 8, 21, 458), - Trans(11, 9, 21, 458), - Trans(11, 10, 21, 458), - Trans(11, 11, 21, 458), - Trans(11, 18, 21, 458), - Trans(11, 24, 21, 458), - Trans(11, 25, 21, 458), - Trans(11, 26, 21, 458), - Trans(11, 27, 21, 458), - Trans(11, 37, 21, 458), - Trans(11, 38, 21, 458), - Trans(11, 40, 21, 458), - Trans(11, 54, 21, 458), - Trans(11, 63, 21, 458), - Trans(11, 67, 21, 458), - Trans(11, 68, 21, 458), - Trans(11, 73, 21, 458), - Trans(11, 77, 21, 458), - Trans(11, 80, 21, 458), - Trans(11, 83, 21, 458), - Trans(11, 86, 21, 458), - Trans(11, 93, 21, 458), - Trans(11, 94, 21, 458), - Trans(11, 107, 21, 458), - Trans(11, 108, 21, 458), - Trans(12, 5, 21, 458), - Trans(12, 6, 21, 458), - Trans(12, 7, 21, 458), - Trans(12, 8, 21, 458), - Trans(12, 9, 21, 458), - Trans(12, 10, 21, 458), - Trans(12, 11, 21, 458), - Trans(12, 18, 21, 458), - Trans(12, 24, 21, 458), - Trans(12, 25, 21, 458), - Trans(12, 26, 21, 458), - Trans(12, 27, 21, 458), - Trans(12, 35, 21, 458), - Trans(12, 37, 21, 458), - Trans(12, 38, 21, 458), - Trans(12, 40, 21, 458), - Trans(12, 42, 21, 458), - Trans(12, 44, 21, 458), - Trans(12, 54, 21, 458), - Trans(12, 55, 21, 458), - Trans(12, 68, 21, 458), - Trans(12, 73, 21, 458), - Trans(12, 78, 21, 458), - Trans(12, 80, 21, 458), - Trans(12, 83, 21, 458), - Trans(12, 86, 21, 458), - Trans(12, 88, 21, 458), - Trans(12, 107, 21, 458), - Trans(12, 108, 21, 458), - Trans(13, 5, 21, 458), - Trans(13, 6, 21, 458), - Trans(13, 7, 21, 458), - Trans(13, 8, 21, 458), - Trans(13, 9, 21, 458), - Trans(13, 10, 21, 458), - Trans(13, 11, 21, 458), - Trans(13, 18, 21, 458), - Trans(13, 24, 21, 458), - Trans(13, 25, 21, 458), - Trans(13, 26, 21, 458), - Trans(13, 27, 21, 458), - Trans(13, 29, 21, 458), - Trans(13, 35, 21, 458), - Trans(13, 37, 21, 458), - Trans(13, 38, 21, 458), - Trans(13, 40, 21, 458), - Trans(13, 42, 21, 458), - Trans(13, 47, 21, 458), - Trans(13, 48, 21, 458), - Trans(13, 49, 21, 458), - Trans(13, 54, 21, 458), - Trans(13, 55, 21, 458), - Trans(13, 58, 21, 458), - Trans(13, 62, 21, 458), - Trans(13, 63, 21, 458), - Trans(13, 64, 21, 458), - Trans(13, 67, 21, 458), - Trans(13, 68, 21, 458), - Trans(13, 69, 21, 458), - Trans(13, 70, 21, 458), - Trans(13, 73, 21, 458), - Trans(13, 74, 21, 458), - Trans(13, 77, 21, 458), - Trans(13, 78, 21, 458), - Trans(13, 80, 21, 458), - Trans(13, 81, 21, 458), - Trans(13, 83, 21, 458), - Trans(13, 86, 21, 458), - Trans(13, 93, 21, 458), - Trans(13, 94, 21, 458), - Trans(13, 98, 21, 458), - Trans(13, 102, 21, 458), - Trans(13, 105, 21, 458), - Trans(13, 106, 21, 458), - Trans(13, 107, 21, 458), - Trans(13, 108, 21, 458), - Trans(14, 5, 21, 458), - Trans(14, 30, 21, 458), - Trans(14, 34, 21, 458), - Trans(14, 38, 21, 458), - Trans(14, 39, 21, 458), - Trans(14, 42, 21, 458), - Trans(14, 44, 21, 458), - Trans(14, 45, 21, 458), - Trans(14, 76, 21, 458), - Trans(15, 5, 21, 458), - Trans(15, 12, 21, 458), - Trans(15, 14, 21, 458), - Trans(15, 16, 21, 458), - Trans(15, 17, 21, 458), - Trans(15, 18, 21, 458), - Trans(15, 19, 21, 458), - Trans(15, 20, 21, 458), - Trans(15, 21, 21, 458), - Trans(15, 22, 21, 458), - Trans(15, 23, 21, 458), - Trans(15, 24, 21, 458), - Trans(15, 25, 21, 458), - Trans(15, 26, 21, 458), - Trans(15, 29, 21, 458), - Trans(15, 30, 21, 458), - Trans(15, 31, 21, 458), - Trans(15, 32, 21, 458), - Trans(15, 35, 21, 458), - Trans(15, 38, 21, 458), - Trans(15, 41, 21, 458), - Trans(15, 42, 21, 458), - Trans(15, 43, 21, 458), - Trans(15, 44, 21, 458), - Trans(15, 45, 21, 458), - Trans(15, 46, 21, 458), - Trans(15, 47, 21, 458), - Trans(15, 48, 21, 458), - Trans(15, 49, 21, 458), - Trans(15, 52, 21, 458), - Trans(15, 56, 21, 458), - Trans(15, 58, 21, 458), - Trans(15, 59, 21, 458), - Trans(15, 62, 21, 458), - Trans(15, 63, 21, 458), - Trans(15, 64, 21, 458), - Trans(15, 68, 21, 458), - Trans(15, 69, 21, 458), - Trans(15, 70, 21, 458), - Trans(15, 74, 21, 458), - Trans(15, 77, 21, 458), - Trans(15, 78, 21, 458), - Trans(15, 81, 21, 458), - Trans(15, 92, 21, 458), - Trans(15, 96, 21, 458), - Trans(15, 98, 21, 458), - Trans(15, 102, 21, 458), - Trans(15, 105, 21, 458), - Trans(15, 106, 21, 458), - Trans(16, 5, 21, 458), - Trans(16, 12, 21, 458), - Trans(16, 14, 21, 458), - Trans(16, 15, 21, 458), - Trans(16, 16, 21, 458), - Trans(16, 17, 21, 458), - Trans(16, 18, 21, 458), - Trans(16, 19, 21, 458), - Trans(16, 20, 21, 458), - Trans(16, 21, 21, 458), - Trans(16, 22, 21, 458), - Trans(16, 23, 21, 458), - Trans(16, 24, 21, 458), - Trans(16, 25, 21, 458), - Trans(16, 26, 21, 458), - Trans(16, 29, 21, 458), - Trans(16, 30, 21, 458), - Trans(16, 31, 21, 458), - Trans(16, 32, 21, 458), - Trans(16, 33, 21, 458), - Trans(16, 34, 21, 458), - Trans(16, 35, 21, 458), - Trans(16, 38, 21, 458), - Trans(16, 39, 21, 458), - Trans(16, 40, 21, 458), - Trans(16, 41, 21, 458), - Trans(16, 42, 21, 458), - Trans(16, 43, 21, 458), - Trans(16, 44, 21, 458), - Trans(16, 45, 21, 458), - Trans(16, 46, 21, 458), - Trans(16, 52, 21, 458), - Trans(16, 92, 21, 458), - Trans(16, 96, 21, 458), - Trans(17, 5, 21, 458), - Trans(17, 12, 21, 458), - Trans(17, 13, 21, 458), - Trans(17, 14, 21, 458), - Trans(17, 16, 21, 458), - Trans(17, 17, 21, 458), - Trans(17, 18, 21, 458), - Trans(17, 19, 21, 458), - Trans(17, 20, 21, 458), - Trans(17, 21, 21, 458), - Trans(17, 22, 21, 458), - Trans(17, 23, 21, 458), - Trans(17, 24, 21, 458), - Trans(17, 25, 21, 458), - Trans(17, 26, 21, 458), - Trans(17, 29, 21, 458), - Trans(17, 30, 21, 458), - Trans(17, 31, 21, 458), - Trans(17, 32, 21, 458), - Trans(17, 38, 21, 458), - Trans(17, 40, 21, 458), - Trans(17, 41, 21, 458), - Trans(17, 42, 21, 458), - Trans(17, 43, 21, 458), - Trans(17, 44, 21, 458), - Trans(17, 45, 21, 458), - Trans(17, 46, 21, 458), - Trans(17, 52, 21, 458), - Trans(17, 92, 21, 458), - Trans(17, 96, 21, 458), - Trans(18, 5, 21, 458), - Trans(18, 6, 21, 458), - Trans(18, 7, 21, 458), - Trans(18, 8, 21, 458), - Trans(18, 9, 21, 458), - Trans(18, 10, 21, 458), - Trans(18, 11, 21, 458), - Trans(18, 18, 21, 458), - Trans(18, 24, 21, 458), - Trans(18, 25, 21, 458), - Trans(18, 26, 21, 458), - Trans(18, 27, 21, 458), - Trans(18, 29, 21, 458), - Trans(18, 35, 21, 458), - Trans(18, 37, 21, 458), - Trans(18, 38, 21, 458), - Trans(18, 40, 21, 458), - Trans(18, 42, 21, 458), - Trans(18, 47, 21, 458), - Trans(18, 48, 21, 458), - Trans(18, 49, 21, 458), - Trans(18, 54, 21, 458), - Trans(18, 55, 21, 458), - Trans(18, 58, 21, 458), - Trans(18, 59, 21, 458), - Trans(18, 62, 21, 458), - Trans(18, 63, 21, 458), - Trans(18, 64, 21, 458), - Trans(18, 67, 21, 458), - Trans(18, 68, 21, 458), - Trans(18, 69, 21, 458), - Trans(18, 70, 21, 458), - Trans(18, 73, 21, 458), - Trans(18, 74, 21, 458), - Trans(18, 77, 21, 458), - Trans(18, 78, 21, 458), - Trans(18, 80, 21, 458), - Trans(18, 81, 21, 458), - Trans(18, 83, 21, 458), - Trans(18, 86, 21, 458), - Trans(18, 93, 21, 458), - Trans(18, 94, 21, 458), - Trans(18, 98, 21, 458), - Trans(18, 102, 21, 458), - Trans(18, 105, 21, 458), - Trans(18, 106, 21, 458), - Trans(18, 107, 21, 458), - Trans(18, 108, 21, 458), - Trans(19, 5, 21, 458), - Trans(19, 107, 21, 458), - Trans(19, 108, 21, 458), - Trans(20, 5, 21, 458), - Trans(20, 6, 21, 458), - Trans(20, 7, 21, 458), - Trans(20, 8, 21, 458), - Trans(20, 9, 21, 458), - Trans(20, 10, 21, 458), - Trans(20, 11, 21, 458), - Trans(20, 15, 21, 458), - Trans(20, 18, 21, 458), - Trans(20, 24, 21, 458), - Trans(20, 25, 21, 458), - Trans(20, 26, 21, 458), - Trans(20, 27, 21, 458), - Trans(20, 37, 21, 458), - Trans(20, 38, 21, 458), - Trans(20, 40, 21, 458), - Trans(20, 54, 21, 458), - Trans(20, 68, 21, 458), - Trans(20, 73, 21, 458), - Trans(20, 80, 21, 458), - Trans(20, 83, 21, 458), - Trans(20, 86, 21, 458), - Trans(20, 107, 21, 458), - Trans(20, 108, 21, 458), - Trans(22, 5, 21, 458), - Trans(22, 12, 21, 458), - Trans(22, 14, 21, 458), - Trans(22, 16, 21, 458), - Trans(22, 17, 21, 458), - Trans(22, 18, 21, 458), - Trans(22, 19, 21, 458), - Trans(22, 20, 21, 458), - Trans(22, 21, 21, 458), - Trans(22, 22, 21, 458), - Trans(22, 23, 21, 458), - Trans(22, 24, 21, 458), - Trans(22, 25, 21, 458), - Trans(22, 26, 21, 458), - Trans(22, 29, 21, 458), - Trans(22, 30, 21, 458), - Trans(22, 31, 21, 458), - Trans(22, 32, 21, 458), - Trans(22, 38, 21, 458), - Trans(22, 41, 21, 458), - Trans(22, 42, 21, 458), - Trans(22, 43, 21, 458), - Trans(22, 44, 21, 458), - Trans(22, 45, 21, 458), - Trans(22, 46, 21, 458), - Trans(22, 52, 21, 458), - Trans(22, 92, 21, 458), - Trans(22, 96, 21, 458), + Trans(8, 93, 10, -1), + Trans(8, 97, 20, -1), + Trans(9, 12, 21, 461), + Trans(9, 14, 21, 461), + Trans(9, 16, 21, 461), + Trans(9, 17, 21, 461), + Trans(9, 18, 21, 461), + Trans(9, 19, 21, 461), + Trans(9, 20, 21, 461), + Trans(9, 21, 21, 461), + Trans(9, 22, 21, 461), + Trans(9, 23, 21, 461), + Trans(9, 24, 21, 461), + Trans(9, 25, 21, 461), + Trans(9, 26, 21, 461), + Trans(9, 29, 21, 461), + Trans(9, 30, 21, 461), + Trans(9, 31, 21, 461), + Trans(9, 32, 21, 461), + Trans(9, 38, 21, 461), + Trans(9, 41, 21, 461), + Trans(9, 42, 21, 461), + Trans(9, 43, 21, 461), + Trans(9, 44, 21, 461), + Trans(9, 45, 21, 461), + Trans(9, 46, 21, 461), + Trans(9, 52, 21, 461), + Trans(9, 93, 21, 461), + Trans(9, 97, 21, 461), + Trans(10, 5, 21, 461), + Trans(10, 6, 21, 461), + Trans(10, 7, 21, 461), + Trans(10, 8, 21, 461), + Trans(10, 9, 21, 461), + Trans(10, 10, 21, 461), + Trans(10, 11, 21, 461), + Trans(10, 18, 21, 461), + Trans(10, 24, 21, 461), + Trans(10, 25, 21, 461), + Trans(10, 26, 21, 461), + Trans(10, 27, 21, 461), + Trans(10, 37, 21, 461), + Trans(10, 38, 21, 461), + Trans(10, 40, 21, 461), + Trans(10, 54, 21, 461), + Trans(10, 68, 21, 461), + Trans(10, 74, 21, 461), + Trans(10, 81, 21, 461), + Trans(10, 84, 21, 461), + Trans(10, 87, 21, 461), + Trans(10, 108, 21, 461), + Trans(10, 109, 21, 461), + Trans(11, 5, 21, 461), + Trans(11, 6, 21, 461), + Trans(11, 7, 21, 461), + Trans(11, 8, 21, 461), + Trans(11, 9, 21, 461), + Trans(11, 10, 21, 461), + Trans(11, 11, 21, 461), + Trans(11, 18, 21, 461), + Trans(11, 24, 21, 461), + Trans(11, 25, 21, 461), + Trans(11, 26, 21, 461), + Trans(11, 27, 21, 461), + Trans(11, 37, 21, 461), + Trans(11, 38, 21, 461), + Trans(11, 40, 21, 461), + Trans(11, 54, 21, 461), + Trans(11, 63, 21, 461), + Trans(11, 67, 21, 461), + Trans(11, 68, 21, 461), + Trans(11, 74, 21, 461), + Trans(11, 78, 21, 461), + Trans(11, 81, 21, 461), + Trans(11, 84, 21, 461), + Trans(11, 87, 21, 461), + Trans(11, 94, 21, 461), + Trans(11, 95, 21, 461), + Trans(11, 108, 21, 461), + Trans(11, 109, 21, 461), + Trans(12, 5, 21, 461), + Trans(12, 6, 21, 461), + Trans(12, 7, 21, 461), + Trans(12, 8, 21, 461), + Trans(12, 9, 21, 461), + Trans(12, 10, 21, 461), + Trans(12, 11, 21, 461), + Trans(12, 18, 21, 461), + Trans(12, 24, 21, 461), + Trans(12, 25, 21, 461), + Trans(12, 26, 21, 461), + Trans(12, 27, 21, 461), + Trans(12, 35, 21, 461), + Trans(12, 37, 21, 461), + Trans(12, 38, 21, 461), + Trans(12, 40, 21, 461), + Trans(12, 42, 21, 461), + Trans(12, 44, 21, 461), + Trans(12, 54, 21, 461), + Trans(12, 55, 21, 461), + Trans(12, 68, 21, 461), + Trans(12, 74, 21, 461), + Trans(12, 79, 21, 461), + Trans(12, 81, 21, 461), + Trans(12, 84, 21, 461), + Trans(12, 87, 21, 461), + Trans(12, 89, 21, 461), + Trans(12, 108, 21, 461), + Trans(12, 109, 21, 461), + Trans(13, 5, 21, 461), + Trans(13, 6, 21, 461), + Trans(13, 7, 21, 461), + Trans(13, 8, 21, 461), + Trans(13, 9, 21, 461), + Trans(13, 10, 21, 461), + Trans(13, 11, 21, 461), + Trans(13, 18, 21, 461), + Trans(13, 24, 21, 461), + Trans(13, 25, 21, 461), + Trans(13, 26, 21, 461), + Trans(13, 27, 21, 461), + Trans(13, 29, 21, 461), + Trans(13, 35, 21, 461), + Trans(13, 37, 21, 461), + Trans(13, 38, 21, 461), + Trans(13, 40, 21, 461), + Trans(13, 42, 21, 461), + Trans(13, 47, 21, 461), + Trans(13, 48, 21, 461), + Trans(13, 49, 21, 461), + Trans(13, 54, 21, 461), + Trans(13, 55, 21, 461), + Trans(13, 58, 21, 461), + Trans(13, 62, 21, 461), + Trans(13, 63, 21, 461), + Trans(13, 64, 21, 461), + Trans(13, 67, 21, 461), + Trans(13, 68, 21, 461), + Trans(13, 69, 21, 461), + Trans(13, 71, 21, 461), + Trans(13, 74, 21, 461), + Trans(13, 75, 21, 461), + Trans(13, 78, 21, 461), + Trans(13, 79, 21, 461), + Trans(13, 81, 21, 461), + Trans(13, 82, 21, 461), + Trans(13, 84, 21, 461), + Trans(13, 87, 21, 461), + Trans(13, 94, 21, 461), + Trans(13, 95, 21, 461), + Trans(13, 99, 21, 461), + Trans(13, 103, 21, 461), + Trans(13, 106, 21, 461), + Trans(13, 107, 21, 461), + Trans(13, 108, 21, 461), + Trans(13, 109, 21, 461), + Trans(14, 5, 21, 461), + Trans(14, 30, 21, 461), + Trans(14, 34, 21, 461), + Trans(14, 38, 21, 461), + Trans(14, 39, 21, 461), + Trans(14, 42, 21, 461), + Trans(14, 44, 21, 461), + Trans(14, 45, 21, 461), + Trans(14, 77, 21, 461), + Trans(15, 5, 21, 461), + Trans(15, 12, 21, 461), + Trans(15, 14, 21, 461), + Trans(15, 16, 21, 461), + Trans(15, 17, 21, 461), + Trans(15, 18, 21, 461), + Trans(15, 19, 21, 461), + Trans(15, 20, 21, 461), + Trans(15, 21, 21, 461), + Trans(15, 22, 21, 461), + Trans(15, 23, 21, 461), + Trans(15, 24, 21, 461), + Trans(15, 25, 21, 461), + Trans(15, 26, 21, 461), + Trans(15, 29, 21, 461), + Trans(15, 30, 21, 461), + Trans(15, 31, 21, 461), + Trans(15, 32, 21, 461), + Trans(15, 35, 21, 461), + Trans(15, 38, 21, 461), + Trans(15, 41, 21, 461), + Trans(15, 42, 21, 461), + Trans(15, 43, 21, 461), + Trans(15, 44, 21, 461), + Trans(15, 45, 21, 461), + Trans(15, 46, 21, 461), + Trans(15, 47, 21, 461), + Trans(15, 48, 21, 461), + Trans(15, 49, 21, 461), + Trans(15, 52, 21, 461), + Trans(15, 56, 21, 461), + Trans(15, 58, 21, 461), + Trans(15, 59, 21, 461), + Trans(15, 62, 21, 461), + Trans(15, 63, 21, 461), + Trans(15, 64, 21, 461), + Trans(15, 68, 21, 461), + Trans(15, 69, 21, 461), + Trans(15, 71, 21, 461), + Trans(15, 75, 21, 461), + Trans(15, 78, 21, 461), + Trans(15, 79, 21, 461), + Trans(15, 82, 21, 461), + Trans(15, 93, 21, 461), + Trans(15, 97, 21, 461), + Trans(15, 99, 21, 461), + Trans(15, 103, 21, 461), + Trans(15, 106, 21, 461), + Trans(15, 107, 21, 461), + Trans(16, 5, 21, 461), + Trans(16, 12, 21, 461), + Trans(16, 14, 21, 461), + Trans(16, 15, 21, 461), + Trans(16, 16, 21, 461), + Trans(16, 17, 21, 461), + Trans(16, 18, 21, 461), + Trans(16, 19, 21, 461), + Trans(16, 20, 21, 461), + Trans(16, 21, 21, 461), + Trans(16, 22, 21, 461), + Trans(16, 23, 21, 461), + Trans(16, 24, 21, 461), + Trans(16, 25, 21, 461), + Trans(16, 26, 21, 461), + Trans(16, 29, 21, 461), + Trans(16, 30, 21, 461), + Trans(16, 31, 21, 461), + Trans(16, 32, 21, 461), + Trans(16, 33, 21, 461), + Trans(16, 34, 21, 461), + Trans(16, 35, 21, 461), + Trans(16, 38, 21, 461), + Trans(16, 39, 21, 461), + Trans(16, 40, 21, 461), + Trans(16, 41, 21, 461), + Trans(16, 42, 21, 461), + Trans(16, 43, 21, 461), + Trans(16, 44, 21, 461), + Trans(16, 45, 21, 461), + Trans(16, 46, 21, 461), + Trans(16, 52, 21, 461), + Trans(16, 93, 21, 461), + Trans(16, 97, 21, 461), + Trans(17, 5, 21, 461), + Trans(17, 12, 21, 461), + Trans(17, 13, 21, 461), + Trans(17, 14, 21, 461), + Trans(17, 16, 21, 461), + Trans(17, 17, 21, 461), + Trans(17, 18, 21, 461), + Trans(17, 19, 21, 461), + Trans(17, 20, 21, 461), + Trans(17, 21, 21, 461), + Trans(17, 22, 21, 461), + Trans(17, 23, 21, 461), + Trans(17, 24, 21, 461), + Trans(17, 25, 21, 461), + Trans(17, 26, 21, 461), + Trans(17, 29, 21, 461), + Trans(17, 30, 21, 461), + Trans(17, 31, 21, 461), + Trans(17, 32, 21, 461), + Trans(17, 38, 21, 461), + Trans(17, 40, 21, 461), + Trans(17, 41, 21, 461), + Trans(17, 42, 21, 461), + Trans(17, 43, 21, 461), + Trans(17, 44, 21, 461), + Trans(17, 45, 21, 461), + Trans(17, 46, 21, 461), + Trans(17, 52, 21, 461), + Trans(17, 93, 21, 461), + Trans(17, 97, 21, 461), + Trans(18, 5, 21, 461), + Trans(18, 6, 21, 461), + Trans(18, 7, 21, 461), + Trans(18, 8, 21, 461), + Trans(18, 9, 21, 461), + Trans(18, 10, 21, 461), + Trans(18, 11, 21, 461), + Trans(18, 18, 21, 461), + Trans(18, 24, 21, 461), + Trans(18, 25, 21, 461), + Trans(18, 26, 21, 461), + Trans(18, 27, 21, 461), + Trans(18, 29, 21, 461), + Trans(18, 35, 21, 461), + Trans(18, 37, 21, 461), + Trans(18, 38, 21, 461), + Trans(18, 40, 21, 461), + Trans(18, 42, 21, 461), + Trans(18, 47, 21, 461), + Trans(18, 48, 21, 461), + Trans(18, 49, 21, 461), + Trans(18, 54, 21, 461), + Trans(18, 55, 21, 461), + Trans(18, 58, 21, 461), + Trans(18, 59, 21, 461), + Trans(18, 62, 21, 461), + Trans(18, 63, 21, 461), + Trans(18, 64, 21, 461), + Trans(18, 67, 21, 461), + Trans(18, 68, 21, 461), + Trans(18, 69, 21, 461), + Trans(18, 71, 21, 461), + Trans(18, 74, 21, 461), + Trans(18, 75, 21, 461), + Trans(18, 78, 21, 461), + Trans(18, 79, 21, 461), + Trans(18, 81, 21, 461), + Trans(18, 82, 21, 461), + Trans(18, 84, 21, 461), + Trans(18, 87, 21, 461), + Trans(18, 94, 21, 461), + Trans(18, 95, 21, 461), + Trans(18, 99, 21, 461), + Trans(18, 103, 21, 461), + Trans(18, 106, 21, 461), + Trans(18, 107, 21, 461), + Trans(18, 108, 21, 461), + Trans(18, 109, 21, 461), + Trans(19, 5, 21, 461), + Trans(19, 108, 21, 461), + Trans(19, 109, 21, 461), + Trans(20, 5, 21, 461), + Trans(20, 6, 21, 461), + Trans(20, 7, 21, 461), + Trans(20, 8, 21, 461), + Trans(20, 9, 21, 461), + Trans(20, 10, 21, 461), + Trans(20, 11, 21, 461), + Trans(20, 15, 21, 461), + Trans(20, 18, 21, 461), + Trans(20, 24, 21, 461), + Trans(20, 25, 21, 461), + Trans(20, 26, 21, 461), + Trans(20, 27, 21, 461), + Trans(20, 37, 21, 461), + Trans(20, 38, 21, 461), + Trans(20, 40, 21, 461), + Trans(20, 54, 21, 461), + Trans(20, 68, 21, 461), + Trans(20, 74, 21, 461), + Trans(20, 81, 21, 461), + Trans(20, 84, 21, 461), + Trans(20, 87, 21, 461), + Trans(20, 108, 21, 461), + Trans(20, 109, 21, 461), + Trans(22, 5, 21, 461), + Trans(22, 12, 21, 461), + Trans(22, 14, 21, 461), + Trans(22, 16, 21, 461), + Trans(22, 17, 21, 461), + Trans(22, 18, 21, 461), + Trans(22, 19, 21, 461), + Trans(22, 20, 21, 461), + Trans(22, 21, 21, 461), + Trans(22, 22, 21, 461), + Trans(22, 23, 21, 461), + Trans(22, 24, 21, 461), + Trans(22, 25, 21, 461), + Trans(22, 26, 21, 461), + Trans(22, 29, 21, 461), + Trans(22, 30, 21, 461), + Trans(22, 31, 21, 461), + Trans(22, 32, 21, 461), + Trans(22, 38, 21, 461), + Trans(22, 41, 21, 461), + Trans(22, 42, 21, 461), + Trans(22, 43, 21, 461), + Trans(22, 44, 21, 461), + Trans(22, 45, 21, 461), + Trans(22, 46, 21, 461), + Trans(22, 52, 21, 461), + Trans(22, 93, 21, 461), + Trans(22, 97, 21, 461), ], k: 3, }, - /* 512 - "RangeListOpt" */ + /* 516 - "RangeListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 459), Trans(0, 42, 2, 460)], + transitions: &[Trans(0, 30, 1, 462), Trans(0, 42, 2, 463)], k: 1, }, - /* 513 - "RangeOperator" */ + /* 517 - "RangeOperator" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 2, 479), Trans(0, 32, 1, 478)], + transitions: &[Trans(0, 31, 2, 482), Trans(0, 32, 1, 481)], k: 1, }, - /* 514 - "RangeOpt" */ + /* 518 - "RangeOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 477), - Trans(0, 30, 2, 477), - Trans(0, 31, 1, 476), - Trans(0, 32, 1, 476), - Trans(0, 38, 2, 477), - Trans(0, 42, 2, 477), - Trans(0, 96, 2, 477), + Trans(0, 29, 2, 480), + Trans(0, 30, 2, 480), + Trans(0, 31, 1, 479), + Trans(0, 32, 1, 479), + Trans(0, 38, 2, 480), + Trans(0, 42, 2, 480), + Trans(0, 97, 2, 480), ], k: 1, }, - /* 515 - "RealNumber" */ + /* 519 - "RealNumber" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 7, 2, 322), Trans(0, 8, 1, 321)], + transitions: &[Trans(0, 7, 2, 325), Trans(0, 8, 1, 324)], k: 1, }, - /* 516 - "Ref" */ + /* 520 - "Ref" */ LookaheadDFA { - prod0: 299, + prod0: 302, transitions: &[], k: 0, }, - /* 517 - "RefTerm" */ + /* 521 - "RefTerm" */ LookaheadDFA { - prod0: 86, + prod0: 87, transitions: &[], k: 0, }, - /* 518 - "RefToken" */ + /* 522 - "RefToken" */ LookaheadDFA { - prod0: 194, + prod0: 196, transitions: &[], k: 0, }, - /* 519 - "Repeat" */ + /* 523 - "Repeat" */ LookaheadDFA { - prod0: 300, + prod0: 303, transitions: &[], k: 0, }, - /* 520 - "RepeatTerm" */ + /* 524 - "RepeatTerm" */ LookaheadDFA { - prod0: 87, + prod0: 88, transitions: &[], k: 0, }, - /* 521 - "RepeatToken" */ + /* 525 - "RepeatToken" */ LookaheadDFA { - prod0: 195, + prod0: 197, transitions: &[], k: 0, }, - /* 522 - "Return" */ + /* 526 - "Return" */ LookaheadDFA { - prod0: 301, + prod0: 304, transitions: &[], k: 0, }, - /* 523 - "ReturnStatement" */ + /* 527 - "ReturnStatement" */ LookaheadDFA { - prod0: 540, + prod0: 543, transitions: &[], k: 0, }, - /* 524 - "ReturnTerm" */ + /* 528 - "ReturnTerm" */ LookaheadDFA { - prod0: 88, + prod0: 89, transitions: &[], k: 0, }, - /* 525 - "ReturnToken" */ + /* 529 - "ReturnToken" */ LookaheadDFA { - prod0: 196, + prod0: 198, transitions: &[], k: 0, }, - /* 526 - "ScalarType" */ + /* 530 - "ScalarType" */ LookaheadDFA { - prod0: 495, + prod0: 498, transitions: &[], k: 0, }, - /* 527 - "ScalarTypeGroup" */ + /* 531 - "ScalarTypeGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 53, 1, 496), - Trans(0, 60, 2, 497), - Trans(0, 61, 2, 497), - Trans(0, 65, 2, 497), - Trans(0, 66, 2, 497), - Trans(0, 79, 1, 496), - Trans(0, 97, 2, 497), - Trans(0, 103, 2, 497), - Trans(0, 104, 2, 497), - Trans(0, 107, 1, 496), - Trans(0, 108, 1, 496), + Trans(0, 53, 1, 499), + Trans(0, 60, 2, 500), + Trans(0, 61, 2, 500), + Trans(0, 65, 2, 500), + Trans(0, 66, 2, 500), + Trans(0, 80, 1, 499), + Trans(0, 98, 2, 500), + Trans(0, 104, 2, 500), + Trans(0, 105, 2, 500), + Trans(0, 108, 1, 499), + Trans(0, 109, 1, 499), ], k: 1, }, - /* 528 - "ScalarTypeList" */ + /* 532 - "ScalarTypeList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 53, 2, 499), - Trans(0, 60, 2, 499), - Trans(0, 61, 2, 499), - Trans(0, 65, 2, 499), - Trans(0, 66, 2, 499), - Trans(0, 79, 2, 499), - Trans(0, 95, 1, 498), - Trans(0, 97, 2, 499), - Trans(0, 101, 1, 498), - Trans(0, 103, 2, 499), - Trans(0, 104, 2, 499), - Trans(0, 107, 2, 499), - Trans(0, 108, 2, 499), + Trans(0, 53, 2, 502), + Trans(0, 60, 2, 502), + Trans(0, 61, 2, 502), + Trans(0, 65, 2, 502), + Trans(0, 66, 2, 502), + Trans(0, 80, 2, 502), + Trans(0, 96, 1, 501), + Trans(0, 98, 2, 502), + Trans(0, 102, 1, 501), + Trans(0, 104, 2, 502), + Trans(0, 105, 2, 502), + Trans(0, 108, 2, 502), + Trans(0, 109, 2, 502), ], k: 1, }, - /* 529 - "ScopedIdentifier" */ + /* 533 - "ScopedIdentifier" */ LookaheadDFA { - prod0: 330, + prod0: 333, transitions: &[], k: 0, }, - /* 530 - "ScopedIdentifierGroup" */ + /* 534 - "ScopedIdentifierGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 107, 1, 331), Trans(0, 108, 2, 332)], + transitions: &[Trans(0, 108, 1, 334), Trans(0, 109, 2, 335)], k: 1, }, - /* 531 - "ScopedIdentifierList" */ + /* 535 - "ScopedIdentifierList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -11316,49 +11370,49 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(0, 45, 20, -1), Trans(0, 46, 6, -1), Trans(0, 52, 21, -1), - Trans(0, 76, 22, -1), - Trans(0, 92, 23, -1), - Trans(0, 96, 24, -1), + Trans(0, 77, 22, -1), + Trans(0, 93, 23, -1), + Trans(0, 97, 24, -1), Trans(1, 5, 4, -1), Trans(1, 46, 40, -1), - Trans(1, 108, 2, -1), - Trans(2, 5, 3, 333), - Trans(2, 12, 3, 333), - Trans(2, 14, 3, 333), - Trans(2, 16, 3, 333), - Trans(2, 17, 3, 333), - Trans(2, 18, 3, 333), - Trans(2, 19, 3, 333), - Trans(2, 20, 3, 333), - Trans(2, 21, 3, 333), - Trans(2, 22, 3, 333), - Trans(2, 23, 3, 333), - Trans(2, 24, 3, 333), - Trans(2, 25, 3, 333), - Trans(2, 26, 3, 333), - Trans(2, 28, 3, 333), - Trans(2, 29, 3, 333), - Trans(2, 30, 3, 333), - Trans(2, 31, 3, 333), - Trans(2, 32, 3, 333), - Trans(2, 34, 3, 333), - Trans(2, 35, 3, 333), - Trans(2, 36, 3, 333), - Trans(2, 38, 3, 333), - Trans(2, 39, 3, 333), - Trans(2, 40, 3, 333), - Trans(2, 41, 3, 333), - Trans(2, 42, 3, 333), - Trans(2, 43, 3, 333), - Trans(2, 44, 3, 333), - Trans(2, 45, 3, 333), - Trans(2, 46, 3, 333), - Trans(2, 52, 3, 333), - Trans(2, 76, 3, 333), - Trans(2, 92, 3, 333), - Trans(2, 96, 3, 333), - Trans(4, 46, 25, 334), - Trans(4, 108, 3, 333), + Trans(1, 109, 2, -1), + Trans(2, 5, 3, 336), + Trans(2, 12, 3, 336), + Trans(2, 14, 3, 336), + Trans(2, 16, 3, 336), + Trans(2, 17, 3, 336), + Trans(2, 18, 3, 336), + Trans(2, 19, 3, 336), + Trans(2, 20, 3, 336), + Trans(2, 21, 3, 336), + Trans(2, 22, 3, 336), + Trans(2, 23, 3, 336), + Trans(2, 24, 3, 336), + Trans(2, 25, 3, 336), + Trans(2, 26, 3, 336), + Trans(2, 28, 3, 336), + Trans(2, 29, 3, 336), + Trans(2, 30, 3, 336), + Trans(2, 31, 3, 336), + Trans(2, 32, 3, 336), + Trans(2, 34, 3, 336), + Trans(2, 35, 3, 336), + Trans(2, 36, 3, 336), + Trans(2, 38, 3, 336), + Trans(2, 39, 3, 336), + Trans(2, 40, 3, 336), + Trans(2, 41, 3, 336), + Trans(2, 42, 3, 336), + Trans(2, 43, 3, 336), + Trans(2, 44, 3, 336), + Trans(2, 45, 3, 336), + Trans(2, 46, 3, 336), + Trans(2, 52, 3, 336), + Trans(2, 77, 3, 336), + Trans(2, 93, 3, 336), + Trans(2, 97, 3, 336), + Trans(4, 46, 25, 337), + Trans(4, 109, 3, 336), Trans(5, 5, 52, -1), Trans(5, 6, 53, -1), Trans(5, 7, 53, -1), @@ -11376,12 +11430,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(5, 40, 28, -1), Trans(5, 54, 28, -1), Trans(5, 68, 28, -1), - Trans(5, 73, 28, -1), - Trans(5, 80, 53, -1), - Trans(5, 83, 53, -1), - Trans(5, 86, 28, -1), - Trans(5, 107, 54, -1), + Trans(5, 74, 28, -1), + Trans(5, 81, 53, -1), + Trans(5, 84, 53, -1), + Trans(5, 87, 28, -1), Trans(5, 108, 54, -1), + Trans(5, 109, 54, -1), Trans(6, 5, 52, -1), Trans(6, 6, 55, -1), Trans(6, 7, 55, -1), @@ -11399,12 +11453,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(6, 40, 28, -1), Trans(6, 54, 28, -1), Trans(6, 68, 28, -1), - Trans(6, 73, 28, -1), - Trans(6, 80, 55, -1), - Trans(6, 83, 55, -1), - Trans(6, 86, 28, -1), - Trans(6, 107, 56, -1), + Trans(6, 74, 28, -1), + Trans(6, 81, 55, -1), + Trans(6, 84, 55, -1), + Trans(6, 87, 28, -1), Trans(6, 108, 56, -1), + Trans(6, 109, 56, -1), Trans(7, 5, 57, -1), Trans(7, 6, 58, -1), Trans(7, 7, 58, -1), @@ -11424,15 +11478,15 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(7, 63, 29, -1), Trans(7, 67, 34, -1), Trans(7, 68, 28, -1), - Trans(7, 73, 28, -1), - Trans(7, 77, 29, -1), - Trans(7, 80, 58, -1), - Trans(7, 83, 58, -1), - Trans(7, 86, 28, -1), - Trans(7, 93, 28, -1), - Trans(7, 94, 40, -1), - Trans(7, 107, 60, -1), - Trans(7, 108, 61, -1), + Trans(7, 74, 28, -1), + Trans(7, 78, 29, -1), + Trans(7, 81, 58, -1), + Trans(7, 84, 58, -1), + Trans(7, 87, 28, -1), + Trans(7, 94, 28, -1), + Trans(7, 95, 40, -1), + Trans(7, 108, 60, -1), + Trans(7, 109, 61, -1), Trans(8, 5, 62, -1), Trans(8, 6, 63, -1), Trans(8, 7, 63, -1), @@ -11454,14 +11508,14 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(8, 54, 28, -1), Trans(8, 55, 36, -1), Trans(8, 68, 28, -1), - Trans(8, 73, 28, -1), - Trans(8, 78, 29, -1), - Trans(8, 80, 63, -1), - Trans(8, 83, 63, -1), - Trans(8, 86, 28, -1), - Trans(8, 88, 29, -1), - Trans(8, 107, 66, -1), - Trans(8, 108, 67, -1), + Trans(8, 74, 28, -1), + Trans(8, 79, 29, -1), + Trans(8, 81, 63, -1), + Trans(8, 84, 63, -1), + Trans(8, 87, 28, -1), + Trans(8, 89, 29, -1), + Trans(8, 108, 66, -1), + Trans(8, 109, 67, -1), Trans(9, 5, 52, -1), Trans(9, 6, 68, -1), Trans(9, 7, 68, -1), @@ -11479,12 +11533,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(9, 40, 28, -1), Trans(9, 54, 28, -1), Trans(9, 68, 28, -1), - Trans(9, 73, 28, -1), - Trans(9, 80, 68, -1), - Trans(9, 83, 68, -1), - Trans(9, 86, 28, -1), - Trans(9, 107, 69, -1), + Trans(9, 74, 28, -1), + Trans(9, 81, 68, -1), + Trans(9, 84, 68, -1), + Trans(9, 87, 28, -1), Trans(9, 108, 69, -1), + Trans(9, 109, 69, -1), Trans(10, 5, 52, -1), Trans(10, 6, 70, -1), Trans(10, 7, 70, -1), @@ -11502,12 +11556,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(10, 40, 28, -1), Trans(10, 54, 28, -1), Trans(10, 68, 28, -1), - Trans(10, 73, 28, -1), - Trans(10, 80, 70, -1), - Trans(10, 83, 70, -1), - Trans(10, 86, 28, -1), - Trans(10, 107, 71, -1), + Trans(10, 74, 28, -1), + Trans(10, 81, 70, -1), + Trans(10, 84, 70, -1), + Trans(10, 87, 28, -1), Trans(10, 108, 71, -1), + Trans(10, 109, 71, -1), Trans(11, 5, 102, -1), Trans(11, 40, 92, -1), Trans(12, 5, 52, -1), @@ -11527,12 +11581,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(12, 40, 28, -1), Trans(12, 54, 28, -1), Trans(12, 68, 28, -1), - Trans(12, 73, 28, -1), - Trans(12, 80, 72, -1), - Trans(12, 83, 72, -1), - Trans(12, 86, 28, -1), - Trans(12, 107, 73, -1), + Trans(12, 74, 28, -1), + Trans(12, 81, 72, -1), + Trans(12, 84, 72, -1), + Trans(12, 87, 28, -1), Trans(12, 108, 73, -1), + Trans(12, 109, 73, -1), Trans(13, 5, 74, -1), Trans(13, 6, 75, -1), Trans(13, 7, 75, -1), @@ -11563,23 +11617,23 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(13, 67, 34, -1), Trans(13, 68, 28, -1), Trans(13, 69, 38, -1), - Trans(13, 70, 34, -1), - Trans(13, 73, 28, -1), - Trans(13, 74, 29, -1), - Trans(13, 77, 29, -1), + Trans(13, 71, 34, -1), + Trans(13, 74, 28, -1), + Trans(13, 75, 29, -1), Trans(13, 78, 29, -1), - Trans(13, 80, 75, -1), - Trans(13, 81, 29, -1), - Trans(13, 83, 75, -1), - Trans(13, 86, 28, -1), - Trans(13, 93, 28, -1), - Trans(13, 94, 40, -1), - Trans(13, 98, 29, -1), - Trans(13, 102, 29, -1), - Trans(13, 105, 29, -1), + Trans(13, 79, 29, -1), + Trans(13, 81, 75, -1), + Trans(13, 82, 29, -1), + Trans(13, 84, 75, -1), + Trans(13, 87, 28, -1), + Trans(13, 94, 28, -1), + Trans(13, 95, 40, -1), + Trans(13, 99, 29, -1), + Trans(13, 103, 29, -1), Trans(13, 106, 29, -1), - Trans(13, 107, 78, -1), + Trans(13, 107, 29, -1), Trans(13, 108, 78, -1), + Trans(13, 109, 78, -1), Trans(14, 5, 52, -1), Trans(14, 6, 58, -1), Trans(14, 7, 58, -1), @@ -11597,17 +11651,17 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(14, 40, 28, -1), Trans(14, 54, 28, -1), Trans(14, 68, 28, -1), - Trans(14, 73, 28, -1), - Trans(14, 80, 58, -1), - Trans(14, 83, 58, -1), - Trans(14, 86, 28, -1), - Trans(14, 107, 79, -1), + Trans(14, 74, 28, -1), + Trans(14, 81, 58, -1), + Trans(14, 84, 58, -1), + Trans(14, 87, 28, -1), Trans(14, 108, 79, -1), + Trans(14, 109, 79, -1), Trans(15, 5, 99, -1), Trans(15, 35, 30, -1), Trans(15, 38, 100, -1), Trans(15, 44, 40, -1), - Trans(15, 108, 101, -1), + Trans(15, 109, 101, -1), Trans(16, 5, 93, -1), Trans(16, 30, 94, -1), Trans(16, 34, 28, -1), @@ -11616,7 +11670,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(16, 42, 96, -1), Trans(16, 44, 97, -1), Trans(16, 45, 98, -1), - Trans(16, 76, 28, -1), + Trans(16, 77, 28, -1), Trans(17, 5, 42, -1), Trans(17, 12, 28, -1), Trans(17, 14, 28, -1), @@ -11655,17 +11709,17 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(17, 64, 29, -1), Trans(17, 68, 28, -1), Trans(17, 69, 38, -1), - Trans(17, 70, 34, -1), - Trans(17, 74, 29, -1), - Trans(17, 77, 29, -1), + Trans(17, 71, 34, -1), + Trans(17, 75, 29, -1), Trans(17, 78, 29, -1), - Trans(17, 81, 29, -1), - Trans(17, 92, 28, -1), - Trans(17, 96, 51, -1), - Trans(17, 98, 29, -1), - Trans(17, 102, 29, -1), - Trans(17, 105, 29, -1), + Trans(17, 79, 29, -1), + Trans(17, 82, 29, -1), + Trans(17, 93, 28, -1), + Trans(17, 97, 51, -1), + Trans(17, 99, 29, -1), + Trans(17, 103, 29, -1), Trans(17, 106, 29, -1), + Trans(17, 107, 29, -1), Trans(18, 5, 85, -1), Trans(18, 12, 28, -1), Trans(18, 14, 28, -1), @@ -11698,8 +11752,8 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(18, 45, 45, -1), Trans(18, 46, 28, -1), Trans(18, 52, 38, -1), - Trans(18, 92, 28, -1), - Trans(18, 96, 51, -1), + Trans(18, 93, 28, -1), + Trans(18, 97, 51, -1), Trans(19, 5, 90, -1), Trans(19, 12, 28, -1), Trans(19, 13, 91, -1), @@ -11728,9 +11782,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(19, 45, 45, -1), Trans(19, 46, 28, -1), Trans(19, 52, 38, -1), - Trans(19, 92, 28, -1), - Trans(19, 96, 51, -1), - Trans(20, 0, 25, 334), + Trans(19, 93, 28, -1), + Trans(19, 97, 51, -1), + Trans(20, 0, 25, 337), Trans(20, 5, 26, -1), Trans(20, 6, 27, -1), Trans(20, 7, 27, -1), @@ -11763,30 +11817,31 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(20, 67, 34, -1), Trans(20, 68, 28, -1), Trans(20, 69, 38, -1), - Trans(20, 70, 34, -1), - Trans(20, 73, 28, -1), - Trans(20, 74, 29, -1), + Trans(20, 70, 35, -1), + Trans(20, 71, 34, -1), + Trans(20, 74, 28, -1), Trans(20, 75, 29, -1), - Trans(20, 77, 29, -1), + Trans(20, 76, 29, -1), Trans(20, 78, 29, -1), - Trans(20, 80, 27, -1), - Trans(20, 81, 29, -1), + Trans(20, 79, 29, -1), + Trans(20, 81, 27, -1), Trans(20, 82, 29, -1), - Trans(20, 83, 27, -1), - Trans(20, 86, 28, -1), - Trans(20, 87, 29, -1), - Trans(20, 90, 39, -1), - Trans(20, 93, 28, -1), - Trans(20, 94, 40, -1), - Trans(20, 98, 29, -1), - Trans(20, 102, 29, -1), - Trans(20, 105, 29, -1), + Trans(20, 83, 29, -1), + Trans(20, 84, 27, -1), + Trans(20, 87, 28, -1), + Trans(20, 88, 29, -1), + Trans(20, 91, 39, -1), + Trans(20, 94, 28, -1), + Trans(20, 95, 40, -1), + Trans(20, 99, 29, -1), + Trans(20, 103, 29, -1), Trans(20, 106, 29, -1), - Trans(20, 107, 41, -1), + Trans(20, 107, 29, -1), Trans(20, 108, 41, -1), + Trans(20, 109, 41, -1), Trans(21, 5, 103, -1), - Trans(21, 107, 104, -1), Trans(21, 108, 104, -1), + Trans(21, 109, 104, -1), Trans(22, 5, 52, -1), Trans(22, 6, 80, -1), Trans(22, 7, 80, -1), @@ -11804,12 +11859,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(22, 40, 28, -1), Trans(22, 54, 28, -1), Trans(22, 68, 28, -1), - Trans(22, 73, 28, -1), - Trans(22, 80, 80, -1), - Trans(22, 83, 80, -1), - Trans(22, 86, 28, -1), - Trans(22, 107, 81, -1), + Trans(22, 74, 28, -1), + Trans(22, 81, 80, -1), + Trans(22, 84, 80, -1), + Trans(22, 87, 28, -1), Trans(22, 108, 81, -1), + Trans(22, 109, 81, -1), Trans(23, 5, 52, -1), Trans(23, 6, 82, -1), Trans(23, 7, 82, -1), @@ -11827,12 +11882,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(23, 40, 28, -1), Trans(23, 54, 28, -1), Trans(23, 68, 28, -1), - Trans(23, 73, 28, -1), - Trans(23, 80, 82, -1), - Trans(23, 83, 82, -1), - Trans(23, 86, 28, -1), - Trans(23, 107, 83, -1), + Trans(23, 74, 28, -1), + Trans(23, 81, 82, -1), + Trans(23, 84, 82, -1), + Trans(23, 87, 28, -1), Trans(23, 108, 83, -1), + Trans(23, 109, 83, -1), Trans(24, 5, 84, -1), Trans(24, 6, 53, -1), Trans(24, 7, 53, -1), @@ -11851,2019 +11906,2023 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(24, 40, 28, -1), Trans(24, 54, 28, -1), Trans(24, 68, 28, -1), - Trans(24, 73, 28, -1), - Trans(24, 80, 53, -1), - Trans(24, 83, 53, -1), - Trans(24, 86, 28, -1), - Trans(24, 107, 54, -1), + Trans(24, 74, 28, -1), + Trans(24, 81, 53, -1), + Trans(24, 84, 53, -1), + Trans(24, 87, 28, -1), Trans(24, 108, 54, -1), - Trans(26, 0, 25, 334), - Trans(26, 6, 25, 334), - Trans(26, 7, 25, 334), - Trans(26, 8, 25, 334), - Trans(26, 9, 25, 334), - Trans(26, 10, 25, 334), - Trans(26, 11, 25, 334), - Trans(26, 18, 25, 334), - Trans(26, 24, 25, 334), - Trans(26, 25, 25, 334), - Trans(26, 26, 25, 334), - Trans(26, 27, 25, 334), - Trans(26, 29, 25, 334), - Trans(26, 35, 25, 334), - Trans(26, 37, 25, 334), - Trans(26, 38, 25, 334), - Trans(26, 40, 25, 334), - Trans(26, 42, 25, 334), - Trans(26, 47, 25, 334), - Trans(26, 48, 25, 334), - Trans(26, 49, 25, 334), - Trans(26, 54, 25, 334), - Trans(26, 55, 25, 334), - Trans(26, 57, 25, 334), - Trans(26, 58, 25, 334), - Trans(26, 59, 25, 334), - Trans(26, 62, 25, 334), - Trans(26, 63, 25, 334), - Trans(26, 64, 25, 334), - Trans(26, 67, 25, 334), - Trans(26, 68, 25, 334), - Trans(26, 69, 25, 334), - Trans(26, 70, 25, 334), - Trans(26, 73, 25, 334), - Trans(26, 74, 25, 334), - Trans(26, 75, 25, 334), - Trans(26, 77, 25, 334), - Trans(26, 78, 25, 334), - Trans(26, 80, 25, 334), - Trans(26, 81, 25, 334), - Trans(26, 82, 25, 334), - Trans(26, 83, 25, 334), - Trans(26, 86, 25, 334), - Trans(26, 87, 25, 334), - Trans(26, 90, 25, 334), - Trans(26, 93, 25, 334), - Trans(26, 94, 25, 334), - Trans(26, 98, 25, 334), - Trans(26, 102, 25, 334), - Trans(26, 105, 25, 334), - Trans(26, 106, 25, 334), - Trans(26, 107, 25, 334), - Trans(26, 108, 25, 334), - Trans(27, 5, 25, 334), - Trans(27, 16, 25, 334), - Trans(27, 17, 25, 334), - Trans(27, 18, 25, 334), - Trans(27, 19, 25, 334), - Trans(27, 20, 25, 334), - Trans(27, 21, 25, 334), - Trans(27, 22, 25, 334), - Trans(27, 23, 25, 334), - Trans(27, 24, 25, 334), - Trans(27, 25, 25, 334), - Trans(27, 26, 25, 334), - Trans(27, 29, 25, 334), - Trans(27, 30, 25, 334), - Trans(27, 46, 25, 334), - Trans(27, 52, 25, 334), - Trans(28, 5, 25, 334), - Trans(28, 6, 25, 334), - Trans(28, 7, 25, 334), - Trans(28, 8, 25, 334), - Trans(28, 9, 25, 334), - Trans(28, 10, 25, 334), - Trans(28, 11, 25, 334), - Trans(28, 18, 25, 334), - Trans(28, 24, 25, 334), - Trans(28, 25, 25, 334), - Trans(28, 26, 25, 334), - Trans(28, 27, 25, 334), - Trans(28, 37, 25, 334), - Trans(28, 38, 25, 334), - Trans(28, 40, 25, 334), - Trans(28, 54, 25, 334), - Trans(28, 68, 25, 334), - Trans(28, 73, 25, 334), - Trans(28, 80, 25, 334), - Trans(28, 83, 25, 334), - Trans(28, 86, 25, 334), - Trans(28, 107, 25, 334), - Trans(28, 108, 25, 334), - Trans(29, 5, 25, 334), - Trans(29, 108, 25, 334), - Trans(30, 5, 25, 334), - Trans(30, 39, 25, 334), - Trans(31, 5, 25, 334), - Trans(31, 6, 25, 334), - Trans(31, 7, 25, 334), - Trans(31, 8, 25, 334), - Trans(31, 9, 25, 334), - Trans(31, 10, 25, 334), - Trans(31, 11, 25, 334), - Trans(31, 18, 25, 334), - Trans(31, 24, 25, 334), - Trans(31, 25, 25, 334), - Trans(31, 26, 25, 334), - Trans(31, 27, 25, 334), - Trans(31, 37, 25, 334), - Trans(31, 38, 25, 334), - Trans(31, 40, 25, 334), - Trans(31, 54, 25, 334), - Trans(31, 55, 25, 334), - Trans(31, 68, 25, 334), - Trans(31, 73, 25, 334), - Trans(31, 80, 25, 334), - Trans(31, 83, 25, 334), - Trans(31, 86, 25, 334), - Trans(31, 107, 25, 334), - Trans(31, 108, 25, 334), - Trans(32, 5, 25, 334), - Trans(32, 6, 25, 334), - Trans(32, 7, 25, 334), - Trans(32, 8, 25, 334), - Trans(32, 9, 25, 334), - Trans(32, 10, 25, 334), - Trans(32, 11, 25, 334), - Trans(32, 18, 25, 334), - Trans(32, 24, 25, 334), - Trans(32, 25, 25, 334), - Trans(32, 26, 25, 334), - Trans(32, 27, 25, 334), - Trans(32, 29, 25, 334), - Trans(32, 35, 25, 334), - Trans(32, 37, 25, 334), - Trans(32, 38, 25, 334), - Trans(32, 40, 25, 334), - Trans(32, 42, 25, 334), - Trans(32, 47, 25, 334), - Trans(32, 48, 25, 334), - Trans(32, 49, 25, 334), - Trans(32, 54, 25, 334), - Trans(32, 57, 25, 334), - Trans(32, 58, 25, 334), - Trans(32, 59, 25, 334), - Trans(32, 62, 25, 334), - Trans(32, 63, 25, 334), - Trans(32, 64, 25, 334), - Trans(32, 68, 25, 334), - Trans(32, 69, 25, 334), - Trans(32, 70, 25, 334), - Trans(32, 73, 25, 334), - Trans(32, 74, 25, 334), - Trans(32, 75, 25, 334), - Trans(32, 77, 25, 334), - Trans(32, 78, 25, 334), - Trans(32, 80, 25, 334), - Trans(32, 81, 25, 334), - Trans(32, 82, 25, 334), - Trans(32, 83, 25, 334), - Trans(32, 86, 25, 334), - Trans(32, 87, 25, 334), - Trans(32, 90, 25, 334), - Trans(32, 98, 25, 334), - Trans(32, 102, 25, 334), - Trans(32, 105, 25, 334), - Trans(32, 106, 25, 334), - Trans(32, 107, 25, 334), - Trans(32, 108, 25, 334), - Trans(33, 0, 25, 334), - Trans(33, 5, 25, 334), - Trans(33, 6, 25, 334), - Trans(33, 7, 25, 334), - Trans(33, 8, 25, 334), - Trans(33, 9, 25, 334), - Trans(33, 10, 25, 334), - Trans(33, 11, 25, 334), - Trans(33, 18, 25, 334), - Trans(33, 24, 25, 334), - Trans(33, 25, 25, 334), - Trans(33, 26, 25, 334), - Trans(33, 27, 25, 334), - Trans(33, 29, 25, 334), - Trans(33, 35, 25, 334), - Trans(33, 37, 25, 334), - Trans(33, 38, 25, 334), - Trans(33, 40, 25, 334), - Trans(33, 42, 25, 334), - Trans(33, 47, 25, 334), - Trans(33, 48, 25, 334), - Trans(33, 49, 25, 334), - Trans(33, 54, 25, 334), - Trans(33, 55, 25, 334), - Trans(33, 56, 25, 334), - Trans(33, 57, 25, 334), - Trans(33, 58, 25, 334), - Trans(33, 59, 25, 334), - Trans(33, 62, 25, 334), - Trans(33, 63, 25, 334), - Trans(33, 64, 25, 334), - Trans(33, 67, 25, 334), - Trans(33, 68, 25, 334), - Trans(33, 69, 25, 334), - Trans(33, 70, 25, 334), - Trans(33, 73, 25, 334), - Trans(33, 74, 25, 334), - Trans(33, 75, 25, 334), - Trans(33, 77, 25, 334), - Trans(33, 78, 25, 334), - Trans(33, 80, 25, 334), - Trans(33, 81, 25, 334), - Trans(33, 82, 25, 334), - Trans(33, 83, 25, 334), - Trans(33, 86, 25, 334), - Trans(33, 87, 25, 334), - Trans(33, 90, 25, 334), - Trans(33, 93, 25, 334), - Trans(33, 94, 25, 334), - Trans(33, 98, 25, 334), - Trans(33, 102, 25, 334), - Trans(33, 105, 25, 334), - Trans(33, 106, 25, 334), - Trans(33, 107, 25, 334), - Trans(33, 108, 25, 334), - Trans(34, 5, 25, 334), - Trans(34, 38, 25, 334), - Trans(35, 5, 25, 334), - Trans(35, 40, 25, 334), - Trans(36, 5, 25, 334), - Trans(36, 29, 25, 334), - Trans(37, 5, 25, 334), - Trans(37, 46, 25, 334), - Trans(37, 107, 25, 334), - Trans(37, 108, 25, 334), - Trans(38, 5, 25, 334), - Trans(38, 107, 25, 334), - Trans(38, 108, 25, 334), - Trans(39, 5, 25, 334), - Trans(39, 75, 25, 334), - Trans(39, 82, 25, 334), - Trans(39, 87, 25, 334), - Trans(40, 5, 25, 334), - Trans(40, 45, 25, 334), - Trans(41, 5, 25, 334), - Trans(41, 15, 25, 334), - Trans(41, 16, 25, 334), - Trans(41, 17, 25, 334), - Trans(41, 18, 25, 334), - Trans(41, 19, 25, 334), - Trans(41, 20, 25, 334), - Trans(41, 21, 25, 334), - Trans(41, 22, 25, 334), - Trans(41, 23, 25, 334), - Trans(41, 24, 25, 334), - Trans(41, 25, 25, 334), - Trans(41, 26, 25, 334), - Trans(41, 28, 25, 334), - Trans(41, 29, 25, 334), - Trans(41, 30, 25, 334), - Trans(41, 33, 25, 334), - Trans(41, 34, 25, 334), - Trans(41, 39, 25, 334), - Trans(41, 40, 25, 334), - Trans(41, 46, 25, 334), - Trans(41, 52, 25, 334), - Trans(42, 12, 25, 334), - Trans(42, 14, 25, 334), - Trans(42, 16, 25, 334), - Trans(42, 17, 25, 334), - Trans(42, 18, 25, 334), - Trans(42, 19, 25, 334), - Trans(42, 20, 25, 334), - Trans(42, 21, 25, 334), - Trans(42, 22, 25, 334), - Trans(42, 23, 25, 334), - Trans(42, 24, 25, 334), - Trans(42, 25, 25, 334), - Trans(42, 26, 25, 334), - Trans(42, 29, 25, 334), - Trans(42, 30, 25, 334), - Trans(42, 31, 25, 334), - Trans(42, 32, 25, 334), - Trans(42, 35, 25, 334), - Trans(42, 38, 25, 334), - Trans(42, 41, 25, 334), - Trans(42, 42, 25, 334), - Trans(42, 43, 25, 334), - Trans(42, 44, 25, 334), - Trans(42, 45, 25, 334), - Trans(42, 46, 25, 334), - Trans(42, 47, 25, 334), - Trans(42, 48, 25, 334), - Trans(42, 49, 25, 334), - Trans(42, 52, 25, 334), - Trans(42, 56, 25, 334), - Trans(42, 58, 25, 334), - Trans(42, 59, 25, 334), - Trans(42, 62, 25, 334), - Trans(42, 63, 25, 334), - Trans(42, 64, 25, 334), - Trans(42, 68, 25, 334), - Trans(42, 69, 25, 334), - Trans(42, 70, 25, 334), - Trans(42, 74, 25, 334), - Trans(42, 77, 25, 334), - Trans(42, 78, 25, 334), - Trans(42, 81, 25, 334), - Trans(42, 92, 25, 334), - Trans(42, 96, 25, 334), - Trans(42, 98, 25, 334), - Trans(42, 102, 25, 334), - Trans(42, 105, 25, 334), - Trans(42, 106, 25, 334), - Trans(43, 5, 25, 334), - Trans(43, 6, 25, 334), - Trans(43, 7, 25, 334), - Trans(43, 8, 25, 334), - Trans(43, 9, 25, 334), - Trans(43, 10, 25, 334), - Trans(43, 11, 25, 334), - Trans(43, 18, 25, 334), - Trans(43, 24, 25, 334), - Trans(43, 25, 25, 334), - Trans(43, 26, 25, 334), - Trans(43, 27, 25, 334), - Trans(43, 37, 25, 334), - Trans(43, 38, 25, 334), - Trans(43, 40, 25, 334), - Trans(43, 54, 25, 334), - Trans(43, 63, 25, 334), - Trans(43, 67, 25, 334), - Trans(43, 68, 25, 334), - Trans(43, 73, 25, 334), - Trans(43, 77, 25, 334), - Trans(43, 80, 25, 334), - Trans(43, 83, 25, 334), - Trans(43, 86, 25, 334), - Trans(43, 93, 25, 334), - Trans(43, 94, 25, 334), - Trans(43, 107, 25, 334), - Trans(43, 108, 25, 334), - Trans(44, 5, 25, 334), - Trans(44, 6, 25, 334), - Trans(44, 7, 25, 334), - Trans(44, 8, 25, 334), - Trans(44, 9, 25, 334), - Trans(44, 10, 25, 334), - Trans(44, 11, 25, 334), - Trans(44, 18, 25, 334), - Trans(44, 24, 25, 334), - Trans(44, 25, 25, 334), - Trans(44, 26, 25, 334), - Trans(44, 27, 25, 334), - Trans(44, 35, 25, 334), - Trans(44, 37, 25, 334), - Trans(44, 38, 25, 334), - Trans(44, 40, 25, 334), - Trans(44, 42, 25, 334), - Trans(44, 44, 25, 334), - Trans(44, 54, 25, 334), - Trans(44, 55, 25, 334), - Trans(44, 68, 25, 334), - Trans(44, 73, 25, 334), - Trans(44, 78, 25, 334), - Trans(44, 80, 25, 334), - Trans(44, 83, 25, 334), - Trans(44, 86, 25, 334), - Trans(44, 88, 25, 334), - Trans(44, 107, 25, 334), - Trans(44, 108, 25, 334), - Trans(45, 5, 25, 334), - Trans(45, 6, 25, 334), - Trans(45, 7, 25, 334), - Trans(45, 8, 25, 334), - Trans(45, 9, 25, 334), - Trans(45, 10, 25, 334), - Trans(45, 11, 25, 334), - Trans(45, 18, 25, 334), - Trans(45, 24, 25, 334), - Trans(45, 25, 25, 334), - Trans(45, 26, 25, 334), - Trans(45, 27, 25, 334), - Trans(45, 29, 25, 334), - Trans(45, 35, 25, 334), - Trans(45, 37, 25, 334), - Trans(45, 38, 25, 334), - Trans(45, 40, 25, 334), - Trans(45, 42, 25, 334), - Trans(45, 47, 25, 334), - Trans(45, 48, 25, 334), - Trans(45, 49, 25, 334), - Trans(45, 54, 25, 334), - Trans(45, 55, 25, 334), - Trans(45, 58, 25, 334), - Trans(45, 59, 25, 334), - Trans(45, 62, 25, 334), - Trans(45, 63, 25, 334), - Trans(45, 64, 25, 334), - Trans(45, 67, 25, 334), - Trans(45, 68, 25, 334), - Trans(45, 69, 25, 334), - Trans(45, 70, 25, 334), - Trans(45, 73, 25, 334), - Trans(45, 74, 25, 334), - Trans(45, 77, 25, 334), - Trans(45, 78, 25, 334), - Trans(45, 80, 25, 334), - Trans(45, 81, 25, 334), - Trans(45, 83, 25, 334), - Trans(45, 86, 25, 334), - Trans(45, 93, 25, 334), - Trans(45, 94, 25, 334), - Trans(45, 98, 25, 334), - Trans(45, 102, 25, 334), - Trans(45, 105, 25, 334), - Trans(45, 106, 25, 334), - Trans(45, 107, 25, 334), - Trans(45, 108, 25, 334), - Trans(46, 5, 25, 334), - Trans(46, 30, 25, 334), - Trans(46, 34, 25, 334), - Trans(46, 38, 25, 334), - Trans(46, 39, 25, 334), - Trans(46, 42, 25, 334), - Trans(46, 44, 25, 334), - Trans(46, 45, 25, 334), - Trans(46, 76, 25, 334), - Trans(47, 0, 25, 334), - Trans(47, 5, 25, 334), - Trans(47, 12, 25, 334), - Trans(47, 14, 25, 334), - Trans(47, 16, 25, 334), - Trans(47, 17, 25, 334), - Trans(47, 18, 25, 334), - Trans(47, 19, 25, 334), - Trans(47, 20, 25, 334), - Trans(47, 21, 25, 334), - Trans(47, 22, 25, 334), - Trans(47, 23, 25, 334), - Trans(47, 24, 25, 334), - Trans(47, 25, 25, 334), - Trans(47, 26, 25, 334), - Trans(47, 29, 25, 334), - Trans(47, 30, 25, 334), - Trans(47, 31, 25, 334), - Trans(47, 32, 25, 334), - Trans(47, 35, 25, 334), - Trans(47, 38, 25, 334), - Trans(47, 41, 25, 334), - Trans(47, 42, 25, 334), - Trans(47, 43, 25, 334), - Trans(47, 44, 25, 334), - Trans(47, 45, 25, 334), - Trans(47, 46, 25, 334), - Trans(47, 47, 25, 334), - Trans(47, 48, 25, 334), - Trans(47, 49, 25, 334), - Trans(47, 52, 25, 334), - Trans(47, 56, 25, 334), - Trans(47, 57, 25, 334), - Trans(47, 58, 25, 334), - Trans(47, 59, 25, 334), - Trans(47, 62, 25, 334), - Trans(47, 63, 25, 334), - Trans(47, 64, 25, 334), - Trans(47, 68, 25, 334), - Trans(47, 69, 25, 334), - Trans(47, 70, 25, 334), - Trans(47, 74, 25, 334), - Trans(47, 75, 25, 334), - Trans(47, 77, 25, 334), - Trans(47, 78, 25, 334), - Trans(47, 81, 25, 334), - Trans(47, 82, 25, 334), - Trans(47, 87, 25, 334), - Trans(47, 90, 25, 334), - Trans(47, 92, 25, 334), - Trans(47, 96, 25, 334), - Trans(47, 98, 25, 334), - Trans(47, 102, 25, 334), - Trans(47, 105, 25, 334), - Trans(47, 106, 25, 334), - Trans(48, 5, 25, 334), - Trans(48, 12, 25, 334), - Trans(48, 14, 25, 334), - Trans(48, 15, 25, 334), - Trans(48, 16, 25, 334), - Trans(48, 17, 25, 334), - Trans(48, 18, 25, 334), - Trans(48, 19, 25, 334), - Trans(48, 20, 25, 334), - Trans(48, 21, 25, 334), - Trans(48, 22, 25, 334), - Trans(48, 23, 25, 334), - Trans(48, 24, 25, 334), - Trans(48, 25, 25, 334), - Trans(48, 26, 25, 334), - Trans(48, 29, 25, 334), - Trans(48, 30, 25, 334), - Trans(48, 31, 25, 334), - Trans(48, 32, 25, 334), - Trans(48, 33, 25, 334), - Trans(48, 34, 25, 334), - Trans(48, 35, 25, 334), - Trans(48, 38, 25, 334), - Trans(48, 39, 25, 334), - Trans(48, 40, 25, 334), - Trans(48, 41, 25, 334), - Trans(48, 42, 25, 334), - Trans(48, 43, 25, 334), - Trans(48, 44, 25, 334), - Trans(48, 45, 25, 334), - Trans(48, 46, 25, 334), - Trans(48, 52, 25, 334), - Trans(48, 92, 25, 334), - Trans(48, 96, 25, 334), - Trans(49, 5, 25, 334), - Trans(49, 12, 25, 334), - Trans(49, 13, 25, 334), - Trans(49, 14, 25, 334), - Trans(49, 16, 25, 334), - Trans(49, 17, 25, 334), - Trans(49, 18, 25, 334), - Trans(49, 19, 25, 334), - Trans(49, 20, 25, 334), - Trans(49, 21, 25, 334), - Trans(49, 22, 25, 334), - Trans(49, 23, 25, 334), - Trans(49, 24, 25, 334), - Trans(49, 25, 25, 334), - Trans(49, 26, 25, 334), - Trans(49, 29, 25, 334), - Trans(49, 30, 25, 334), - Trans(49, 31, 25, 334), - Trans(49, 32, 25, 334), - Trans(49, 38, 25, 334), - Trans(49, 40, 25, 334), - Trans(49, 41, 25, 334), - Trans(49, 42, 25, 334), - Trans(49, 43, 25, 334), - Trans(49, 44, 25, 334), - Trans(49, 45, 25, 334), - Trans(49, 46, 25, 334), - Trans(49, 52, 25, 334), - Trans(49, 92, 25, 334), - Trans(49, 96, 25, 334), - Trans(50, 5, 25, 334), - Trans(50, 38, 25, 334), - Trans(50, 68, 25, 334), - Trans(51, 5, 25, 334), - Trans(51, 6, 25, 334), - Trans(51, 7, 25, 334), - Trans(51, 8, 25, 334), - Trans(51, 9, 25, 334), - Trans(51, 10, 25, 334), - Trans(51, 11, 25, 334), - Trans(51, 15, 25, 334), - Trans(51, 18, 25, 334), - Trans(51, 24, 25, 334), - Trans(51, 25, 25, 334), - Trans(51, 26, 25, 334), - Trans(51, 27, 25, 334), - Trans(51, 37, 25, 334), - Trans(51, 38, 25, 334), - Trans(51, 40, 25, 334), - Trans(51, 54, 25, 334), - Trans(51, 68, 25, 334), - Trans(51, 73, 25, 334), - Trans(51, 80, 25, 334), - Trans(51, 83, 25, 334), - Trans(51, 86, 25, 334), - Trans(51, 107, 25, 334), - Trans(51, 108, 25, 334), - Trans(52, 6, 25, 334), - Trans(52, 7, 25, 334), - Trans(52, 8, 25, 334), - Trans(52, 9, 25, 334), - Trans(52, 10, 25, 334), - Trans(52, 11, 25, 334), - Trans(52, 18, 25, 334), - Trans(52, 24, 25, 334), - Trans(52, 25, 25, 334), - Trans(52, 26, 25, 334), - Trans(52, 27, 25, 334), - Trans(52, 37, 25, 334), - Trans(52, 38, 25, 334), - Trans(52, 40, 25, 334), - Trans(52, 54, 25, 334), - Trans(52, 68, 25, 334), - Trans(52, 73, 25, 334), - Trans(52, 80, 25, 334), - Trans(52, 83, 25, 334), - Trans(52, 86, 25, 334), - Trans(52, 107, 25, 334), - Trans(52, 108, 25, 334), - Trans(53, 5, 25, 334), - Trans(53, 16, 25, 334), - Trans(53, 17, 25, 334), - Trans(53, 18, 25, 334), - Trans(53, 19, 25, 334), - Trans(53, 20, 25, 334), - Trans(53, 21, 25, 334), - Trans(53, 22, 25, 334), - Trans(53, 23, 25, 334), - Trans(53, 24, 25, 334), - Trans(53, 25, 25, 334), - Trans(53, 26, 25, 334), - Trans(53, 43, 25, 334), - Trans(53, 46, 25, 334), - Trans(53, 52, 25, 334), - Trans(54, 5, 25, 334), - Trans(54, 16, 25, 334), - Trans(54, 17, 25, 334), - Trans(54, 18, 25, 334), - Trans(54, 19, 25, 334), - Trans(54, 20, 25, 334), - Trans(54, 21, 25, 334), - Trans(54, 22, 25, 334), - Trans(54, 23, 25, 334), - Trans(54, 24, 25, 334), - Trans(54, 25, 25, 334), - Trans(54, 26, 25, 334), - Trans(54, 28, 25, 334), - Trans(54, 33, 25, 334), - Trans(54, 39, 25, 334), - Trans(54, 40, 25, 334), - Trans(54, 43, 25, 334), - Trans(54, 46, 25, 334), - Trans(54, 52, 25, 334), - Trans(55, 5, 25, 334), - Trans(55, 12, 25, 334), - Trans(55, 14, 25, 334), - Trans(55, 16, 25, 334), - Trans(55, 17, 25, 334), - Trans(55, 18, 25, 334), - Trans(55, 19, 25, 334), - Trans(55, 20, 25, 334), - Trans(55, 21, 25, 334), - Trans(55, 22, 25, 334), - Trans(55, 23, 25, 334), - Trans(55, 24, 25, 334), - Trans(55, 25, 25, 334), - Trans(55, 26, 25, 334), - Trans(55, 29, 25, 334), - Trans(55, 30, 25, 334), - Trans(55, 31, 25, 334), - Trans(55, 32, 25, 334), - Trans(55, 38, 25, 334), - Trans(55, 41, 25, 334), - Trans(55, 42, 25, 334), - Trans(55, 43, 25, 334), - Trans(55, 44, 25, 334), - Trans(55, 45, 25, 334), - Trans(55, 46, 25, 334), - Trans(55, 52, 25, 334), - Trans(55, 92, 25, 334), - Trans(55, 96, 25, 334), - Trans(56, 5, 25, 334), - Trans(56, 12, 25, 334), - Trans(56, 14, 25, 334), - Trans(56, 16, 25, 334), - Trans(56, 17, 25, 334), - Trans(56, 18, 25, 334), - Trans(56, 19, 25, 334), - Trans(56, 20, 25, 334), - Trans(56, 21, 25, 334), - Trans(56, 22, 25, 334), - Trans(56, 23, 25, 334), - Trans(56, 24, 25, 334), - Trans(56, 25, 25, 334), - Trans(56, 26, 25, 334), - Trans(56, 28, 25, 334), - Trans(56, 29, 25, 334), - Trans(56, 30, 25, 334), - Trans(56, 31, 25, 334), - Trans(56, 32, 25, 334), - Trans(56, 33, 25, 334), - Trans(56, 38, 25, 334), - Trans(56, 39, 25, 334), - Trans(56, 40, 25, 334), - Trans(56, 41, 25, 334), - Trans(56, 42, 25, 334), - Trans(56, 43, 25, 334), - Trans(56, 44, 25, 334), - Trans(56, 45, 25, 334), - Trans(56, 46, 25, 334), - Trans(56, 52, 25, 334), - Trans(56, 92, 25, 334), - Trans(56, 96, 25, 334), - Trans(57, 6, 25, 334), - Trans(57, 7, 25, 334), - Trans(57, 8, 25, 334), - Trans(57, 9, 25, 334), - Trans(57, 10, 25, 334), - Trans(57, 11, 25, 334), - Trans(57, 18, 25, 334), - Trans(57, 24, 25, 334), - Trans(57, 25, 25, 334), - Trans(57, 26, 25, 334), - Trans(57, 27, 25, 334), - Trans(57, 37, 25, 334), - Trans(57, 38, 25, 334), - Trans(57, 40, 25, 334), - Trans(57, 54, 25, 334), - Trans(57, 63, 25, 334), - Trans(57, 67, 25, 334), - Trans(57, 68, 25, 334), - Trans(57, 73, 25, 334), - Trans(57, 77, 25, 334), - Trans(57, 80, 25, 334), - Trans(57, 83, 25, 334), - Trans(57, 86, 25, 334), - Trans(57, 93, 25, 334), - Trans(57, 94, 25, 334), - Trans(57, 107, 25, 334), - Trans(57, 108, 25, 334), - Trans(58, 5, 25, 334), - Trans(58, 16, 25, 334), - Trans(58, 17, 25, 334), - Trans(58, 18, 25, 334), - Trans(58, 19, 25, 334), - Trans(58, 20, 25, 334), - Trans(58, 21, 25, 334), - Trans(58, 22, 25, 334), - Trans(58, 23, 25, 334), - Trans(58, 24, 25, 334), - Trans(58, 25, 25, 334), - Trans(58, 26, 25, 334), - Trans(58, 30, 25, 334), - Trans(58, 43, 25, 334), - Trans(58, 46, 25, 334), - Trans(58, 52, 25, 334), - Trans(59, 5, 25, 334), - Trans(59, 6, 25, 334), - Trans(59, 7, 25, 334), - Trans(59, 8, 25, 334), - Trans(59, 9, 25, 334), - Trans(59, 10, 25, 334), - Trans(59, 11, 25, 334), - Trans(59, 18, 25, 334), - Trans(59, 24, 25, 334), - Trans(59, 25, 25, 334), - Trans(59, 26, 25, 334), - Trans(59, 27, 25, 334), - Trans(59, 37, 25, 334), - Trans(59, 38, 25, 334), - Trans(59, 40, 25, 334), - Trans(59, 42, 25, 334), - Trans(59, 54, 25, 334), - Trans(59, 63, 25, 334), - Trans(59, 67, 25, 334), - Trans(59, 68, 25, 334), - Trans(59, 73, 25, 334), - Trans(59, 77, 25, 334), - Trans(59, 80, 25, 334), - Trans(59, 83, 25, 334), - Trans(59, 86, 25, 334), - Trans(59, 93, 25, 334), - Trans(59, 94, 25, 334), - Trans(59, 107, 25, 334), - Trans(59, 108, 25, 334), - Trans(60, 5, 25, 334), - Trans(60, 15, 25, 334), - Trans(60, 16, 25, 334), - Trans(60, 17, 25, 334), - Trans(60, 18, 25, 334), - Trans(60, 19, 25, 334), - Trans(60, 20, 25, 334), - Trans(60, 21, 25, 334), - Trans(60, 22, 25, 334), - Trans(60, 23, 25, 334), - Trans(60, 24, 25, 334), - Trans(60, 25, 25, 334), - Trans(60, 26, 25, 334), - Trans(60, 28, 25, 334), - Trans(60, 30, 25, 334), - Trans(60, 33, 25, 334), - Trans(60, 34, 25, 334), - Trans(60, 39, 25, 334), - Trans(60, 40, 25, 334), - Trans(60, 43, 25, 334), - Trans(60, 46, 25, 334), - Trans(60, 52, 25, 334), - Trans(61, 5, 25, 334), - Trans(61, 15, 25, 334), - Trans(61, 16, 25, 334), - Trans(61, 17, 25, 334), - Trans(61, 18, 25, 334), - Trans(61, 19, 25, 334), - Trans(61, 20, 25, 334), - Trans(61, 21, 25, 334), - Trans(61, 22, 25, 334), - Trans(61, 23, 25, 334), - Trans(61, 24, 25, 334), - Trans(61, 25, 25, 334), - Trans(61, 26, 25, 334), - Trans(61, 28, 25, 334), - Trans(61, 30, 25, 334), - Trans(61, 33, 25, 334), - Trans(61, 34, 25, 334), - Trans(61, 38, 25, 334), - Trans(61, 39, 25, 334), - Trans(61, 40, 25, 334), - Trans(61, 43, 25, 334), - Trans(61, 46, 25, 334), - Trans(61, 52, 25, 334), - Trans(62, 6, 25, 334), - Trans(62, 7, 25, 334), - Trans(62, 8, 25, 334), - Trans(62, 9, 25, 334), - Trans(62, 10, 25, 334), - Trans(62, 11, 25, 334), - Trans(62, 18, 25, 334), - Trans(62, 24, 25, 334), - Trans(62, 25, 25, 334), - Trans(62, 26, 25, 334), - Trans(62, 27, 25, 334), - Trans(62, 35, 25, 334), - Trans(62, 37, 25, 334), - Trans(62, 38, 25, 334), - Trans(62, 40, 25, 334), - Trans(62, 42, 25, 334), - Trans(62, 44, 25, 334), - Trans(62, 54, 25, 334), - Trans(62, 55, 25, 334), - Trans(62, 68, 25, 334), - Trans(62, 73, 25, 334), - Trans(62, 78, 25, 334), - Trans(62, 80, 25, 334), - Trans(62, 83, 25, 334), - Trans(62, 86, 25, 334), - Trans(62, 88, 25, 334), - Trans(62, 107, 25, 334), - Trans(62, 108, 25, 334), - Trans(63, 5, 25, 334), - Trans(63, 16, 25, 334), - Trans(63, 17, 25, 334), - Trans(63, 18, 25, 334), - Trans(63, 19, 25, 334), - Trans(63, 20, 25, 334), - Trans(63, 21, 25, 334), - Trans(63, 22, 25, 334), - Trans(63, 23, 25, 334), - Trans(63, 24, 25, 334), - Trans(63, 25, 25, 334), - Trans(63, 26, 25, 334), - Trans(63, 29, 25, 334), - Trans(63, 30, 25, 334), - Trans(63, 31, 25, 334), - Trans(63, 32, 25, 334), - Trans(63, 41, 25, 334), - Trans(63, 42, 25, 334), - Trans(63, 43, 25, 334), - Trans(63, 44, 25, 334), - Trans(63, 46, 25, 334), - Trans(63, 52, 25, 334), - Trans(63, 92, 25, 334), - Trans(64, 5, 25, 334), - Trans(64, 6, 25, 334), - Trans(64, 7, 25, 334), - Trans(64, 8, 25, 334), - Trans(64, 9, 25, 334), - Trans(64, 10, 25, 334), - Trans(64, 11, 25, 334), - Trans(64, 18, 25, 334), - Trans(64, 24, 25, 334), - Trans(64, 25, 25, 334), - Trans(64, 26, 25, 334), - Trans(64, 27, 25, 334), - Trans(64, 35, 25, 334), - Trans(64, 37, 25, 334), - Trans(64, 38, 25, 334), - Trans(64, 40, 25, 334), - Trans(64, 54, 25, 334), - Trans(64, 68, 25, 334), - Trans(64, 73, 25, 334), - Trans(64, 78, 25, 334), - Trans(64, 80, 25, 334), - Trans(64, 83, 25, 334), - Trans(64, 86, 25, 334), - Trans(64, 88, 25, 334), - Trans(64, 107, 25, 334), - Trans(64, 108, 25, 334), - Trans(65, 5, 25, 334), - Trans(65, 12, 25, 334), - Trans(65, 14, 25, 334), - Trans(65, 16, 25, 334), - Trans(65, 17, 25, 334), - Trans(65, 18, 25, 334), - Trans(65, 19, 25, 334), - Trans(65, 20, 25, 334), - Trans(65, 21, 25, 334), - Trans(65, 22, 25, 334), - Trans(65, 23, 25, 334), - Trans(65, 24, 25, 334), - Trans(65, 25, 25, 334), - Trans(65, 26, 25, 334), - Trans(65, 29, 25, 334), - Trans(65, 30, 25, 334), - Trans(65, 31, 25, 334), - Trans(65, 32, 25, 334), - Trans(65, 35, 25, 334), - Trans(65, 38, 25, 334), - Trans(65, 41, 25, 334), - Trans(65, 42, 25, 334), - Trans(65, 43, 25, 334), - Trans(65, 44, 25, 334), - Trans(65, 45, 25, 334), - Trans(65, 46, 25, 334), - Trans(65, 47, 25, 334), - Trans(65, 48, 25, 334), - Trans(65, 49, 25, 334), - Trans(65, 52, 25, 334), - Trans(65, 58, 25, 334), - Trans(65, 59, 25, 334), - Trans(65, 62, 25, 334), - Trans(65, 63, 25, 334), - Trans(65, 64, 25, 334), - Trans(65, 68, 25, 334), - Trans(65, 69, 25, 334), - Trans(65, 70, 25, 334), - Trans(65, 74, 25, 334), - Trans(65, 77, 25, 334), - Trans(65, 78, 25, 334), - Trans(65, 81, 25, 334), - Trans(65, 92, 25, 334), - Trans(65, 96, 25, 334), - Trans(65, 98, 25, 334), - Trans(65, 102, 25, 334), - Trans(65, 105, 25, 334), - Trans(65, 106, 25, 334), - Trans(66, 5, 25, 334), - Trans(66, 16, 25, 334), - Trans(66, 17, 25, 334), - Trans(66, 18, 25, 334), - Trans(66, 19, 25, 334), - Trans(66, 20, 25, 334), - Trans(66, 21, 25, 334), - Trans(66, 22, 25, 334), - Trans(66, 23, 25, 334), - Trans(66, 24, 25, 334), - Trans(66, 25, 25, 334), - Trans(66, 26, 25, 334), - Trans(66, 28, 25, 334), - Trans(66, 29, 25, 334), - Trans(66, 30, 25, 334), - Trans(66, 31, 25, 334), - Trans(66, 32, 25, 334), - Trans(66, 33, 25, 334), - Trans(66, 39, 25, 334), - Trans(66, 40, 25, 334), - Trans(66, 41, 25, 334), - Trans(66, 42, 25, 334), - Trans(66, 43, 25, 334), - Trans(66, 44, 25, 334), - Trans(66, 46, 25, 334), - Trans(66, 52, 25, 334), - Trans(66, 92, 25, 334), - Trans(67, 5, 25, 334), - Trans(67, 16, 25, 334), - Trans(67, 17, 25, 334), - Trans(67, 18, 25, 334), - Trans(67, 19, 25, 334), - Trans(67, 20, 25, 334), - Trans(67, 21, 25, 334), - Trans(67, 22, 25, 334), - Trans(67, 23, 25, 334), - Trans(67, 24, 25, 334), - Trans(67, 25, 25, 334), - Trans(67, 26, 25, 334), - Trans(67, 28, 25, 334), - Trans(67, 29, 25, 334), - Trans(67, 30, 25, 334), - Trans(67, 31, 25, 334), - Trans(67, 32, 25, 334), - Trans(67, 33, 25, 334), - Trans(67, 34, 25, 334), - Trans(67, 39, 25, 334), - Trans(67, 40, 25, 334), - Trans(67, 41, 25, 334), - Trans(67, 42, 25, 334), - Trans(67, 43, 25, 334), - Trans(67, 44, 25, 334), - Trans(67, 46, 25, 334), - Trans(67, 52, 25, 334), - Trans(67, 92, 25, 334), - Trans(68, 5, 25, 334), - Trans(68, 16, 25, 334), - Trans(68, 17, 25, 334), - Trans(68, 18, 25, 334), - Trans(68, 19, 25, 334), - Trans(68, 20, 25, 334), - Trans(68, 21, 25, 334), - Trans(68, 22, 25, 334), - Trans(68, 23, 25, 334), - Trans(68, 24, 25, 334), - Trans(68, 25, 25, 334), - Trans(68, 26, 25, 334), - Trans(68, 29, 25, 334), - Trans(68, 30, 25, 334), - Trans(68, 38, 25, 334), - Trans(68, 42, 25, 334), - Trans(68, 46, 25, 334), - Trans(68, 52, 25, 334), - Trans(68, 96, 25, 334), - Trans(69, 5, 25, 334), - Trans(69, 16, 25, 334), - Trans(69, 17, 25, 334), - Trans(69, 18, 25, 334), - Trans(69, 19, 25, 334), - Trans(69, 20, 25, 334), - Trans(69, 21, 25, 334), - Trans(69, 22, 25, 334), - Trans(69, 23, 25, 334), - Trans(69, 24, 25, 334), - Trans(69, 25, 25, 334), - Trans(69, 26, 25, 334), - Trans(69, 28, 25, 334), - Trans(69, 29, 25, 334), - Trans(69, 30, 25, 334), - Trans(69, 33, 25, 334), - Trans(69, 38, 25, 334), - Trans(69, 39, 25, 334), - Trans(69, 40, 25, 334), - Trans(69, 42, 25, 334), - Trans(69, 46, 25, 334), - Trans(69, 52, 25, 334), - Trans(69, 96, 25, 334), - Trans(70, 5, 25, 334), - Trans(70, 16, 25, 334), - Trans(70, 17, 25, 334), - Trans(70, 18, 25, 334), - Trans(70, 19, 25, 334), - Trans(70, 20, 25, 334), - Trans(70, 21, 25, 334), - Trans(70, 22, 25, 334), - Trans(70, 23, 25, 334), - Trans(70, 24, 25, 334), - Trans(70, 25, 25, 334), - Trans(70, 26, 25, 334), - Trans(70, 30, 25, 334), - Trans(70, 42, 25, 334), - Trans(70, 44, 25, 334), - Trans(70, 45, 25, 334), - Trans(70, 46, 25, 334), - Trans(70, 52, 25, 334), - Trans(71, 5, 25, 334), - Trans(71, 16, 25, 334), - Trans(71, 17, 25, 334), - Trans(71, 18, 25, 334), - Trans(71, 19, 25, 334), - Trans(71, 20, 25, 334), - Trans(71, 21, 25, 334), - Trans(71, 22, 25, 334), - Trans(71, 23, 25, 334), - Trans(71, 24, 25, 334), - Trans(71, 25, 25, 334), - Trans(71, 26, 25, 334), - Trans(71, 28, 25, 334), - Trans(71, 30, 25, 334), - Trans(71, 33, 25, 334), - Trans(71, 39, 25, 334), - Trans(71, 40, 25, 334), - Trans(71, 42, 25, 334), - Trans(71, 44, 25, 334), - Trans(71, 45, 25, 334), - Trans(71, 46, 25, 334), - Trans(71, 52, 25, 334), - Trans(72, 5, 25, 334), - Trans(72, 16, 25, 334), - Trans(72, 17, 25, 334), - Trans(72, 18, 25, 334), - Trans(72, 19, 25, 334), - Trans(72, 20, 25, 334), - Trans(72, 21, 25, 334), - Trans(72, 22, 25, 334), - Trans(72, 23, 25, 334), - Trans(72, 24, 25, 334), - Trans(72, 25, 25, 334), - Trans(72, 26, 25, 334), - Trans(72, 30, 25, 334), - Trans(72, 41, 25, 334), - Trans(72, 46, 25, 334), - Trans(72, 52, 25, 334), - Trans(73, 5, 25, 334), - Trans(73, 16, 25, 334), - Trans(73, 17, 25, 334), - Trans(73, 18, 25, 334), - Trans(73, 19, 25, 334), - Trans(73, 20, 25, 334), - Trans(73, 21, 25, 334), - Trans(73, 22, 25, 334), - Trans(73, 23, 25, 334), - Trans(73, 24, 25, 334), - Trans(73, 25, 25, 334), - Trans(73, 26, 25, 334), - Trans(73, 28, 25, 334), - Trans(73, 30, 25, 334), - Trans(73, 33, 25, 334), - Trans(73, 39, 25, 334), - Trans(73, 40, 25, 334), - Trans(73, 41, 25, 334), - Trans(73, 46, 25, 334), - Trans(73, 52, 25, 334), - Trans(74, 6, 25, 334), - Trans(74, 7, 25, 334), - Trans(74, 8, 25, 334), - Trans(74, 9, 25, 334), - Trans(74, 10, 25, 334), - Trans(74, 11, 25, 334), - Trans(74, 18, 25, 334), - Trans(74, 24, 25, 334), - Trans(74, 25, 25, 334), - Trans(74, 26, 25, 334), - Trans(74, 27, 25, 334), - Trans(74, 29, 25, 334), - Trans(74, 35, 25, 334), - Trans(74, 37, 25, 334), - Trans(74, 38, 25, 334), - Trans(74, 40, 25, 334), - Trans(74, 42, 25, 334), - Trans(74, 47, 25, 334), - Trans(74, 48, 25, 334), - Trans(74, 49, 25, 334), - Trans(74, 54, 25, 334), - Trans(74, 55, 25, 334), - Trans(74, 58, 25, 334), - Trans(74, 62, 25, 334), - Trans(74, 63, 25, 334), - Trans(74, 64, 25, 334), - Trans(74, 67, 25, 334), - Trans(74, 68, 25, 334), - Trans(74, 69, 25, 334), - Trans(74, 70, 25, 334), - Trans(74, 73, 25, 334), - Trans(74, 74, 25, 334), - Trans(74, 77, 25, 334), - Trans(74, 78, 25, 334), - Trans(74, 80, 25, 334), - Trans(74, 81, 25, 334), - Trans(74, 83, 25, 334), - Trans(74, 86, 25, 334), - Trans(74, 93, 25, 334), - Trans(74, 94, 25, 334), - Trans(74, 98, 25, 334), - Trans(74, 102, 25, 334), - Trans(74, 105, 25, 334), - Trans(74, 106, 25, 334), - Trans(74, 107, 25, 334), - Trans(74, 108, 25, 334), - Trans(75, 5, 25, 334), - Trans(75, 16, 25, 334), - Trans(75, 17, 25, 334), - Trans(75, 18, 25, 334), - Trans(75, 19, 25, 334), - Trans(75, 20, 25, 334), - Trans(75, 21, 25, 334), - Trans(75, 22, 25, 334), - Trans(75, 23, 25, 334), - Trans(75, 24, 25, 334), - Trans(75, 25, 25, 334), - Trans(75, 26, 25, 334), - Trans(75, 29, 25, 334), - Trans(75, 30, 25, 334), - Trans(75, 31, 25, 334), - Trans(75, 32, 25, 334), - Trans(75, 42, 25, 334), - Trans(75, 46, 25, 334), - Trans(75, 52, 25, 334), - Trans(76, 5, 25, 334), - Trans(76, 6, 25, 334), - Trans(76, 7, 25, 334), - Trans(76, 8, 25, 334), - Trans(76, 9, 25, 334), - Trans(76, 10, 25, 334), - Trans(76, 11, 25, 334), - Trans(76, 18, 25, 334), - Trans(76, 24, 25, 334), - Trans(76, 25, 25, 334), - Trans(76, 26, 25, 334), - Trans(76, 27, 25, 334), - Trans(76, 29, 25, 334), - Trans(76, 35, 25, 334), - Trans(76, 37, 25, 334), - Trans(76, 38, 25, 334), - Trans(76, 40, 25, 334), - Trans(76, 42, 25, 334), - Trans(76, 47, 25, 334), - Trans(76, 48, 25, 334), - Trans(76, 49, 25, 334), - Trans(76, 54, 25, 334), - Trans(76, 58, 25, 334), - Trans(76, 62, 25, 334), - Trans(76, 63, 25, 334), - Trans(76, 64, 25, 334), - Trans(76, 68, 25, 334), - Trans(76, 69, 25, 334), - Trans(76, 70, 25, 334), - Trans(76, 73, 25, 334), - Trans(76, 74, 25, 334), - Trans(76, 77, 25, 334), - Trans(76, 78, 25, 334), - Trans(76, 80, 25, 334), - Trans(76, 81, 25, 334), - Trans(76, 83, 25, 334), - Trans(76, 86, 25, 334), - Trans(76, 98, 25, 334), - Trans(76, 102, 25, 334), - Trans(76, 105, 25, 334), - Trans(76, 106, 25, 334), - Trans(76, 107, 25, 334), - Trans(76, 108, 25, 334), - Trans(77, 5, 25, 334), - Trans(77, 6, 25, 334), - Trans(77, 7, 25, 334), - Trans(77, 8, 25, 334), - Trans(77, 9, 25, 334), - Trans(77, 10, 25, 334), - Trans(77, 11, 25, 334), - Trans(77, 18, 25, 334), - Trans(77, 24, 25, 334), - Trans(77, 25, 25, 334), - Trans(77, 26, 25, 334), - Trans(77, 27, 25, 334), - Trans(77, 29, 25, 334), - Trans(77, 35, 25, 334), - Trans(77, 37, 25, 334), - Trans(77, 38, 25, 334), - Trans(77, 40, 25, 334), - Trans(77, 42, 25, 334), - Trans(77, 47, 25, 334), - Trans(77, 48, 25, 334), - Trans(77, 49, 25, 334), - Trans(77, 54, 25, 334), - Trans(77, 55, 25, 334), - Trans(77, 56, 25, 334), - Trans(77, 58, 25, 334), - Trans(77, 59, 25, 334), - Trans(77, 62, 25, 334), - Trans(77, 63, 25, 334), - Trans(77, 64, 25, 334), - Trans(77, 67, 25, 334), - Trans(77, 68, 25, 334), - Trans(77, 69, 25, 334), - Trans(77, 70, 25, 334), - Trans(77, 73, 25, 334), - Trans(77, 74, 25, 334), - Trans(77, 77, 25, 334), - Trans(77, 78, 25, 334), - Trans(77, 80, 25, 334), - Trans(77, 81, 25, 334), - Trans(77, 83, 25, 334), - Trans(77, 86, 25, 334), - Trans(77, 93, 25, 334), - Trans(77, 94, 25, 334), - Trans(77, 98, 25, 334), - Trans(77, 102, 25, 334), - Trans(77, 105, 25, 334), - Trans(77, 106, 25, 334), - Trans(77, 107, 25, 334), - Trans(77, 108, 25, 334), - Trans(78, 5, 25, 334), - Trans(78, 15, 25, 334), - Trans(78, 16, 25, 334), - Trans(78, 17, 25, 334), - Trans(78, 18, 25, 334), - Trans(78, 19, 25, 334), - Trans(78, 20, 25, 334), - Trans(78, 21, 25, 334), - Trans(78, 22, 25, 334), - Trans(78, 23, 25, 334), - Trans(78, 24, 25, 334), - Trans(78, 25, 25, 334), - Trans(78, 26, 25, 334), - Trans(78, 28, 25, 334), - Trans(78, 29, 25, 334), - Trans(78, 30, 25, 334), - Trans(78, 31, 25, 334), - Trans(78, 32, 25, 334), - Trans(78, 33, 25, 334), - Trans(78, 34, 25, 334), - Trans(78, 39, 25, 334), - Trans(78, 40, 25, 334), - Trans(78, 42, 25, 334), - Trans(78, 46, 25, 334), - Trans(78, 52, 25, 334), - Trans(79, 5, 25, 334), - Trans(79, 16, 25, 334), - Trans(79, 17, 25, 334), - Trans(79, 18, 25, 334), - Trans(79, 19, 25, 334), - Trans(79, 20, 25, 334), - Trans(79, 21, 25, 334), - Trans(79, 22, 25, 334), - Trans(79, 23, 25, 334), - Trans(79, 24, 25, 334), - Trans(79, 25, 25, 334), - Trans(79, 26, 25, 334), - Trans(79, 28, 25, 334), - Trans(79, 30, 25, 334), - Trans(79, 33, 25, 334), - Trans(79, 39, 25, 334), - Trans(79, 40, 25, 334), - Trans(79, 43, 25, 334), - Trans(79, 46, 25, 334), - Trans(79, 52, 25, 334), - Trans(80, 5, 25, 334), - Trans(80, 16, 25, 334), - Trans(80, 17, 25, 334), - Trans(80, 18, 25, 334), - Trans(80, 19, 25, 334), - Trans(80, 20, 25, 334), - Trans(80, 21, 25, 334), - Trans(80, 22, 25, 334), - Trans(80, 23, 25, 334), - Trans(80, 24, 25, 334), - Trans(80, 25, 25, 334), - Trans(80, 26, 25, 334), - Trans(80, 31, 25, 334), - Trans(80, 32, 25, 334), - Trans(80, 38, 25, 334), - Trans(80, 46, 25, 334), - Trans(80, 52, 25, 334), - Trans(80, 96, 25, 334), - Trans(81, 5, 25, 334), - Trans(81, 16, 25, 334), - Trans(81, 17, 25, 334), - Trans(81, 18, 25, 334), - Trans(81, 19, 25, 334), - Trans(81, 20, 25, 334), - Trans(81, 21, 25, 334), - Trans(81, 22, 25, 334), - Trans(81, 23, 25, 334), - Trans(81, 24, 25, 334), - Trans(81, 25, 25, 334), - Trans(81, 26, 25, 334), - Trans(81, 28, 25, 334), - Trans(81, 31, 25, 334), - Trans(81, 32, 25, 334), - Trans(81, 33, 25, 334), - Trans(81, 38, 25, 334), - Trans(81, 39, 25, 334), - Trans(81, 40, 25, 334), - Trans(81, 46, 25, 334), - Trans(81, 52, 25, 334), - Trans(81, 96, 25, 334), - Trans(82, 5, 25, 334), - Trans(82, 16, 25, 334), - Trans(82, 17, 25, 334), - Trans(82, 18, 25, 334), - Trans(82, 19, 25, 334), - Trans(82, 20, 25, 334), - Trans(82, 21, 25, 334), - Trans(82, 22, 25, 334), - Trans(82, 23, 25, 334), - Trans(82, 24, 25, 334), - Trans(82, 25, 25, 334), - Trans(82, 26, 25, 334), - Trans(82, 30, 25, 334), - Trans(82, 42, 25, 334), - Trans(82, 46, 25, 334), - Trans(82, 52, 25, 334), - Trans(83, 5, 25, 334), - Trans(83, 16, 25, 334), - Trans(83, 17, 25, 334), - Trans(83, 18, 25, 334), - Trans(83, 19, 25, 334), - Trans(83, 20, 25, 334), - Trans(83, 21, 25, 334), - Trans(83, 22, 25, 334), - Trans(83, 23, 25, 334), - Trans(83, 24, 25, 334), - Trans(83, 25, 25, 334), - Trans(83, 26, 25, 334), - Trans(83, 28, 25, 334), - Trans(83, 30, 25, 334), - Trans(83, 33, 25, 334), - Trans(83, 39, 25, 334), - Trans(83, 40, 25, 334), - Trans(83, 42, 25, 334), - Trans(83, 46, 25, 334), - Trans(83, 52, 25, 334), - Trans(84, 6, 25, 334), - Trans(84, 7, 25, 334), - Trans(84, 8, 25, 334), - Trans(84, 9, 25, 334), - Trans(84, 10, 25, 334), - Trans(84, 11, 25, 334), - Trans(84, 15, 25, 334), - Trans(84, 18, 25, 334), - Trans(84, 24, 25, 334), - Trans(84, 25, 25, 334), - Trans(84, 26, 25, 334), - Trans(84, 27, 25, 334), - Trans(84, 37, 25, 334), - Trans(84, 38, 25, 334), - Trans(84, 40, 25, 334), - Trans(84, 54, 25, 334), - Trans(84, 68, 25, 334), - Trans(84, 73, 25, 334), - Trans(84, 80, 25, 334), - Trans(84, 83, 25, 334), - Trans(84, 86, 25, 334), - Trans(84, 107, 25, 334), - Trans(84, 108, 25, 334), - Trans(85, 12, 25, 334), - Trans(85, 14, 25, 334), - Trans(85, 15, 25, 334), - Trans(85, 16, 25, 334), - Trans(85, 17, 25, 334), - Trans(85, 18, 25, 334), - Trans(85, 19, 25, 334), - Trans(85, 20, 25, 334), - Trans(85, 21, 25, 334), - Trans(85, 22, 25, 334), - Trans(85, 23, 25, 334), - Trans(85, 24, 25, 334), - Trans(85, 25, 25, 334), - Trans(85, 26, 25, 334), - Trans(85, 29, 25, 334), - Trans(85, 30, 25, 334), - Trans(85, 31, 25, 334), - Trans(85, 32, 25, 334), - Trans(85, 33, 25, 334), - Trans(85, 34, 25, 334), - Trans(85, 35, 25, 334), - Trans(85, 38, 25, 334), - Trans(85, 39, 25, 334), - Trans(85, 40, 25, 334), - Trans(85, 41, 25, 334), - Trans(85, 42, 25, 334), - Trans(85, 43, 25, 334), - Trans(85, 44, 25, 334), - Trans(85, 45, 25, 334), - Trans(85, 46, 25, 334), - Trans(85, 52, 25, 334), - Trans(85, 92, 25, 334), - Trans(85, 96, 25, 334), - Trans(86, 5, 25, 334), - Trans(86, 6, 25, 334), - Trans(86, 7, 25, 334), - Trans(86, 8, 25, 334), - Trans(86, 9, 25, 334), - Trans(86, 10, 25, 334), - Trans(86, 11, 25, 334), - Trans(86, 18, 25, 334), - Trans(86, 24, 25, 334), - Trans(86, 25, 25, 334), - Trans(86, 26, 25, 334), - Trans(86, 27, 25, 334), - Trans(86, 35, 25, 334), - Trans(86, 37, 25, 334), - Trans(86, 38, 25, 334), - Trans(86, 40, 25, 334), - Trans(86, 42, 25, 334), - Trans(86, 44, 25, 334), - Trans(86, 50, 25, 334), - Trans(86, 51, 25, 334), - Trans(86, 54, 25, 334), - Trans(86, 55, 25, 334), - Trans(86, 68, 25, 334), - Trans(86, 73, 25, 334), - Trans(86, 78, 25, 334), - Trans(86, 80, 25, 334), - Trans(86, 83, 25, 334), - Trans(86, 86, 25, 334), - Trans(86, 88, 25, 334), - Trans(86, 99, 25, 334), - Trans(86, 100, 25, 334), - Trans(86, 107, 25, 334), - Trans(86, 108, 25, 334), - Trans(87, 5, 25, 334), - Trans(87, 6, 25, 334), - Trans(87, 7, 25, 334), - Trans(87, 8, 25, 334), - Trans(87, 9, 25, 334), - Trans(87, 10, 25, 334), - Trans(87, 11, 25, 334), - Trans(87, 18, 25, 334), - Trans(87, 24, 25, 334), - Trans(87, 25, 25, 334), - Trans(87, 26, 25, 334), - Trans(87, 27, 25, 334), - Trans(87, 29, 25, 334), - Trans(87, 35, 25, 334), - Trans(87, 37, 25, 334), - Trans(87, 38, 25, 334), - Trans(87, 40, 25, 334), - Trans(87, 42, 25, 334), - Trans(87, 47, 25, 334), - Trans(87, 48, 25, 334), - Trans(87, 49, 25, 334), - Trans(87, 54, 25, 334), - Trans(87, 55, 25, 334), - Trans(87, 58, 25, 334), - Trans(87, 62, 25, 334), - Trans(87, 63, 25, 334), - Trans(87, 64, 25, 334), - Trans(87, 67, 25, 334), - Trans(87, 68, 25, 334), - Trans(87, 69, 25, 334), - Trans(87, 70, 25, 334), - Trans(87, 73, 25, 334), - Trans(87, 74, 25, 334), - Trans(87, 77, 25, 334), - Trans(87, 78, 25, 334), - Trans(87, 80, 25, 334), - Trans(87, 81, 25, 334), - Trans(87, 83, 25, 334), - Trans(87, 86, 25, 334), - Trans(87, 93, 25, 334), - Trans(87, 94, 25, 334), - Trans(87, 98, 25, 334), - Trans(87, 102, 25, 334), - Trans(87, 105, 25, 334), - Trans(87, 106, 25, 334), - Trans(87, 107, 25, 334), - Trans(87, 108, 25, 334), - Trans(88, 5, 25, 334), - Trans(88, 6, 25, 334), - Trans(88, 7, 25, 334), - Trans(88, 8, 25, 334), - Trans(88, 9, 25, 334), - Trans(88, 10, 25, 334), - Trans(88, 11, 25, 334), - Trans(88, 18, 25, 334), - Trans(88, 24, 25, 334), - Trans(88, 25, 25, 334), - Trans(88, 26, 25, 334), - Trans(88, 27, 25, 334), - Trans(88, 35, 25, 334), - Trans(88, 37, 25, 334), - Trans(88, 38, 25, 334), - Trans(88, 40, 25, 334), - Trans(88, 44, 25, 334), - Trans(88, 54, 25, 334), - Trans(88, 68, 25, 334), - Trans(88, 73, 25, 334), - Trans(88, 80, 25, 334), - Trans(88, 83, 25, 334), - Trans(88, 86, 25, 334), - Trans(88, 107, 25, 334), - Trans(88, 108, 25, 334), - Trans(89, 5, 25, 334), - Trans(89, 12, 25, 334), - Trans(89, 14, 25, 334), - Trans(89, 16, 25, 334), - Trans(89, 17, 25, 334), - Trans(89, 18, 25, 334), - Trans(89, 19, 25, 334), - Trans(89, 20, 25, 334), - Trans(89, 21, 25, 334), - Trans(89, 22, 25, 334), - Trans(89, 23, 25, 334), - Trans(89, 24, 25, 334), - Trans(89, 25, 25, 334), - Trans(89, 26, 25, 334), - Trans(89, 29, 25, 334), - Trans(89, 30, 25, 334), - Trans(89, 31, 25, 334), - Trans(89, 32, 25, 334), - Trans(89, 35, 25, 334), - Trans(89, 38, 25, 334), - Trans(89, 41, 25, 334), - Trans(89, 42, 25, 334), - Trans(89, 43, 25, 334), - Trans(89, 44, 25, 334), - Trans(89, 45, 25, 334), - Trans(89, 46, 25, 334), - Trans(89, 47, 25, 334), - Trans(89, 48, 25, 334), - Trans(89, 49, 25, 334), - Trans(89, 52, 25, 334), - Trans(89, 56, 25, 334), - Trans(89, 58, 25, 334), - Trans(89, 59, 25, 334), - Trans(89, 62, 25, 334), - Trans(89, 63, 25, 334), - Trans(89, 64, 25, 334), - Trans(89, 68, 25, 334), - Trans(89, 69, 25, 334), - Trans(89, 70, 25, 334), - Trans(89, 74, 25, 334), - Trans(89, 77, 25, 334), - Trans(89, 78, 25, 334), - Trans(89, 81, 25, 334), - Trans(89, 92, 25, 334), - Trans(89, 96, 25, 334), - Trans(89, 98, 25, 334), - Trans(89, 102, 25, 334), - Trans(89, 105, 25, 334), - Trans(89, 106, 25, 334), - Trans(90, 12, 25, 334), - Trans(90, 13, 25, 334), - Trans(90, 14, 25, 334), - Trans(90, 16, 25, 334), - Trans(90, 17, 25, 334), - Trans(90, 18, 25, 334), - Trans(90, 19, 25, 334), - Trans(90, 20, 25, 334), - Trans(90, 21, 25, 334), - Trans(90, 22, 25, 334), - Trans(90, 23, 25, 334), - Trans(90, 24, 25, 334), - Trans(90, 25, 25, 334), - Trans(90, 26, 25, 334), - Trans(90, 29, 25, 334), - Trans(90, 30, 25, 334), - Trans(90, 31, 25, 334), - Trans(90, 32, 25, 334), - Trans(90, 38, 25, 334), - Trans(90, 40, 25, 334), - Trans(90, 41, 25, 334), - Trans(90, 42, 25, 334), - Trans(90, 43, 25, 334), - Trans(90, 44, 25, 334), - Trans(90, 45, 25, 334), - Trans(90, 46, 25, 334), - Trans(90, 52, 25, 334), - Trans(90, 92, 25, 334), - Trans(90, 96, 25, 334), - Trans(91, 5, 25, 334), - Trans(91, 53, 25, 334), - Trans(91, 60, 25, 334), - Trans(91, 61, 25, 334), - Trans(91, 65, 25, 334), - Trans(91, 66, 25, 334), - Trans(91, 79, 25, 334), - Trans(91, 95, 25, 334), - Trans(91, 97, 25, 334), - Trans(91, 101, 25, 334), - Trans(91, 103, 25, 334), - Trans(91, 104, 25, 334), - Trans(91, 107, 25, 334), - Trans(91, 108, 25, 334), - Trans(92, 5, 25, 334), - Trans(92, 35, 25, 334), - Trans(92, 38, 25, 334), - Trans(92, 44, 25, 334), - Trans(92, 108, 25, 334), - Trans(93, 30, 25, 334), - Trans(93, 34, 25, 334), - Trans(93, 38, 25, 334), - Trans(93, 39, 25, 334), - Trans(93, 42, 25, 334), - Trans(93, 44, 25, 334), - Trans(93, 45, 25, 334), - Trans(93, 76, 25, 334), - Trans(94, 5, 25, 334), - Trans(94, 35, 25, 334), - Trans(94, 38, 25, 334), - Trans(94, 42, 25, 334), - Trans(94, 44, 25, 334), - Trans(94, 78, 25, 334), - Trans(94, 88, 25, 334), - Trans(94, 108, 25, 334), - Trans(95, 5, 25, 334), - Trans(95, 35, 25, 334), - Trans(95, 38, 25, 334), - Trans(95, 42, 25, 334), - Trans(95, 54, 25, 334), - Trans(95, 63, 25, 334), - Trans(95, 67, 25, 334), - Trans(95, 68, 25, 334), - Trans(95, 77, 25, 334), - Trans(95, 93, 25, 334), - Trans(95, 94, 25, 334), - Trans(95, 106, 25, 334), - Trans(95, 107, 25, 334), - Trans(95, 108, 25, 334), - Trans(96, 5, 25, 334), - Trans(96, 29, 25, 334), - Trans(96, 30, 25, 334), - Trans(96, 35, 25, 334), - Trans(96, 38, 25, 334), - Trans(96, 42, 25, 334), - Trans(96, 44, 25, 334), - Trans(96, 47, 25, 334), - Trans(96, 48, 25, 334), - Trans(96, 49, 25, 334), - Trans(96, 58, 25, 334), - Trans(96, 59, 25, 334), - Trans(96, 62, 25, 334), - Trans(96, 63, 25, 334), - Trans(96, 64, 25, 334), - Trans(96, 68, 25, 334), - Trans(96, 69, 25, 334), - Trans(96, 70, 25, 334), - Trans(96, 74, 25, 334), - Trans(96, 77, 25, 334), - Trans(96, 78, 25, 334), - Trans(96, 81, 25, 334), - Trans(96, 98, 25, 334), - Trans(96, 102, 25, 334), - Trans(96, 105, 25, 334), - Trans(96, 106, 25, 334), - Trans(97, 5, 25, 334), - Trans(97, 13, 25, 334), - Trans(97, 38, 25, 334), - Trans(97, 40, 25, 334), - Trans(98, 5, 25, 334), - Trans(98, 29, 25, 334), - Trans(98, 35, 25, 334), - Trans(98, 38, 25, 334), - Trans(98, 42, 25, 334), - Trans(98, 47, 25, 334), - Trans(98, 48, 25, 334), - Trans(98, 49, 25, 334), - Trans(98, 54, 25, 334), - Trans(98, 58, 25, 334), - Trans(98, 59, 25, 334), - Trans(98, 62, 25, 334), - Trans(98, 63, 25, 334), - Trans(98, 64, 25, 334), - Trans(98, 67, 25, 334), - Trans(98, 68, 25, 334), - Trans(98, 69, 25, 334), - Trans(98, 70, 25, 334), - Trans(98, 74, 25, 334), - Trans(98, 77, 25, 334), - Trans(98, 78, 25, 334), - Trans(98, 81, 25, 334), - Trans(98, 93, 25, 334), - Trans(98, 94, 25, 334), - Trans(98, 98, 25, 334), - Trans(98, 102, 25, 334), - Trans(98, 105, 25, 334), - Trans(98, 106, 25, 334), - Trans(98, 107, 25, 334), - Trans(98, 108, 25, 334), - Trans(99, 35, 25, 334), - Trans(99, 38, 25, 334), - Trans(99, 44, 25, 334), - Trans(99, 108, 25, 334), - Trans(100, 5, 25, 334), - Trans(100, 35, 25, 334), - Trans(100, 38, 25, 334), - Trans(100, 108, 25, 334), - Trans(101, 5, 25, 334), - Trans(101, 29, 25, 334), - Trans(101, 30, 25, 334), - Trans(101, 44, 25, 334), - Trans(102, 40, 25, 334), - Trans(103, 107, 25, 334), - Trans(103, 108, 25, 334), - Trans(104, 5, 25, 334), - Trans(104, 12, 25, 334), - Trans(104, 14, 25, 334), - Trans(104, 16, 25, 334), - Trans(104, 17, 25, 334), - Trans(104, 18, 25, 334), - Trans(104, 19, 25, 334), - Trans(104, 20, 25, 334), - Trans(104, 21, 25, 334), - Trans(104, 22, 25, 334), - Trans(104, 23, 25, 334), - Trans(104, 24, 25, 334), - Trans(104, 25, 25, 334), - Trans(104, 26, 25, 334), - Trans(104, 28, 25, 334), - Trans(104, 29, 25, 334), - Trans(104, 30, 25, 334), - Trans(104, 31, 25, 334), - Trans(104, 32, 25, 334), - Trans(104, 38, 25, 334), - Trans(104, 41, 25, 334), - Trans(104, 42, 25, 334), - Trans(104, 43, 25, 334), - Trans(104, 44, 25, 334), - Trans(104, 45, 25, 334), - Trans(104, 46, 25, 334), - Trans(104, 52, 25, 334), - Trans(104, 92, 25, 334), - Trans(104, 96, 25, 334), + Trans(24, 109, 54, -1), + Trans(26, 0, 25, 337), + Trans(26, 6, 25, 337), + Trans(26, 7, 25, 337), + Trans(26, 8, 25, 337), + Trans(26, 9, 25, 337), + Trans(26, 10, 25, 337), + Trans(26, 11, 25, 337), + Trans(26, 18, 25, 337), + Trans(26, 24, 25, 337), + Trans(26, 25, 25, 337), + Trans(26, 26, 25, 337), + Trans(26, 27, 25, 337), + Trans(26, 29, 25, 337), + Trans(26, 35, 25, 337), + Trans(26, 37, 25, 337), + Trans(26, 38, 25, 337), + Trans(26, 40, 25, 337), + Trans(26, 42, 25, 337), + Trans(26, 47, 25, 337), + Trans(26, 48, 25, 337), + Trans(26, 49, 25, 337), + Trans(26, 54, 25, 337), + Trans(26, 55, 25, 337), + Trans(26, 57, 25, 337), + Trans(26, 58, 25, 337), + Trans(26, 59, 25, 337), + Trans(26, 62, 25, 337), + Trans(26, 63, 25, 337), + Trans(26, 64, 25, 337), + Trans(26, 67, 25, 337), + Trans(26, 68, 25, 337), + Trans(26, 69, 25, 337), + Trans(26, 70, 25, 337), + Trans(26, 71, 25, 337), + Trans(26, 74, 25, 337), + Trans(26, 75, 25, 337), + Trans(26, 76, 25, 337), + Trans(26, 78, 25, 337), + Trans(26, 79, 25, 337), + Trans(26, 81, 25, 337), + Trans(26, 82, 25, 337), + Trans(26, 83, 25, 337), + Trans(26, 84, 25, 337), + Trans(26, 87, 25, 337), + Trans(26, 88, 25, 337), + Trans(26, 91, 25, 337), + Trans(26, 94, 25, 337), + Trans(26, 95, 25, 337), + Trans(26, 99, 25, 337), + Trans(26, 103, 25, 337), + Trans(26, 106, 25, 337), + Trans(26, 107, 25, 337), + Trans(26, 108, 25, 337), + Trans(26, 109, 25, 337), + Trans(27, 5, 25, 337), + Trans(27, 16, 25, 337), + Trans(27, 17, 25, 337), + Trans(27, 18, 25, 337), + Trans(27, 19, 25, 337), + Trans(27, 20, 25, 337), + Trans(27, 21, 25, 337), + Trans(27, 22, 25, 337), + Trans(27, 23, 25, 337), + Trans(27, 24, 25, 337), + Trans(27, 25, 25, 337), + Trans(27, 26, 25, 337), + Trans(27, 29, 25, 337), + Trans(27, 30, 25, 337), + Trans(27, 46, 25, 337), + Trans(27, 52, 25, 337), + Trans(28, 5, 25, 337), + Trans(28, 6, 25, 337), + Trans(28, 7, 25, 337), + Trans(28, 8, 25, 337), + Trans(28, 9, 25, 337), + Trans(28, 10, 25, 337), + Trans(28, 11, 25, 337), + Trans(28, 18, 25, 337), + Trans(28, 24, 25, 337), + Trans(28, 25, 25, 337), + Trans(28, 26, 25, 337), + Trans(28, 27, 25, 337), + Trans(28, 37, 25, 337), + Trans(28, 38, 25, 337), + Trans(28, 40, 25, 337), + Trans(28, 54, 25, 337), + Trans(28, 68, 25, 337), + Trans(28, 74, 25, 337), + Trans(28, 81, 25, 337), + Trans(28, 84, 25, 337), + Trans(28, 87, 25, 337), + Trans(28, 108, 25, 337), + Trans(28, 109, 25, 337), + Trans(29, 5, 25, 337), + Trans(29, 109, 25, 337), + Trans(30, 5, 25, 337), + Trans(30, 39, 25, 337), + Trans(31, 5, 25, 337), + Trans(31, 6, 25, 337), + Trans(31, 7, 25, 337), + Trans(31, 8, 25, 337), + Trans(31, 9, 25, 337), + Trans(31, 10, 25, 337), + Trans(31, 11, 25, 337), + Trans(31, 18, 25, 337), + Trans(31, 24, 25, 337), + Trans(31, 25, 25, 337), + Trans(31, 26, 25, 337), + Trans(31, 27, 25, 337), + Trans(31, 37, 25, 337), + Trans(31, 38, 25, 337), + Trans(31, 40, 25, 337), + Trans(31, 54, 25, 337), + Trans(31, 55, 25, 337), + Trans(31, 68, 25, 337), + Trans(31, 74, 25, 337), + Trans(31, 81, 25, 337), + Trans(31, 84, 25, 337), + Trans(31, 87, 25, 337), + Trans(31, 108, 25, 337), + Trans(31, 109, 25, 337), + Trans(32, 5, 25, 337), + Trans(32, 6, 25, 337), + Trans(32, 7, 25, 337), + Trans(32, 8, 25, 337), + Trans(32, 9, 25, 337), + Trans(32, 10, 25, 337), + Trans(32, 11, 25, 337), + Trans(32, 18, 25, 337), + Trans(32, 24, 25, 337), + Trans(32, 25, 25, 337), + Trans(32, 26, 25, 337), + Trans(32, 27, 25, 337), + Trans(32, 29, 25, 337), + Trans(32, 35, 25, 337), + Trans(32, 37, 25, 337), + Trans(32, 38, 25, 337), + Trans(32, 40, 25, 337), + Trans(32, 42, 25, 337), + Trans(32, 47, 25, 337), + Trans(32, 48, 25, 337), + Trans(32, 49, 25, 337), + Trans(32, 54, 25, 337), + Trans(32, 57, 25, 337), + Trans(32, 58, 25, 337), + Trans(32, 59, 25, 337), + Trans(32, 62, 25, 337), + Trans(32, 63, 25, 337), + Trans(32, 64, 25, 337), + Trans(32, 68, 25, 337), + Trans(32, 69, 25, 337), + Trans(32, 70, 25, 337), + Trans(32, 71, 25, 337), + Trans(32, 74, 25, 337), + Trans(32, 75, 25, 337), + Trans(32, 76, 25, 337), + Trans(32, 78, 25, 337), + Trans(32, 79, 25, 337), + Trans(32, 81, 25, 337), + Trans(32, 82, 25, 337), + Trans(32, 83, 25, 337), + Trans(32, 84, 25, 337), + Trans(32, 87, 25, 337), + Trans(32, 88, 25, 337), + Trans(32, 91, 25, 337), + Trans(32, 99, 25, 337), + Trans(32, 103, 25, 337), + Trans(32, 106, 25, 337), + Trans(32, 107, 25, 337), + Trans(32, 108, 25, 337), + Trans(32, 109, 25, 337), + Trans(33, 0, 25, 337), + Trans(33, 5, 25, 337), + Trans(33, 6, 25, 337), + Trans(33, 7, 25, 337), + Trans(33, 8, 25, 337), + Trans(33, 9, 25, 337), + Trans(33, 10, 25, 337), + Trans(33, 11, 25, 337), + Trans(33, 18, 25, 337), + Trans(33, 24, 25, 337), + Trans(33, 25, 25, 337), + Trans(33, 26, 25, 337), + Trans(33, 27, 25, 337), + Trans(33, 29, 25, 337), + Trans(33, 35, 25, 337), + Trans(33, 37, 25, 337), + Trans(33, 38, 25, 337), + Trans(33, 40, 25, 337), + Trans(33, 42, 25, 337), + Trans(33, 47, 25, 337), + Trans(33, 48, 25, 337), + Trans(33, 49, 25, 337), + Trans(33, 54, 25, 337), + Trans(33, 55, 25, 337), + Trans(33, 56, 25, 337), + Trans(33, 57, 25, 337), + Trans(33, 58, 25, 337), + Trans(33, 59, 25, 337), + Trans(33, 62, 25, 337), + Trans(33, 63, 25, 337), + Trans(33, 64, 25, 337), + Trans(33, 67, 25, 337), + Trans(33, 68, 25, 337), + Trans(33, 69, 25, 337), + Trans(33, 70, 25, 337), + Trans(33, 71, 25, 337), + Trans(33, 74, 25, 337), + Trans(33, 75, 25, 337), + Trans(33, 76, 25, 337), + Trans(33, 78, 25, 337), + Trans(33, 79, 25, 337), + Trans(33, 81, 25, 337), + Trans(33, 82, 25, 337), + Trans(33, 83, 25, 337), + Trans(33, 84, 25, 337), + Trans(33, 87, 25, 337), + Trans(33, 88, 25, 337), + Trans(33, 91, 25, 337), + Trans(33, 94, 25, 337), + Trans(33, 95, 25, 337), + Trans(33, 99, 25, 337), + Trans(33, 103, 25, 337), + Trans(33, 106, 25, 337), + Trans(33, 107, 25, 337), + Trans(33, 108, 25, 337), + Trans(33, 109, 25, 337), + Trans(34, 5, 25, 337), + Trans(34, 38, 25, 337), + Trans(35, 5, 25, 337), + Trans(35, 40, 25, 337), + Trans(36, 5, 25, 337), + Trans(36, 29, 25, 337), + Trans(37, 5, 25, 337), + Trans(37, 46, 25, 337), + Trans(37, 108, 25, 337), + Trans(37, 109, 25, 337), + Trans(38, 5, 25, 337), + Trans(38, 108, 25, 337), + Trans(38, 109, 25, 337), + Trans(39, 5, 25, 337), + Trans(39, 76, 25, 337), + Trans(39, 83, 25, 337), + Trans(39, 88, 25, 337), + Trans(40, 5, 25, 337), + Trans(40, 45, 25, 337), + Trans(41, 5, 25, 337), + Trans(41, 15, 25, 337), + Trans(41, 16, 25, 337), + Trans(41, 17, 25, 337), + Trans(41, 18, 25, 337), + Trans(41, 19, 25, 337), + Trans(41, 20, 25, 337), + Trans(41, 21, 25, 337), + Trans(41, 22, 25, 337), + Trans(41, 23, 25, 337), + Trans(41, 24, 25, 337), + Trans(41, 25, 25, 337), + Trans(41, 26, 25, 337), + Trans(41, 28, 25, 337), + Trans(41, 29, 25, 337), + Trans(41, 30, 25, 337), + Trans(41, 33, 25, 337), + Trans(41, 34, 25, 337), + Trans(41, 39, 25, 337), + Trans(41, 40, 25, 337), + Trans(41, 46, 25, 337), + Trans(41, 52, 25, 337), + Trans(42, 12, 25, 337), + Trans(42, 14, 25, 337), + Trans(42, 16, 25, 337), + Trans(42, 17, 25, 337), + Trans(42, 18, 25, 337), + Trans(42, 19, 25, 337), + Trans(42, 20, 25, 337), + Trans(42, 21, 25, 337), + Trans(42, 22, 25, 337), + Trans(42, 23, 25, 337), + Trans(42, 24, 25, 337), + Trans(42, 25, 25, 337), + Trans(42, 26, 25, 337), + Trans(42, 29, 25, 337), + Trans(42, 30, 25, 337), + Trans(42, 31, 25, 337), + Trans(42, 32, 25, 337), + Trans(42, 35, 25, 337), + Trans(42, 38, 25, 337), + Trans(42, 41, 25, 337), + Trans(42, 42, 25, 337), + Trans(42, 43, 25, 337), + Trans(42, 44, 25, 337), + Trans(42, 45, 25, 337), + Trans(42, 46, 25, 337), + Trans(42, 47, 25, 337), + Trans(42, 48, 25, 337), + Trans(42, 49, 25, 337), + Trans(42, 52, 25, 337), + Trans(42, 56, 25, 337), + Trans(42, 58, 25, 337), + Trans(42, 59, 25, 337), + Trans(42, 62, 25, 337), + Trans(42, 63, 25, 337), + Trans(42, 64, 25, 337), + Trans(42, 68, 25, 337), + Trans(42, 69, 25, 337), + Trans(42, 71, 25, 337), + Trans(42, 75, 25, 337), + Trans(42, 78, 25, 337), + Trans(42, 79, 25, 337), + Trans(42, 82, 25, 337), + Trans(42, 93, 25, 337), + Trans(42, 97, 25, 337), + Trans(42, 99, 25, 337), + Trans(42, 103, 25, 337), + Trans(42, 106, 25, 337), + Trans(42, 107, 25, 337), + Trans(43, 5, 25, 337), + Trans(43, 6, 25, 337), + Trans(43, 7, 25, 337), + Trans(43, 8, 25, 337), + Trans(43, 9, 25, 337), + Trans(43, 10, 25, 337), + Trans(43, 11, 25, 337), + Trans(43, 18, 25, 337), + Trans(43, 24, 25, 337), + Trans(43, 25, 25, 337), + Trans(43, 26, 25, 337), + Trans(43, 27, 25, 337), + Trans(43, 37, 25, 337), + Trans(43, 38, 25, 337), + Trans(43, 40, 25, 337), + Trans(43, 54, 25, 337), + Trans(43, 63, 25, 337), + Trans(43, 67, 25, 337), + Trans(43, 68, 25, 337), + Trans(43, 74, 25, 337), + Trans(43, 78, 25, 337), + Trans(43, 81, 25, 337), + Trans(43, 84, 25, 337), + Trans(43, 87, 25, 337), + Trans(43, 94, 25, 337), + Trans(43, 95, 25, 337), + Trans(43, 108, 25, 337), + Trans(43, 109, 25, 337), + Trans(44, 5, 25, 337), + Trans(44, 6, 25, 337), + Trans(44, 7, 25, 337), + Trans(44, 8, 25, 337), + Trans(44, 9, 25, 337), + Trans(44, 10, 25, 337), + Trans(44, 11, 25, 337), + Trans(44, 18, 25, 337), + Trans(44, 24, 25, 337), + Trans(44, 25, 25, 337), + Trans(44, 26, 25, 337), + Trans(44, 27, 25, 337), + Trans(44, 35, 25, 337), + Trans(44, 37, 25, 337), + Trans(44, 38, 25, 337), + Trans(44, 40, 25, 337), + Trans(44, 42, 25, 337), + Trans(44, 44, 25, 337), + Trans(44, 54, 25, 337), + Trans(44, 55, 25, 337), + Trans(44, 68, 25, 337), + Trans(44, 74, 25, 337), + Trans(44, 79, 25, 337), + Trans(44, 81, 25, 337), + Trans(44, 84, 25, 337), + Trans(44, 87, 25, 337), + Trans(44, 89, 25, 337), + Trans(44, 108, 25, 337), + Trans(44, 109, 25, 337), + Trans(45, 5, 25, 337), + Trans(45, 6, 25, 337), + Trans(45, 7, 25, 337), + Trans(45, 8, 25, 337), + Trans(45, 9, 25, 337), + Trans(45, 10, 25, 337), + Trans(45, 11, 25, 337), + Trans(45, 18, 25, 337), + Trans(45, 24, 25, 337), + Trans(45, 25, 25, 337), + Trans(45, 26, 25, 337), + Trans(45, 27, 25, 337), + Trans(45, 29, 25, 337), + Trans(45, 35, 25, 337), + Trans(45, 37, 25, 337), + Trans(45, 38, 25, 337), + Trans(45, 40, 25, 337), + Trans(45, 42, 25, 337), + Trans(45, 47, 25, 337), + Trans(45, 48, 25, 337), + Trans(45, 49, 25, 337), + Trans(45, 54, 25, 337), + Trans(45, 55, 25, 337), + Trans(45, 58, 25, 337), + Trans(45, 59, 25, 337), + Trans(45, 62, 25, 337), + Trans(45, 63, 25, 337), + Trans(45, 64, 25, 337), + Trans(45, 67, 25, 337), + Trans(45, 68, 25, 337), + Trans(45, 69, 25, 337), + Trans(45, 71, 25, 337), + Trans(45, 74, 25, 337), + Trans(45, 75, 25, 337), + Trans(45, 78, 25, 337), + Trans(45, 79, 25, 337), + Trans(45, 81, 25, 337), + Trans(45, 82, 25, 337), + Trans(45, 84, 25, 337), + Trans(45, 87, 25, 337), + Trans(45, 94, 25, 337), + Trans(45, 95, 25, 337), + Trans(45, 99, 25, 337), + Trans(45, 103, 25, 337), + Trans(45, 106, 25, 337), + Trans(45, 107, 25, 337), + Trans(45, 108, 25, 337), + Trans(45, 109, 25, 337), + Trans(46, 5, 25, 337), + Trans(46, 30, 25, 337), + Trans(46, 34, 25, 337), + Trans(46, 38, 25, 337), + Trans(46, 39, 25, 337), + Trans(46, 42, 25, 337), + Trans(46, 44, 25, 337), + Trans(46, 45, 25, 337), + Trans(46, 77, 25, 337), + Trans(47, 0, 25, 337), + Trans(47, 5, 25, 337), + Trans(47, 12, 25, 337), + Trans(47, 14, 25, 337), + Trans(47, 16, 25, 337), + Trans(47, 17, 25, 337), + Trans(47, 18, 25, 337), + Trans(47, 19, 25, 337), + Trans(47, 20, 25, 337), + Trans(47, 21, 25, 337), + Trans(47, 22, 25, 337), + Trans(47, 23, 25, 337), + Trans(47, 24, 25, 337), + Trans(47, 25, 25, 337), + Trans(47, 26, 25, 337), + Trans(47, 29, 25, 337), + Trans(47, 30, 25, 337), + Trans(47, 31, 25, 337), + Trans(47, 32, 25, 337), + Trans(47, 35, 25, 337), + Trans(47, 38, 25, 337), + Trans(47, 41, 25, 337), + Trans(47, 42, 25, 337), + Trans(47, 43, 25, 337), + Trans(47, 44, 25, 337), + Trans(47, 45, 25, 337), + Trans(47, 46, 25, 337), + Trans(47, 47, 25, 337), + Trans(47, 48, 25, 337), + Trans(47, 49, 25, 337), + Trans(47, 52, 25, 337), + Trans(47, 56, 25, 337), + Trans(47, 57, 25, 337), + Trans(47, 58, 25, 337), + Trans(47, 59, 25, 337), + Trans(47, 62, 25, 337), + Trans(47, 63, 25, 337), + Trans(47, 64, 25, 337), + Trans(47, 68, 25, 337), + Trans(47, 69, 25, 337), + Trans(47, 70, 25, 337), + Trans(47, 71, 25, 337), + Trans(47, 75, 25, 337), + Trans(47, 76, 25, 337), + Trans(47, 78, 25, 337), + Trans(47, 79, 25, 337), + Trans(47, 82, 25, 337), + Trans(47, 83, 25, 337), + Trans(47, 88, 25, 337), + Trans(47, 91, 25, 337), + Trans(47, 93, 25, 337), + Trans(47, 97, 25, 337), + Trans(47, 99, 25, 337), + Trans(47, 103, 25, 337), + Trans(47, 106, 25, 337), + Trans(47, 107, 25, 337), + Trans(48, 5, 25, 337), + Trans(48, 12, 25, 337), + Trans(48, 14, 25, 337), + Trans(48, 15, 25, 337), + Trans(48, 16, 25, 337), + Trans(48, 17, 25, 337), + Trans(48, 18, 25, 337), + Trans(48, 19, 25, 337), + Trans(48, 20, 25, 337), + Trans(48, 21, 25, 337), + Trans(48, 22, 25, 337), + Trans(48, 23, 25, 337), + Trans(48, 24, 25, 337), + Trans(48, 25, 25, 337), + Trans(48, 26, 25, 337), + Trans(48, 29, 25, 337), + Trans(48, 30, 25, 337), + Trans(48, 31, 25, 337), + Trans(48, 32, 25, 337), + Trans(48, 33, 25, 337), + Trans(48, 34, 25, 337), + Trans(48, 35, 25, 337), + Trans(48, 38, 25, 337), + Trans(48, 39, 25, 337), + Trans(48, 40, 25, 337), + Trans(48, 41, 25, 337), + Trans(48, 42, 25, 337), + Trans(48, 43, 25, 337), + Trans(48, 44, 25, 337), + Trans(48, 45, 25, 337), + Trans(48, 46, 25, 337), + Trans(48, 52, 25, 337), + Trans(48, 93, 25, 337), + Trans(48, 97, 25, 337), + Trans(49, 5, 25, 337), + Trans(49, 12, 25, 337), + Trans(49, 13, 25, 337), + Trans(49, 14, 25, 337), + Trans(49, 16, 25, 337), + Trans(49, 17, 25, 337), + Trans(49, 18, 25, 337), + Trans(49, 19, 25, 337), + Trans(49, 20, 25, 337), + Trans(49, 21, 25, 337), + Trans(49, 22, 25, 337), + Trans(49, 23, 25, 337), + Trans(49, 24, 25, 337), + Trans(49, 25, 25, 337), + Trans(49, 26, 25, 337), + Trans(49, 29, 25, 337), + Trans(49, 30, 25, 337), + Trans(49, 31, 25, 337), + Trans(49, 32, 25, 337), + Trans(49, 38, 25, 337), + Trans(49, 40, 25, 337), + Trans(49, 41, 25, 337), + Trans(49, 42, 25, 337), + Trans(49, 43, 25, 337), + Trans(49, 44, 25, 337), + Trans(49, 45, 25, 337), + Trans(49, 46, 25, 337), + Trans(49, 52, 25, 337), + Trans(49, 93, 25, 337), + Trans(49, 97, 25, 337), + Trans(50, 5, 25, 337), + Trans(50, 38, 25, 337), + Trans(50, 68, 25, 337), + Trans(51, 5, 25, 337), + Trans(51, 6, 25, 337), + Trans(51, 7, 25, 337), + Trans(51, 8, 25, 337), + Trans(51, 9, 25, 337), + Trans(51, 10, 25, 337), + Trans(51, 11, 25, 337), + Trans(51, 15, 25, 337), + Trans(51, 18, 25, 337), + Trans(51, 24, 25, 337), + Trans(51, 25, 25, 337), + Trans(51, 26, 25, 337), + Trans(51, 27, 25, 337), + Trans(51, 37, 25, 337), + Trans(51, 38, 25, 337), + Trans(51, 40, 25, 337), + Trans(51, 54, 25, 337), + Trans(51, 68, 25, 337), + Trans(51, 74, 25, 337), + Trans(51, 81, 25, 337), + Trans(51, 84, 25, 337), + Trans(51, 87, 25, 337), + Trans(51, 108, 25, 337), + Trans(51, 109, 25, 337), + Trans(52, 6, 25, 337), + Trans(52, 7, 25, 337), + Trans(52, 8, 25, 337), + Trans(52, 9, 25, 337), + Trans(52, 10, 25, 337), + Trans(52, 11, 25, 337), + Trans(52, 18, 25, 337), + Trans(52, 24, 25, 337), + Trans(52, 25, 25, 337), + Trans(52, 26, 25, 337), + Trans(52, 27, 25, 337), + Trans(52, 37, 25, 337), + Trans(52, 38, 25, 337), + Trans(52, 40, 25, 337), + Trans(52, 54, 25, 337), + Trans(52, 68, 25, 337), + Trans(52, 74, 25, 337), + Trans(52, 81, 25, 337), + Trans(52, 84, 25, 337), + Trans(52, 87, 25, 337), + Trans(52, 108, 25, 337), + Trans(52, 109, 25, 337), + Trans(53, 5, 25, 337), + Trans(53, 16, 25, 337), + Trans(53, 17, 25, 337), + Trans(53, 18, 25, 337), + Trans(53, 19, 25, 337), + Trans(53, 20, 25, 337), + Trans(53, 21, 25, 337), + Trans(53, 22, 25, 337), + Trans(53, 23, 25, 337), + Trans(53, 24, 25, 337), + Trans(53, 25, 25, 337), + Trans(53, 26, 25, 337), + Trans(53, 43, 25, 337), + Trans(53, 46, 25, 337), + Trans(53, 52, 25, 337), + Trans(54, 5, 25, 337), + Trans(54, 16, 25, 337), + Trans(54, 17, 25, 337), + Trans(54, 18, 25, 337), + Trans(54, 19, 25, 337), + Trans(54, 20, 25, 337), + Trans(54, 21, 25, 337), + Trans(54, 22, 25, 337), + Trans(54, 23, 25, 337), + Trans(54, 24, 25, 337), + Trans(54, 25, 25, 337), + Trans(54, 26, 25, 337), + Trans(54, 28, 25, 337), + Trans(54, 33, 25, 337), + Trans(54, 39, 25, 337), + Trans(54, 40, 25, 337), + Trans(54, 43, 25, 337), + Trans(54, 46, 25, 337), + Trans(54, 52, 25, 337), + Trans(55, 5, 25, 337), + Trans(55, 12, 25, 337), + Trans(55, 14, 25, 337), + Trans(55, 16, 25, 337), + Trans(55, 17, 25, 337), + Trans(55, 18, 25, 337), + Trans(55, 19, 25, 337), + Trans(55, 20, 25, 337), + Trans(55, 21, 25, 337), + Trans(55, 22, 25, 337), + Trans(55, 23, 25, 337), + Trans(55, 24, 25, 337), + Trans(55, 25, 25, 337), + Trans(55, 26, 25, 337), + Trans(55, 29, 25, 337), + Trans(55, 30, 25, 337), + Trans(55, 31, 25, 337), + Trans(55, 32, 25, 337), + Trans(55, 38, 25, 337), + Trans(55, 41, 25, 337), + Trans(55, 42, 25, 337), + Trans(55, 43, 25, 337), + Trans(55, 44, 25, 337), + Trans(55, 45, 25, 337), + Trans(55, 46, 25, 337), + Trans(55, 52, 25, 337), + Trans(55, 93, 25, 337), + Trans(55, 97, 25, 337), + Trans(56, 5, 25, 337), + Trans(56, 12, 25, 337), + Trans(56, 14, 25, 337), + Trans(56, 16, 25, 337), + Trans(56, 17, 25, 337), + Trans(56, 18, 25, 337), + Trans(56, 19, 25, 337), + Trans(56, 20, 25, 337), + Trans(56, 21, 25, 337), + Trans(56, 22, 25, 337), + Trans(56, 23, 25, 337), + Trans(56, 24, 25, 337), + Trans(56, 25, 25, 337), + Trans(56, 26, 25, 337), + Trans(56, 28, 25, 337), + Trans(56, 29, 25, 337), + Trans(56, 30, 25, 337), + Trans(56, 31, 25, 337), + Trans(56, 32, 25, 337), + Trans(56, 33, 25, 337), + Trans(56, 38, 25, 337), + Trans(56, 39, 25, 337), + Trans(56, 40, 25, 337), + Trans(56, 41, 25, 337), + Trans(56, 42, 25, 337), + Trans(56, 43, 25, 337), + Trans(56, 44, 25, 337), + Trans(56, 45, 25, 337), + Trans(56, 46, 25, 337), + Trans(56, 52, 25, 337), + Trans(56, 93, 25, 337), + Trans(56, 97, 25, 337), + Trans(57, 6, 25, 337), + Trans(57, 7, 25, 337), + Trans(57, 8, 25, 337), + Trans(57, 9, 25, 337), + Trans(57, 10, 25, 337), + Trans(57, 11, 25, 337), + Trans(57, 18, 25, 337), + Trans(57, 24, 25, 337), + Trans(57, 25, 25, 337), + Trans(57, 26, 25, 337), + Trans(57, 27, 25, 337), + Trans(57, 37, 25, 337), + Trans(57, 38, 25, 337), + Trans(57, 40, 25, 337), + Trans(57, 54, 25, 337), + Trans(57, 63, 25, 337), + Trans(57, 67, 25, 337), + Trans(57, 68, 25, 337), + Trans(57, 74, 25, 337), + Trans(57, 78, 25, 337), + Trans(57, 81, 25, 337), + Trans(57, 84, 25, 337), + Trans(57, 87, 25, 337), + Trans(57, 94, 25, 337), + Trans(57, 95, 25, 337), + Trans(57, 108, 25, 337), + Trans(57, 109, 25, 337), + Trans(58, 5, 25, 337), + Trans(58, 16, 25, 337), + Trans(58, 17, 25, 337), + Trans(58, 18, 25, 337), + Trans(58, 19, 25, 337), + Trans(58, 20, 25, 337), + Trans(58, 21, 25, 337), + Trans(58, 22, 25, 337), + Trans(58, 23, 25, 337), + Trans(58, 24, 25, 337), + Trans(58, 25, 25, 337), + Trans(58, 26, 25, 337), + Trans(58, 30, 25, 337), + Trans(58, 43, 25, 337), + Trans(58, 46, 25, 337), + Trans(58, 52, 25, 337), + Trans(59, 5, 25, 337), + Trans(59, 6, 25, 337), + Trans(59, 7, 25, 337), + Trans(59, 8, 25, 337), + Trans(59, 9, 25, 337), + Trans(59, 10, 25, 337), + Trans(59, 11, 25, 337), + Trans(59, 18, 25, 337), + Trans(59, 24, 25, 337), + Trans(59, 25, 25, 337), + Trans(59, 26, 25, 337), + Trans(59, 27, 25, 337), + Trans(59, 37, 25, 337), + Trans(59, 38, 25, 337), + Trans(59, 40, 25, 337), + Trans(59, 42, 25, 337), + Trans(59, 54, 25, 337), + Trans(59, 63, 25, 337), + Trans(59, 67, 25, 337), + Trans(59, 68, 25, 337), + Trans(59, 74, 25, 337), + Trans(59, 78, 25, 337), + Trans(59, 81, 25, 337), + Trans(59, 84, 25, 337), + Trans(59, 87, 25, 337), + Trans(59, 94, 25, 337), + Trans(59, 95, 25, 337), + Trans(59, 108, 25, 337), + Trans(59, 109, 25, 337), + Trans(60, 5, 25, 337), + Trans(60, 15, 25, 337), + Trans(60, 16, 25, 337), + Trans(60, 17, 25, 337), + Trans(60, 18, 25, 337), + Trans(60, 19, 25, 337), + Trans(60, 20, 25, 337), + Trans(60, 21, 25, 337), + Trans(60, 22, 25, 337), + Trans(60, 23, 25, 337), + Trans(60, 24, 25, 337), + Trans(60, 25, 25, 337), + Trans(60, 26, 25, 337), + Trans(60, 28, 25, 337), + Trans(60, 30, 25, 337), + Trans(60, 33, 25, 337), + Trans(60, 34, 25, 337), + Trans(60, 39, 25, 337), + Trans(60, 40, 25, 337), + Trans(60, 43, 25, 337), + Trans(60, 46, 25, 337), + Trans(60, 52, 25, 337), + Trans(61, 5, 25, 337), + Trans(61, 15, 25, 337), + Trans(61, 16, 25, 337), + Trans(61, 17, 25, 337), + Trans(61, 18, 25, 337), + Trans(61, 19, 25, 337), + Trans(61, 20, 25, 337), + Trans(61, 21, 25, 337), + Trans(61, 22, 25, 337), + Trans(61, 23, 25, 337), + Trans(61, 24, 25, 337), + Trans(61, 25, 25, 337), + Trans(61, 26, 25, 337), + Trans(61, 28, 25, 337), + Trans(61, 30, 25, 337), + Trans(61, 33, 25, 337), + Trans(61, 34, 25, 337), + Trans(61, 38, 25, 337), + Trans(61, 39, 25, 337), + Trans(61, 40, 25, 337), + Trans(61, 43, 25, 337), + Trans(61, 46, 25, 337), + Trans(61, 52, 25, 337), + Trans(62, 6, 25, 337), + Trans(62, 7, 25, 337), + Trans(62, 8, 25, 337), + Trans(62, 9, 25, 337), + Trans(62, 10, 25, 337), + Trans(62, 11, 25, 337), + Trans(62, 18, 25, 337), + Trans(62, 24, 25, 337), + Trans(62, 25, 25, 337), + Trans(62, 26, 25, 337), + Trans(62, 27, 25, 337), + Trans(62, 35, 25, 337), + Trans(62, 37, 25, 337), + Trans(62, 38, 25, 337), + Trans(62, 40, 25, 337), + Trans(62, 42, 25, 337), + Trans(62, 44, 25, 337), + Trans(62, 54, 25, 337), + Trans(62, 55, 25, 337), + Trans(62, 68, 25, 337), + Trans(62, 74, 25, 337), + Trans(62, 79, 25, 337), + Trans(62, 81, 25, 337), + Trans(62, 84, 25, 337), + Trans(62, 87, 25, 337), + Trans(62, 89, 25, 337), + Trans(62, 108, 25, 337), + Trans(62, 109, 25, 337), + Trans(63, 5, 25, 337), + Trans(63, 16, 25, 337), + Trans(63, 17, 25, 337), + Trans(63, 18, 25, 337), + Trans(63, 19, 25, 337), + Trans(63, 20, 25, 337), + Trans(63, 21, 25, 337), + Trans(63, 22, 25, 337), + Trans(63, 23, 25, 337), + Trans(63, 24, 25, 337), + Trans(63, 25, 25, 337), + Trans(63, 26, 25, 337), + Trans(63, 29, 25, 337), + Trans(63, 30, 25, 337), + Trans(63, 31, 25, 337), + Trans(63, 32, 25, 337), + Trans(63, 41, 25, 337), + Trans(63, 42, 25, 337), + Trans(63, 43, 25, 337), + Trans(63, 44, 25, 337), + Trans(63, 46, 25, 337), + Trans(63, 52, 25, 337), + Trans(63, 93, 25, 337), + Trans(64, 5, 25, 337), + Trans(64, 6, 25, 337), + Trans(64, 7, 25, 337), + Trans(64, 8, 25, 337), + Trans(64, 9, 25, 337), + Trans(64, 10, 25, 337), + Trans(64, 11, 25, 337), + Trans(64, 18, 25, 337), + Trans(64, 24, 25, 337), + Trans(64, 25, 25, 337), + Trans(64, 26, 25, 337), + Trans(64, 27, 25, 337), + Trans(64, 35, 25, 337), + Trans(64, 37, 25, 337), + Trans(64, 38, 25, 337), + Trans(64, 40, 25, 337), + Trans(64, 54, 25, 337), + Trans(64, 68, 25, 337), + Trans(64, 74, 25, 337), + Trans(64, 79, 25, 337), + Trans(64, 81, 25, 337), + Trans(64, 84, 25, 337), + Trans(64, 87, 25, 337), + Trans(64, 89, 25, 337), + Trans(64, 108, 25, 337), + Trans(64, 109, 25, 337), + Trans(65, 5, 25, 337), + Trans(65, 12, 25, 337), + Trans(65, 14, 25, 337), + Trans(65, 16, 25, 337), + Trans(65, 17, 25, 337), + Trans(65, 18, 25, 337), + Trans(65, 19, 25, 337), + Trans(65, 20, 25, 337), + Trans(65, 21, 25, 337), + Trans(65, 22, 25, 337), + Trans(65, 23, 25, 337), + Trans(65, 24, 25, 337), + Trans(65, 25, 25, 337), + Trans(65, 26, 25, 337), + Trans(65, 29, 25, 337), + Trans(65, 30, 25, 337), + Trans(65, 31, 25, 337), + Trans(65, 32, 25, 337), + Trans(65, 35, 25, 337), + Trans(65, 38, 25, 337), + Trans(65, 41, 25, 337), + Trans(65, 42, 25, 337), + Trans(65, 43, 25, 337), + Trans(65, 44, 25, 337), + Trans(65, 45, 25, 337), + Trans(65, 46, 25, 337), + Trans(65, 47, 25, 337), + Trans(65, 48, 25, 337), + Trans(65, 49, 25, 337), + Trans(65, 52, 25, 337), + Trans(65, 58, 25, 337), + Trans(65, 59, 25, 337), + Trans(65, 62, 25, 337), + Trans(65, 63, 25, 337), + Trans(65, 64, 25, 337), + Trans(65, 68, 25, 337), + Trans(65, 69, 25, 337), + Trans(65, 71, 25, 337), + Trans(65, 75, 25, 337), + Trans(65, 78, 25, 337), + Trans(65, 79, 25, 337), + Trans(65, 82, 25, 337), + Trans(65, 93, 25, 337), + Trans(65, 97, 25, 337), + Trans(65, 99, 25, 337), + Trans(65, 103, 25, 337), + Trans(65, 106, 25, 337), + Trans(65, 107, 25, 337), + Trans(66, 5, 25, 337), + Trans(66, 16, 25, 337), + Trans(66, 17, 25, 337), + Trans(66, 18, 25, 337), + Trans(66, 19, 25, 337), + Trans(66, 20, 25, 337), + Trans(66, 21, 25, 337), + Trans(66, 22, 25, 337), + Trans(66, 23, 25, 337), + Trans(66, 24, 25, 337), + Trans(66, 25, 25, 337), + Trans(66, 26, 25, 337), + Trans(66, 28, 25, 337), + Trans(66, 29, 25, 337), + Trans(66, 30, 25, 337), + Trans(66, 31, 25, 337), + Trans(66, 32, 25, 337), + Trans(66, 33, 25, 337), + Trans(66, 39, 25, 337), + Trans(66, 40, 25, 337), + Trans(66, 41, 25, 337), + Trans(66, 42, 25, 337), + Trans(66, 43, 25, 337), + Trans(66, 44, 25, 337), + Trans(66, 46, 25, 337), + Trans(66, 52, 25, 337), + Trans(66, 93, 25, 337), + Trans(67, 5, 25, 337), + Trans(67, 16, 25, 337), + Trans(67, 17, 25, 337), + Trans(67, 18, 25, 337), + Trans(67, 19, 25, 337), + Trans(67, 20, 25, 337), + Trans(67, 21, 25, 337), + Trans(67, 22, 25, 337), + Trans(67, 23, 25, 337), + Trans(67, 24, 25, 337), + Trans(67, 25, 25, 337), + Trans(67, 26, 25, 337), + Trans(67, 28, 25, 337), + Trans(67, 29, 25, 337), + Trans(67, 30, 25, 337), + Trans(67, 31, 25, 337), + Trans(67, 32, 25, 337), + Trans(67, 33, 25, 337), + Trans(67, 34, 25, 337), + Trans(67, 39, 25, 337), + Trans(67, 40, 25, 337), + Trans(67, 41, 25, 337), + Trans(67, 42, 25, 337), + Trans(67, 43, 25, 337), + Trans(67, 44, 25, 337), + Trans(67, 46, 25, 337), + Trans(67, 52, 25, 337), + Trans(67, 93, 25, 337), + Trans(68, 5, 25, 337), + Trans(68, 16, 25, 337), + Trans(68, 17, 25, 337), + Trans(68, 18, 25, 337), + Trans(68, 19, 25, 337), + Trans(68, 20, 25, 337), + Trans(68, 21, 25, 337), + Trans(68, 22, 25, 337), + Trans(68, 23, 25, 337), + Trans(68, 24, 25, 337), + Trans(68, 25, 25, 337), + Trans(68, 26, 25, 337), + Trans(68, 29, 25, 337), + Trans(68, 30, 25, 337), + Trans(68, 38, 25, 337), + Trans(68, 42, 25, 337), + Trans(68, 46, 25, 337), + Trans(68, 52, 25, 337), + Trans(68, 97, 25, 337), + Trans(69, 5, 25, 337), + Trans(69, 16, 25, 337), + Trans(69, 17, 25, 337), + Trans(69, 18, 25, 337), + Trans(69, 19, 25, 337), + Trans(69, 20, 25, 337), + Trans(69, 21, 25, 337), + Trans(69, 22, 25, 337), + Trans(69, 23, 25, 337), + Trans(69, 24, 25, 337), + Trans(69, 25, 25, 337), + Trans(69, 26, 25, 337), + Trans(69, 28, 25, 337), + Trans(69, 29, 25, 337), + Trans(69, 30, 25, 337), + Trans(69, 33, 25, 337), + Trans(69, 38, 25, 337), + Trans(69, 39, 25, 337), + Trans(69, 40, 25, 337), + Trans(69, 42, 25, 337), + Trans(69, 46, 25, 337), + Trans(69, 52, 25, 337), + Trans(69, 97, 25, 337), + Trans(70, 5, 25, 337), + Trans(70, 16, 25, 337), + Trans(70, 17, 25, 337), + Trans(70, 18, 25, 337), + Trans(70, 19, 25, 337), + Trans(70, 20, 25, 337), + Trans(70, 21, 25, 337), + Trans(70, 22, 25, 337), + Trans(70, 23, 25, 337), + Trans(70, 24, 25, 337), + Trans(70, 25, 25, 337), + Trans(70, 26, 25, 337), + Trans(70, 30, 25, 337), + Trans(70, 42, 25, 337), + Trans(70, 44, 25, 337), + Trans(70, 45, 25, 337), + Trans(70, 46, 25, 337), + Trans(70, 52, 25, 337), + Trans(71, 5, 25, 337), + Trans(71, 16, 25, 337), + Trans(71, 17, 25, 337), + Trans(71, 18, 25, 337), + Trans(71, 19, 25, 337), + Trans(71, 20, 25, 337), + Trans(71, 21, 25, 337), + Trans(71, 22, 25, 337), + Trans(71, 23, 25, 337), + Trans(71, 24, 25, 337), + Trans(71, 25, 25, 337), + Trans(71, 26, 25, 337), + Trans(71, 28, 25, 337), + Trans(71, 30, 25, 337), + Trans(71, 33, 25, 337), + Trans(71, 39, 25, 337), + Trans(71, 40, 25, 337), + Trans(71, 42, 25, 337), + Trans(71, 44, 25, 337), + Trans(71, 45, 25, 337), + Trans(71, 46, 25, 337), + Trans(71, 52, 25, 337), + Trans(72, 5, 25, 337), + Trans(72, 16, 25, 337), + Trans(72, 17, 25, 337), + Trans(72, 18, 25, 337), + Trans(72, 19, 25, 337), + Trans(72, 20, 25, 337), + Trans(72, 21, 25, 337), + Trans(72, 22, 25, 337), + Trans(72, 23, 25, 337), + Trans(72, 24, 25, 337), + Trans(72, 25, 25, 337), + Trans(72, 26, 25, 337), + Trans(72, 30, 25, 337), + Trans(72, 41, 25, 337), + Trans(72, 46, 25, 337), + Trans(72, 52, 25, 337), + Trans(73, 5, 25, 337), + Trans(73, 16, 25, 337), + Trans(73, 17, 25, 337), + Trans(73, 18, 25, 337), + Trans(73, 19, 25, 337), + Trans(73, 20, 25, 337), + Trans(73, 21, 25, 337), + Trans(73, 22, 25, 337), + Trans(73, 23, 25, 337), + Trans(73, 24, 25, 337), + Trans(73, 25, 25, 337), + Trans(73, 26, 25, 337), + Trans(73, 28, 25, 337), + Trans(73, 30, 25, 337), + Trans(73, 33, 25, 337), + Trans(73, 39, 25, 337), + Trans(73, 40, 25, 337), + Trans(73, 41, 25, 337), + Trans(73, 46, 25, 337), + Trans(73, 52, 25, 337), + Trans(74, 6, 25, 337), + Trans(74, 7, 25, 337), + Trans(74, 8, 25, 337), + Trans(74, 9, 25, 337), + Trans(74, 10, 25, 337), + Trans(74, 11, 25, 337), + Trans(74, 18, 25, 337), + Trans(74, 24, 25, 337), + Trans(74, 25, 25, 337), + Trans(74, 26, 25, 337), + Trans(74, 27, 25, 337), + Trans(74, 29, 25, 337), + Trans(74, 35, 25, 337), + Trans(74, 37, 25, 337), + Trans(74, 38, 25, 337), + Trans(74, 40, 25, 337), + Trans(74, 42, 25, 337), + Trans(74, 47, 25, 337), + Trans(74, 48, 25, 337), + Trans(74, 49, 25, 337), + Trans(74, 54, 25, 337), + Trans(74, 55, 25, 337), + Trans(74, 58, 25, 337), + Trans(74, 62, 25, 337), + Trans(74, 63, 25, 337), + Trans(74, 64, 25, 337), + Trans(74, 67, 25, 337), + Trans(74, 68, 25, 337), + Trans(74, 69, 25, 337), + Trans(74, 71, 25, 337), + Trans(74, 74, 25, 337), + Trans(74, 75, 25, 337), + Trans(74, 78, 25, 337), + Trans(74, 79, 25, 337), + Trans(74, 81, 25, 337), + Trans(74, 82, 25, 337), + Trans(74, 84, 25, 337), + Trans(74, 87, 25, 337), + Trans(74, 94, 25, 337), + Trans(74, 95, 25, 337), + Trans(74, 99, 25, 337), + Trans(74, 103, 25, 337), + Trans(74, 106, 25, 337), + Trans(74, 107, 25, 337), + Trans(74, 108, 25, 337), + Trans(74, 109, 25, 337), + Trans(75, 5, 25, 337), + Trans(75, 16, 25, 337), + Trans(75, 17, 25, 337), + Trans(75, 18, 25, 337), + Trans(75, 19, 25, 337), + Trans(75, 20, 25, 337), + Trans(75, 21, 25, 337), + Trans(75, 22, 25, 337), + Trans(75, 23, 25, 337), + Trans(75, 24, 25, 337), + Trans(75, 25, 25, 337), + Trans(75, 26, 25, 337), + Trans(75, 29, 25, 337), + Trans(75, 30, 25, 337), + Trans(75, 31, 25, 337), + Trans(75, 32, 25, 337), + Trans(75, 42, 25, 337), + Trans(75, 46, 25, 337), + Trans(75, 52, 25, 337), + Trans(76, 5, 25, 337), + Trans(76, 6, 25, 337), + Trans(76, 7, 25, 337), + Trans(76, 8, 25, 337), + Trans(76, 9, 25, 337), + Trans(76, 10, 25, 337), + Trans(76, 11, 25, 337), + Trans(76, 18, 25, 337), + Trans(76, 24, 25, 337), + Trans(76, 25, 25, 337), + Trans(76, 26, 25, 337), + Trans(76, 27, 25, 337), + Trans(76, 29, 25, 337), + Trans(76, 35, 25, 337), + Trans(76, 37, 25, 337), + Trans(76, 38, 25, 337), + Trans(76, 40, 25, 337), + Trans(76, 42, 25, 337), + Trans(76, 47, 25, 337), + Trans(76, 48, 25, 337), + Trans(76, 49, 25, 337), + Trans(76, 54, 25, 337), + Trans(76, 58, 25, 337), + Trans(76, 62, 25, 337), + Trans(76, 63, 25, 337), + Trans(76, 64, 25, 337), + Trans(76, 68, 25, 337), + Trans(76, 69, 25, 337), + Trans(76, 71, 25, 337), + Trans(76, 74, 25, 337), + Trans(76, 75, 25, 337), + Trans(76, 78, 25, 337), + Trans(76, 79, 25, 337), + Trans(76, 81, 25, 337), + Trans(76, 82, 25, 337), + Trans(76, 84, 25, 337), + Trans(76, 87, 25, 337), + Trans(76, 99, 25, 337), + Trans(76, 103, 25, 337), + Trans(76, 106, 25, 337), + Trans(76, 107, 25, 337), + Trans(76, 108, 25, 337), + Trans(76, 109, 25, 337), + Trans(77, 5, 25, 337), + Trans(77, 6, 25, 337), + Trans(77, 7, 25, 337), + Trans(77, 8, 25, 337), + Trans(77, 9, 25, 337), + Trans(77, 10, 25, 337), + Trans(77, 11, 25, 337), + Trans(77, 18, 25, 337), + Trans(77, 24, 25, 337), + Trans(77, 25, 25, 337), + Trans(77, 26, 25, 337), + Trans(77, 27, 25, 337), + Trans(77, 29, 25, 337), + Trans(77, 35, 25, 337), + Trans(77, 37, 25, 337), + Trans(77, 38, 25, 337), + Trans(77, 40, 25, 337), + Trans(77, 42, 25, 337), + Trans(77, 47, 25, 337), + Trans(77, 48, 25, 337), + Trans(77, 49, 25, 337), + Trans(77, 54, 25, 337), + Trans(77, 55, 25, 337), + Trans(77, 56, 25, 337), + Trans(77, 58, 25, 337), + Trans(77, 59, 25, 337), + Trans(77, 62, 25, 337), + Trans(77, 63, 25, 337), + Trans(77, 64, 25, 337), + Trans(77, 67, 25, 337), + Trans(77, 68, 25, 337), + Trans(77, 69, 25, 337), + Trans(77, 71, 25, 337), + Trans(77, 74, 25, 337), + Trans(77, 75, 25, 337), + Trans(77, 78, 25, 337), + Trans(77, 79, 25, 337), + Trans(77, 81, 25, 337), + Trans(77, 82, 25, 337), + Trans(77, 84, 25, 337), + Trans(77, 87, 25, 337), + Trans(77, 94, 25, 337), + Trans(77, 95, 25, 337), + Trans(77, 99, 25, 337), + Trans(77, 103, 25, 337), + Trans(77, 106, 25, 337), + Trans(77, 107, 25, 337), + Trans(77, 108, 25, 337), + Trans(77, 109, 25, 337), + Trans(78, 5, 25, 337), + Trans(78, 15, 25, 337), + Trans(78, 16, 25, 337), + Trans(78, 17, 25, 337), + Trans(78, 18, 25, 337), + Trans(78, 19, 25, 337), + Trans(78, 20, 25, 337), + Trans(78, 21, 25, 337), + Trans(78, 22, 25, 337), + Trans(78, 23, 25, 337), + Trans(78, 24, 25, 337), + Trans(78, 25, 25, 337), + Trans(78, 26, 25, 337), + Trans(78, 28, 25, 337), + Trans(78, 29, 25, 337), + Trans(78, 30, 25, 337), + Trans(78, 31, 25, 337), + Trans(78, 32, 25, 337), + Trans(78, 33, 25, 337), + Trans(78, 34, 25, 337), + Trans(78, 39, 25, 337), + Trans(78, 40, 25, 337), + Trans(78, 42, 25, 337), + Trans(78, 46, 25, 337), + Trans(78, 52, 25, 337), + Trans(79, 5, 25, 337), + Trans(79, 16, 25, 337), + Trans(79, 17, 25, 337), + Trans(79, 18, 25, 337), + Trans(79, 19, 25, 337), + Trans(79, 20, 25, 337), + Trans(79, 21, 25, 337), + Trans(79, 22, 25, 337), + Trans(79, 23, 25, 337), + Trans(79, 24, 25, 337), + Trans(79, 25, 25, 337), + Trans(79, 26, 25, 337), + Trans(79, 28, 25, 337), + Trans(79, 30, 25, 337), + Trans(79, 33, 25, 337), + Trans(79, 39, 25, 337), + Trans(79, 40, 25, 337), + Trans(79, 43, 25, 337), + Trans(79, 46, 25, 337), + Trans(79, 52, 25, 337), + Trans(80, 5, 25, 337), + Trans(80, 16, 25, 337), + Trans(80, 17, 25, 337), + Trans(80, 18, 25, 337), + Trans(80, 19, 25, 337), + Trans(80, 20, 25, 337), + Trans(80, 21, 25, 337), + Trans(80, 22, 25, 337), + Trans(80, 23, 25, 337), + Trans(80, 24, 25, 337), + Trans(80, 25, 25, 337), + Trans(80, 26, 25, 337), + Trans(80, 31, 25, 337), + Trans(80, 32, 25, 337), + Trans(80, 38, 25, 337), + Trans(80, 46, 25, 337), + Trans(80, 52, 25, 337), + Trans(80, 97, 25, 337), + Trans(81, 5, 25, 337), + Trans(81, 16, 25, 337), + Trans(81, 17, 25, 337), + Trans(81, 18, 25, 337), + Trans(81, 19, 25, 337), + Trans(81, 20, 25, 337), + Trans(81, 21, 25, 337), + Trans(81, 22, 25, 337), + Trans(81, 23, 25, 337), + Trans(81, 24, 25, 337), + Trans(81, 25, 25, 337), + Trans(81, 26, 25, 337), + Trans(81, 28, 25, 337), + Trans(81, 31, 25, 337), + Trans(81, 32, 25, 337), + Trans(81, 33, 25, 337), + Trans(81, 38, 25, 337), + Trans(81, 39, 25, 337), + Trans(81, 40, 25, 337), + Trans(81, 46, 25, 337), + Trans(81, 52, 25, 337), + Trans(81, 97, 25, 337), + Trans(82, 5, 25, 337), + Trans(82, 16, 25, 337), + Trans(82, 17, 25, 337), + Trans(82, 18, 25, 337), + Trans(82, 19, 25, 337), + Trans(82, 20, 25, 337), + Trans(82, 21, 25, 337), + Trans(82, 22, 25, 337), + Trans(82, 23, 25, 337), + Trans(82, 24, 25, 337), + Trans(82, 25, 25, 337), + Trans(82, 26, 25, 337), + Trans(82, 30, 25, 337), + Trans(82, 42, 25, 337), + Trans(82, 46, 25, 337), + Trans(82, 52, 25, 337), + Trans(83, 5, 25, 337), + Trans(83, 16, 25, 337), + Trans(83, 17, 25, 337), + Trans(83, 18, 25, 337), + Trans(83, 19, 25, 337), + Trans(83, 20, 25, 337), + Trans(83, 21, 25, 337), + Trans(83, 22, 25, 337), + Trans(83, 23, 25, 337), + Trans(83, 24, 25, 337), + Trans(83, 25, 25, 337), + Trans(83, 26, 25, 337), + Trans(83, 28, 25, 337), + Trans(83, 30, 25, 337), + Trans(83, 33, 25, 337), + Trans(83, 39, 25, 337), + Trans(83, 40, 25, 337), + Trans(83, 42, 25, 337), + Trans(83, 46, 25, 337), + Trans(83, 52, 25, 337), + Trans(84, 6, 25, 337), + Trans(84, 7, 25, 337), + Trans(84, 8, 25, 337), + Trans(84, 9, 25, 337), + Trans(84, 10, 25, 337), + Trans(84, 11, 25, 337), + Trans(84, 15, 25, 337), + Trans(84, 18, 25, 337), + Trans(84, 24, 25, 337), + Trans(84, 25, 25, 337), + Trans(84, 26, 25, 337), + Trans(84, 27, 25, 337), + Trans(84, 37, 25, 337), + Trans(84, 38, 25, 337), + Trans(84, 40, 25, 337), + Trans(84, 54, 25, 337), + Trans(84, 68, 25, 337), + Trans(84, 74, 25, 337), + Trans(84, 81, 25, 337), + Trans(84, 84, 25, 337), + Trans(84, 87, 25, 337), + Trans(84, 108, 25, 337), + Trans(84, 109, 25, 337), + Trans(85, 12, 25, 337), + Trans(85, 14, 25, 337), + Trans(85, 15, 25, 337), + Trans(85, 16, 25, 337), + Trans(85, 17, 25, 337), + Trans(85, 18, 25, 337), + Trans(85, 19, 25, 337), + Trans(85, 20, 25, 337), + Trans(85, 21, 25, 337), + Trans(85, 22, 25, 337), + Trans(85, 23, 25, 337), + Trans(85, 24, 25, 337), + Trans(85, 25, 25, 337), + Trans(85, 26, 25, 337), + Trans(85, 29, 25, 337), + Trans(85, 30, 25, 337), + Trans(85, 31, 25, 337), + Trans(85, 32, 25, 337), + Trans(85, 33, 25, 337), + Trans(85, 34, 25, 337), + Trans(85, 35, 25, 337), + Trans(85, 38, 25, 337), + Trans(85, 39, 25, 337), + Trans(85, 40, 25, 337), + Trans(85, 41, 25, 337), + Trans(85, 42, 25, 337), + Trans(85, 43, 25, 337), + Trans(85, 44, 25, 337), + Trans(85, 45, 25, 337), + Trans(85, 46, 25, 337), + Trans(85, 52, 25, 337), + Trans(85, 93, 25, 337), + Trans(85, 97, 25, 337), + Trans(86, 5, 25, 337), + Trans(86, 6, 25, 337), + Trans(86, 7, 25, 337), + Trans(86, 8, 25, 337), + Trans(86, 9, 25, 337), + Trans(86, 10, 25, 337), + Trans(86, 11, 25, 337), + Trans(86, 18, 25, 337), + Trans(86, 24, 25, 337), + Trans(86, 25, 25, 337), + Trans(86, 26, 25, 337), + Trans(86, 27, 25, 337), + Trans(86, 35, 25, 337), + Trans(86, 37, 25, 337), + Trans(86, 38, 25, 337), + Trans(86, 40, 25, 337), + Trans(86, 42, 25, 337), + Trans(86, 44, 25, 337), + Trans(86, 50, 25, 337), + Trans(86, 51, 25, 337), + Trans(86, 54, 25, 337), + Trans(86, 55, 25, 337), + Trans(86, 68, 25, 337), + Trans(86, 74, 25, 337), + Trans(86, 79, 25, 337), + Trans(86, 81, 25, 337), + Trans(86, 84, 25, 337), + Trans(86, 87, 25, 337), + Trans(86, 89, 25, 337), + Trans(86, 100, 25, 337), + Trans(86, 101, 25, 337), + Trans(86, 108, 25, 337), + Trans(86, 109, 25, 337), + Trans(87, 5, 25, 337), + Trans(87, 6, 25, 337), + Trans(87, 7, 25, 337), + Trans(87, 8, 25, 337), + Trans(87, 9, 25, 337), + Trans(87, 10, 25, 337), + Trans(87, 11, 25, 337), + Trans(87, 18, 25, 337), + Trans(87, 24, 25, 337), + Trans(87, 25, 25, 337), + Trans(87, 26, 25, 337), + Trans(87, 27, 25, 337), + Trans(87, 29, 25, 337), + Trans(87, 35, 25, 337), + Trans(87, 37, 25, 337), + Trans(87, 38, 25, 337), + Trans(87, 40, 25, 337), + Trans(87, 42, 25, 337), + Trans(87, 47, 25, 337), + Trans(87, 48, 25, 337), + Trans(87, 49, 25, 337), + Trans(87, 54, 25, 337), + Trans(87, 55, 25, 337), + Trans(87, 58, 25, 337), + Trans(87, 62, 25, 337), + Trans(87, 63, 25, 337), + Trans(87, 64, 25, 337), + Trans(87, 67, 25, 337), + Trans(87, 68, 25, 337), + Trans(87, 69, 25, 337), + Trans(87, 71, 25, 337), + Trans(87, 74, 25, 337), + Trans(87, 75, 25, 337), + Trans(87, 78, 25, 337), + Trans(87, 79, 25, 337), + Trans(87, 81, 25, 337), + Trans(87, 82, 25, 337), + Trans(87, 84, 25, 337), + Trans(87, 87, 25, 337), + Trans(87, 94, 25, 337), + Trans(87, 95, 25, 337), + Trans(87, 99, 25, 337), + Trans(87, 103, 25, 337), + Trans(87, 106, 25, 337), + Trans(87, 107, 25, 337), + Trans(87, 108, 25, 337), + Trans(87, 109, 25, 337), + Trans(88, 5, 25, 337), + Trans(88, 6, 25, 337), + Trans(88, 7, 25, 337), + Trans(88, 8, 25, 337), + Trans(88, 9, 25, 337), + Trans(88, 10, 25, 337), + Trans(88, 11, 25, 337), + Trans(88, 18, 25, 337), + Trans(88, 24, 25, 337), + Trans(88, 25, 25, 337), + Trans(88, 26, 25, 337), + Trans(88, 27, 25, 337), + Trans(88, 35, 25, 337), + Trans(88, 37, 25, 337), + Trans(88, 38, 25, 337), + Trans(88, 40, 25, 337), + Trans(88, 44, 25, 337), + Trans(88, 54, 25, 337), + Trans(88, 68, 25, 337), + Trans(88, 74, 25, 337), + Trans(88, 81, 25, 337), + Trans(88, 84, 25, 337), + Trans(88, 87, 25, 337), + Trans(88, 108, 25, 337), + Trans(88, 109, 25, 337), + Trans(89, 5, 25, 337), + Trans(89, 12, 25, 337), + Trans(89, 14, 25, 337), + Trans(89, 16, 25, 337), + Trans(89, 17, 25, 337), + Trans(89, 18, 25, 337), + Trans(89, 19, 25, 337), + Trans(89, 20, 25, 337), + Trans(89, 21, 25, 337), + Trans(89, 22, 25, 337), + Trans(89, 23, 25, 337), + Trans(89, 24, 25, 337), + Trans(89, 25, 25, 337), + Trans(89, 26, 25, 337), + Trans(89, 29, 25, 337), + Trans(89, 30, 25, 337), + Trans(89, 31, 25, 337), + Trans(89, 32, 25, 337), + Trans(89, 35, 25, 337), + Trans(89, 38, 25, 337), + Trans(89, 41, 25, 337), + Trans(89, 42, 25, 337), + Trans(89, 43, 25, 337), + Trans(89, 44, 25, 337), + Trans(89, 45, 25, 337), + Trans(89, 46, 25, 337), + Trans(89, 47, 25, 337), + Trans(89, 48, 25, 337), + Trans(89, 49, 25, 337), + Trans(89, 52, 25, 337), + Trans(89, 56, 25, 337), + Trans(89, 58, 25, 337), + Trans(89, 59, 25, 337), + Trans(89, 62, 25, 337), + Trans(89, 63, 25, 337), + Trans(89, 64, 25, 337), + Trans(89, 68, 25, 337), + Trans(89, 69, 25, 337), + Trans(89, 71, 25, 337), + Trans(89, 75, 25, 337), + Trans(89, 78, 25, 337), + Trans(89, 79, 25, 337), + Trans(89, 82, 25, 337), + Trans(89, 93, 25, 337), + Trans(89, 97, 25, 337), + Trans(89, 99, 25, 337), + Trans(89, 103, 25, 337), + Trans(89, 106, 25, 337), + Trans(89, 107, 25, 337), + Trans(90, 12, 25, 337), + Trans(90, 13, 25, 337), + Trans(90, 14, 25, 337), + Trans(90, 16, 25, 337), + Trans(90, 17, 25, 337), + Trans(90, 18, 25, 337), + Trans(90, 19, 25, 337), + Trans(90, 20, 25, 337), + Trans(90, 21, 25, 337), + Trans(90, 22, 25, 337), + Trans(90, 23, 25, 337), + Trans(90, 24, 25, 337), + Trans(90, 25, 25, 337), + Trans(90, 26, 25, 337), + Trans(90, 29, 25, 337), + Trans(90, 30, 25, 337), + Trans(90, 31, 25, 337), + Trans(90, 32, 25, 337), + Trans(90, 38, 25, 337), + Trans(90, 40, 25, 337), + Trans(90, 41, 25, 337), + Trans(90, 42, 25, 337), + Trans(90, 43, 25, 337), + Trans(90, 44, 25, 337), + Trans(90, 45, 25, 337), + Trans(90, 46, 25, 337), + Trans(90, 52, 25, 337), + Trans(90, 93, 25, 337), + Trans(90, 97, 25, 337), + Trans(91, 5, 25, 337), + Trans(91, 53, 25, 337), + Trans(91, 60, 25, 337), + Trans(91, 61, 25, 337), + Trans(91, 65, 25, 337), + Trans(91, 66, 25, 337), + Trans(91, 80, 25, 337), + Trans(91, 96, 25, 337), + Trans(91, 98, 25, 337), + Trans(91, 102, 25, 337), + Trans(91, 104, 25, 337), + Trans(91, 105, 25, 337), + Trans(91, 108, 25, 337), + Trans(91, 109, 25, 337), + Trans(92, 5, 25, 337), + Trans(92, 35, 25, 337), + Trans(92, 38, 25, 337), + Trans(92, 44, 25, 337), + Trans(92, 109, 25, 337), + Trans(93, 30, 25, 337), + Trans(93, 34, 25, 337), + Trans(93, 38, 25, 337), + Trans(93, 39, 25, 337), + Trans(93, 42, 25, 337), + Trans(93, 44, 25, 337), + Trans(93, 45, 25, 337), + Trans(93, 77, 25, 337), + Trans(94, 5, 25, 337), + Trans(94, 35, 25, 337), + Trans(94, 38, 25, 337), + Trans(94, 42, 25, 337), + Trans(94, 44, 25, 337), + Trans(94, 79, 25, 337), + Trans(94, 89, 25, 337), + Trans(94, 109, 25, 337), + Trans(95, 5, 25, 337), + Trans(95, 35, 25, 337), + Trans(95, 38, 25, 337), + Trans(95, 42, 25, 337), + Trans(95, 54, 25, 337), + Trans(95, 63, 25, 337), + Trans(95, 67, 25, 337), + Trans(95, 68, 25, 337), + Trans(95, 78, 25, 337), + Trans(95, 94, 25, 337), + Trans(95, 95, 25, 337), + Trans(95, 107, 25, 337), + Trans(95, 108, 25, 337), + Trans(95, 109, 25, 337), + Trans(96, 5, 25, 337), + Trans(96, 29, 25, 337), + Trans(96, 30, 25, 337), + Trans(96, 35, 25, 337), + Trans(96, 38, 25, 337), + Trans(96, 42, 25, 337), + Trans(96, 44, 25, 337), + Trans(96, 47, 25, 337), + Trans(96, 48, 25, 337), + Trans(96, 49, 25, 337), + Trans(96, 58, 25, 337), + Trans(96, 59, 25, 337), + Trans(96, 62, 25, 337), + Trans(96, 63, 25, 337), + Trans(96, 64, 25, 337), + Trans(96, 68, 25, 337), + Trans(96, 69, 25, 337), + Trans(96, 71, 25, 337), + Trans(96, 75, 25, 337), + Trans(96, 78, 25, 337), + Trans(96, 79, 25, 337), + Trans(96, 82, 25, 337), + Trans(96, 99, 25, 337), + Trans(96, 103, 25, 337), + Trans(96, 106, 25, 337), + Trans(96, 107, 25, 337), + Trans(97, 5, 25, 337), + Trans(97, 13, 25, 337), + Trans(97, 38, 25, 337), + Trans(97, 40, 25, 337), + Trans(98, 5, 25, 337), + Trans(98, 29, 25, 337), + Trans(98, 35, 25, 337), + Trans(98, 38, 25, 337), + Trans(98, 42, 25, 337), + Trans(98, 47, 25, 337), + Trans(98, 48, 25, 337), + Trans(98, 49, 25, 337), + Trans(98, 54, 25, 337), + Trans(98, 58, 25, 337), + Trans(98, 59, 25, 337), + Trans(98, 62, 25, 337), + Trans(98, 63, 25, 337), + Trans(98, 64, 25, 337), + Trans(98, 67, 25, 337), + Trans(98, 68, 25, 337), + Trans(98, 69, 25, 337), + Trans(98, 71, 25, 337), + Trans(98, 75, 25, 337), + Trans(98, 78, 25, 337), + Trans(98, 79, 25, 337), + Trans(98, 82, 25, 337), + Trans(98, 94, 25, 337), + Trans(98, 95, 25, 337), + Trans(98, 99, 25, 337), + Trans(98, 103, 25, 337), + Trans(98, 106, 25, 337), + Trans(98, 107, 25, 337), + Trans(98, 108, 25, 337), + Trans(98, 109, 25, 337), + Trans(99, 35, 25, 337), + Trans(99, 38, 25, 337), + Trans(99, 44, 25, 337), + Trans(99, 109, 25, 337), + Trans(100, 5, 25, 337), + Trans(100, 35, 25, 337), + Trans(100, 38, 25, 337), + Trans(100, 109, 25, 337), + Trans(101, 5, 25, 337), + Trans(101, 29, 25, 337), + Trans(101, 30, 25, 337), + Trans(101, 44, 25, 337), + Trans(102, 40, 25, 337), + Trans(103, 108, 25, 337), + Trans(103, 109, 25, 337), + Trans(104, 5, 25, 337), + Trans(104, 12, 25, 337), + Trans(104, 14, 25, 337), + Trans(104, 16, 25, 337), + Trans(104, 17, 25, 337), + Trans(104, 18, 25, 337), + Trans(104, 19, 25, 337), + Trans(104, 20, 25, 337), + Trans(104, 21, 25, 337), + Trans(104, 22, 25, 337), + Trans(104, 23, 25, 337), + Trans(104, 24, 25, 337), + Trans(104, 25, 25, 337), + Trans(104, 26, 25, 337), + Trans(104, 28, 25, 337), + Trans(104, 29, 25, 337), + Trans(104, 30, 25, 337), + Trans(104, 31, 25, 337), + Trans(104, 32, 25, 337), + Trans(104, 38, 25, 337), + Trans(104, 41, 25, 337), + Trans(104, 42, 25, 337), + Trans(104, 43, 25, 337), + Trans(104, 44, 25, 337), + Trans(104, 45, 25, 337), + Trans(104, 46, 25, 337), + Trans(104, 52, 25, 337), + Trans(104, 93, 25, 337), + Trans(104, 97, 25, 337), ], k: 3, }, - /* 532 - "Select" */ + /* 536 - "Select" */ LookaheadDFA { - prod0: 462, + prod0: 465, transitions: &[], k: 0, }, - /* 533 - "SelectOperator" */ + /* 537 - "SelectOperator" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 3, 467), - Trans(0, 14, 2, 466), - Trans(0, 29, 1, 465), - Trans(0, 96, 4, 468), + Trans(0, 12, 3, 470), + Trans(0, 14, 2, 469), + Trans(0, 29, 1, 468), + Trans(0, 97, 4, 471), ], k: 1, }, - /* 534 - "SelectOpt" */ + /* 538 - "SelectOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 1, 463), - Trans(0, 14, 1, 463), - Trans(0, 29, 1, 463), - Trans(0, 43, 2, 464), - Trans(0, 96, 1, 463), + Trans(0, 12, 1, 466), + Trans(0, 14, 1, 466), + Trans(0, 29, 1, 466), + Trans(0, 43, 2, 467), + Trans(0, 97, 1, 466), ], k: 1, }, - /* 535 - "Semicolon" */ + /* 539 - "Semicolon" */ LookaheadDFA { - prod0: 252, + prod0: 254, transitions: &[], k: 0, }, - /* 536 - "SemicolonTerm" */ + /* 540 - "SemicolonTerm" */ LookaheadDFA { prod0: 40, transitions: &[], k: 0, }, - /* 537 - "SemicolonToken" */ + /* 541 - "SemicolonToken" */ LookaheadDFA { - prod0: 148, + prod0: 149, transitions: &[], k: 0, }, - /* 538 - "Signed" */ + /* 542 - "Signed" */ LookaheadDFA { - prod0: 302, + prod0: 305, transitions: &[], k: 0, }, - /* 539 - "SignedTerm" */ + /* 543 - "SignedTerm" */ LookaheadDFA { - prod0: 90, + prod0: 91, transitions: &[], k: 0, }, - /* 540 - "SignedToken" */ + /* 544 - "SignedToken" */ LookaheadDFA { - prod0: 198, + prod0: 200, transitions: &[], k: 0, }, - /* 541 - "Star" */ + /* 545 - "Star" */ LookaheadDFA { - prod0: 253, + prod0: 255, transitions: &[], k: 0, }, - /* 542 - "StarTerm" */ + /* 546 - "StarTerm" */ LookaheadDFA { prod0: 41, transitions: &[], k: 0, }, - /* 543 - "StarToken" */ + /* 547 - "StarToken" */ LookaheadDFA { - prod0: 149, + prod0: 150, transitions: &[], k: 0, }, - /* 544 - "Start" */ + /* 548 - "Start" */ LookaheadDFA { - prod0: 212, + prod0: 214, transitions: &[], k: 0, }, - /* 545 - "StartToken" */ + /* 549 - "StartToken" */ LookaheadDFA { - prod0: 108, + prod0: 109, transitions: &[], k: 0, }, - /* 546 - "Statement" */ + /* 550 - "Statement" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 54, 8, 510), - Trans(0, 63, 7, 509), - Trans(0, 67, 4, 506), - Trans(0, 68, 3, 505), - Trans(0, 77, 1, 503), - Trans(0, 93, 5, 507), - Trans(0, 94, 6, 508), - Trans(0, 107, 2, 504), - Trans(0, 108, 2, 504), + Trans(0, 54, 8, 513), + Trans(0, 63, 7, 512), + Trans(0, 67, 4, 509), + Trans(0, 68, 3, 508), + Trans(0, 78, 1, 506), + Trans(0, 94, 5, 510), + Trans(0, 95, 6, 511), + Trans(0, 108, 2, 507), + Trans(0, 109, 2, 507), ], k: 1, }, - /* 547 - "Step" */ + /* 551 - "Step" */ LookaheadDFA { - prod0: 303, + prod0: 306, transitions: &[], k: 0, }, - /* 548 - "StepTerm" */ + /* 552 - "StepTerm" */ LookaheadDFA { - prod0: 91, + prod0: 92, transitions: &[], k: 0, }, - /* 549 - "StepToken" */ + /* 553 - "StepToken" */ LookaheadDFA { - prod0: 199, + prod0: 201, transitions: &[], k: 0, }, - /* 550 - "Strin" */ + /* 554 - "Strin" */ LookaheadDFA { - prod0: 304, + prod0: 307, transitions: &[], k: 0, }, - /* 551 - "StringLiteral" */ + /* 555 - "StringLiteral" */ LookaheadDFA { - prod0: 213, + prod0: 215, transitions: &[], k: 0, }, - /* 552 - "StringLiteralTerm" */ + /* 556 - "StringLiteralTerm" */ LookaheadDFA { prod0: 1, transitions: &[], k: 0, }, - /* 553 - "StringLiteralToken" */ + /* 557 - "StringLiteralToken" */ LookaheadDFA { - prod0: 109, + prod0: 110, transitions: &[], k: 0, }, - /* 554 - "StringTerm" */ + /* 558 - "StringTerm" */ LookaheadDFA { - prod0: 92, + prod0: 93, transitions: &[], k: 0, }, - /* 555 - "StringToken" */ + /* 559 - "StringToken" */ LookaheadDFA { - prod0: 200, + prod0: 202, transitions: &[], k: 0, }, - /* 556 - "Struct" */ + /* 560 - "Struct" */ LookaheadDFA { - prod0: 305, + prod0: 308, transitions: &[], k: 0, }, - /* 557 - "StructTerm" */ + /* 561 - "StructTerm" */ LookaheadDFA { - prod0: 93, + prod0: 94, transitions: &[], k: 0, }, - /* 558 - "StructToken" */ + /* 562 - "StructToken" */ LookaheadDFA { - prod0: 201, + prod0: 203, transitions: &[], k: 0, }, - /* 559 - "StructUnion" */ + /* 563 - "StructUnion" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 98, 1, 622), Trans(0, 105, 2, 623)], + transitions: &[Trans(0, 99, 1, 625), Trans(0, 106, 2, 626)], k: 1, }, - /* 560 - "StructUnionDeclaration" */ + /* 564 - "StructUnionDeclaration" */ LookaheadDFA { - prod0: 624, + prod0: 627, transitions: &[], k: 0, }, - /* 561 - "StructUnionGroup" */ + /* 565 - "StructUnionGroup" */ LookaheadDFA { - prod0: 630, + prod0: 633, transitions: &[], k: 0, }, - /* 562 - "StructUnionGroupGroup" */ + /* 566 - "StructUnionGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 631), Trans(0, 108, 2, 632)], + transitions: &[Trans(0, 38, 1, 634), Trans(0, 109, 2, 635)], k: 1, }, - /* 563 - "StructUnionGroupList" */ + /* 567 - "StructUnionGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 633), - Trans(0, 38, 2, 634), - Trans(0, 108, 2, 634), + Trans(0, 35, 1, 636), + Trans(0, 38, 2, 637), + Trans(0, 109, 2, 637), ], k: 1, }, - /* 564 - "StructUnionItem" */ + /* 568 - "StructUnionItem" */ LookaheadDFA { - prod0: 635, + prod0: 638, transitions: &[], k: 0, }, - /* 565 - "StructUnionList" */ + /* 569 - "StructUnionList" */ LookaheadDFA { - prod0: 625, + prod0: 628, transitions: &[], k: 0, }, - /* 566 - "StructUnionListList" */ + /* 570 - "StructUnionListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -13873,19 +13932,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 35, 2, -1), Trans(1, 38, 4, -1), Trans(1, 42, 20, -1), - Trans(1, 108, 5, -1), - Trans(2, 5, 3, 626), - Trans(2, 39, 3, 626), - Trans(4, 5, 3, 626), - Trans(4, 35, 3, 626), - Trans(4, 38, 3, 626), - Trans(4, 108, 3, 626), - Trans(5, 5, 3, 626), - Trans(5, 29, 3, 626), - Trans(6, 35, 3, 626), - Trans(6, 38, 3, 626), - Trans(6, 42, 19, 627), - Trans(6, 108, 3, 626), + Trans(1, 109, 5, -1), + Trans(2, 5, 3, 629), + Trans(2, 39, 3, 629), + Trans(4, 5, 3, 629), + Trans(4, 35, 3, 629), + Trans(4, 38, 3, 629), + Trans(4, 109, 3, 629), + Trans(5, 5, 3, 629), + Trans(5, 29, 3, 629), + Trans(6, 35, 3, 629), + Trans(6, 38, 3, 629), + Trans(6, 42, 19, 630), + Trans(6, 109, 3, 629), Trans(7, 5, 8, -1), Trans(7, 29, 9, -1), Trans(7, 30, 10, -1), @@ -13902,513 +13961,515 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(7, 64, 9, -1), Trans(7, 68, 17, -1), Trans(7, 69, 18, -1), - Trans(7, 70, 14, -1), - Trans(7, 74, 9, -1), - Trans(7, 77, 9, -1), + Trans(7, 71, 14, -1), + Trans(7, 75, 9, -1), Trans(7, 78, 9, -1), - Trans(7, 81, 9, -1), - Trans(7, 98, 9, -1), - Trans(7, 102, 9, -1), - Trans(7, 105, 9, -1), + Trans(7, 79, 9, -1), + Trans(7, 82, 9, -1), + Trans(7, 99, 9, -1), + Trans(7, 103, 9, -1), Trans(7, 106, 9, -1), - Trans(8, 29, 19, 627), - Trans(8, 30, 19, 627), - Trans(8, 35, 19, 627), - Trans(8, 38, 19, 627), - Trans(8, 42, 19, 627), - Trans(8, 47, 19, 627), - Trans(8, 48, 19, 627), - Trans(8, 49, 19, 627), - Trans(8, 58, 19, 627), - Trans(8, 59, 19, 627), - Trans(8, 62, 19, 627), - Trans(8, 63, 19, 627), - Trans(8, 64, 19, 627), - Trans(8, 68, 19, 627), - Trans(8, 69, 19, 627), - Trans(8, 70, 19, 627), - Trans(8, 74, 19, 627), - Trans(8, 77, 19, 627), - Trans(8, 78, 19, 627), - Trans(8, 81, 19, 627), - Trans(8, 98, 19, 627), - Trans(8, 102, 19, 627), - Trans(8, 105, 19, 627), - Trans(8, 106, 19, 627), - Trans(9, 5, 19, 627), - Trans(9, 108, 19, 627), - Trans(10, 5, 19, 627), - Trans(10, 35, 19, 627), - Trans(10, 38, 19, 627), - Trans(10, 42, 19, 627), - Trans(10, 108, 19, 627), - Trans(11, 5, 19, 627), - Trans(11, 39, 19, 627), - Trans(12, 5, 19, 627), - Trans(12, 29, 19, 627), - Trans(12, 35, 19, 627), - Trans(12, 38, 19, 627), - Trans(12, 42, 19, 627), - Trans(12, 47, 19, 627), - Trans(12, 48, 19, 627), - Trans(12, 49, 19, 627), - Trans(12, 58, 19, 627), - Trans(12, 59, 19, 627), - Trans(12, 62, 19, 627), - Trans(12, 63, 19, 627), - Trans(12, 64, 19, 627), - Trans(12, 68, 19, 627), - Trans(12, 69, 19, 627), - Trans(12, 70, 19, 627), - Trans(12, 74, 19, 627), - Trans(12, 77, 19, 627), - Trans(12, 78, 19, 627), - Trans(12, 81, 19, 627), - Trans(12, 98, 19, 627), - Trans(12, 102, 19, 627), - Trans(12, 105, 19, 627), - Trans(12, 106, 19, 627), - Trans(13, 0, 19, 627), - Trans(13, 5, 19, 627), - Trans(13, 29, 19, 627), - Trans(13, 30, 19, 627), - Trans(13, 35, 19, 627), - Trans(13, 38, 19, 627), - Trans(13, 42, 19, 627), - Trans(13, 47, 19, 627), - Trans(13, 48, 19, 627), - Trans(13, 49, 19, 627), - Trans(13, 56, 19, 627), - Trans(13, 57, 19, 627), - Trans(13, 58, 19, 627), - Trans(13, 59, 19, 627), - Trans(13, 62, 19, 627), - Trans(13, 63, 19, 627), - Trans(13, 64, 19, 627), - Trans(13, 68, 19, 627), - Trans(13, 69, 19, 627), - Trans(13, 70, 19, 627), - Trans(13, 74, 19, 627), - Trans(13, 75, 19, 627), - Trans(13, 77, 19, 627), - Trans(13, 78, 19, 627), - Trans(13, 81, 19, 627), - Trans(13, 82, 19, 627), - Trans(13, 87, 19, 627), - Trans(13, 90, 19, 627), - Trans(13, 98, 19, 627), - Trans(13, 102, 19, 627), - Trans(13, 105, 19, 627), - Trans(13, 106, 19, 627), - Trans(14, 5, 19, 627), - Trans(14, 38, 19, 627), - Trans(15, 5, 19, 627), - Trans(15, 40, 19, 627), - Trans(16, 5, 19, 627), - Trans(16, 46, 19, 627), - Trans(16, 107, 19, 627), - Trans(16, 108, 19, 627), - Trans(17, 5, 19, 627), - Trans(17, 6, 19, 627), - Trans(17, 7, 19, 627), - Trans(17, 8, 19, 627), - Trans(17, 9, 19, 627), - Trans(17, 10, 19, 627), - Trans(17, 11, 19, 627), - Trans(17, 18, 19, 627), - Trans(17, 24, 19, 627), - Trans(17, 25, 19, 627), - Trans(17, 26, 19, 627), - Trans(17, 27, 19, 627), - Trans(17, 37, 19, 627), - Trans(17, 38, 19, 627), - Trans(17, 40, 19, 627), - Trans(17, 54, 19, 627), - Trans(17, 68, 19, 627), - Trans(17, 73, 19, 627), - Trans(17, 80, 19, 627), - Trans(17, 83, 19, 627), - Trans(17, 86, 19, 627), - Trans(17, 107, 19, 627), - Trans(17, 108, 19, 627), - Trans(18, 5, 19, 627), - Trans(18, 107, 19, 627), - Trans(18, 108, 19, 627), - Trans(20, 5, 19, 627), - Trans(20, 29, 19, 627), - Trans(20, 30, 19, 627), - Trans(20, 35, 19, 627), - Trans(20, 38, 19, 627), - Trans(20, 42, 19, 627), - Trans(20, 47, 19, 627), - Trans(20, 48, 19, 627), - Trans(20, 49, 19, 627), - Trans(20, 58, 19, 627), - Trans(20, 59, 19, 627), - Trans(20, 62, 19, 627), - Trans(20, 63, 19, 627), - Trans(20, 64, 19, 627), - Trans(20, 68, 19, 627), - Trans(20, 69, 19, 627), - Trans(20, 70, 19, 627), - Trans(20, 74, 19, 627), - Trans(20, 77, 19, 627), - Trans(20, 78, 19, 627), - Trans(20, 81, 19, 627), - Trans(20, 98, 19, 627), - Trans(20, 102, 19, 627), - Trans(20, 105, 19, 627), - Trans(20, 106, 19, 627), + Trans(7, 107, 9, -1), + Trans(8, 29, 19, 630), + Trans(8, 30, 19, 630), + Trans(8, 35, 19, 630), + Trans(8, 38, 19, 630), + Trans(8, 42, 19, 630), + Trans(8, 47, 19, 630), + Trans(8, 48, 19, 630), + Trans(8, 49, 19, 630), + Trans(8, 58, 19, 630), + Trans(8, 59, 19, 630), + Trans(8, 62, 19, 630), + Trans(8, 63, 19, 630), + Trans(8, 64, 19, 630), + Trans(8, 68, 19, 630), + Trans(8, 69, 19, 630), + Trans(8, 71, 19, 630), + Trans(8, 75, 19, 630), + Trans(8, 78, 19, 630), + Trans(8, 79, 19, 630), + Trans(8, 82, 19, 630), + Trans(8, 99, 19, 630), + Trans(8, 103, 19, 630), + Trans(8, 106, 19, 630), + Trans(8, 107, 19, 630), + Trans(9, 5, 19, 630), + Trans(9, 109, 19, 630), + Trans(10, 5, 19, 630), + Trans(10, 35, 19, 630), + Trans(10, 38, 19, 630), + Trans(10, 42, 19, 630), + Trans(10, 109, 19, 630), + Trans(11, 5, 19, 630), + Trans(11, 39, 19, 630), + Trans(12, 5, 19, 630), + Trans(12, 29, 19, 630), + Trans(12, 35, 19, 630), + Trans(12, 38, 19, 630), + Trans(12, 42, 19, 630), + Trans(12, 47, 19, 630), + Trans(12, 48, 19, 630), + Trans(12, 49, 19, 630), + Trans(12, 58, 19, 630), + Trans(12, 59, 19, 630), + Trans(12, 62, 19, 630), + Trans(12, 63, 19, 630), + Trans(12, 64, 19, 630), + Trans(12, 68, 19, 630), + Trans(12, 69, 19, 630), + Trans(12, 71, 19, 630), + Trans(12, 75, 19, 630), + Trans(12, 78, 19, 630), + Trans(12, 79, 19, 630), + Trans(12, 82, 19, 630), + Trans(12, 99, 19, 630), + Trans(12, 103, 19, 630), + Trans(12, 106, 19, 630), + Trans(12, 107, 19, 630), + Trans(13, 0, 19, 630), + Trans(13, 5, 19, 630), + Trans(13, 29, 19, 630), + Trans(13, 30, 19, 630), + Trans(13, 35, 19, 630), + Trans(13, 38, 19, 630), + Trans(13, 42, 19, 630), + Trans(13, 47, 19, 630), + Trans(13, 48, 19, 630), + Trans(13, 49, 19, 630), + Trans(13, 56, 19, 630), + Trans(13, 57, 19, 630), + Trans(13, 58, 19, 630), + Trans(13, 59, 19, 630), + Trans(13, 62, 19, 630), + Trans(13, 63, 19, 630), + Trans(13, 64, 19, 630), + Trans(13, 68, 19, 630), + Trans(13, 69, 19, 630), + Trans(13, 70, 19, 630), + Trans(13, 71, 19, 630), + Trans(13, 75, 19, 630), + Trans(13, 76, 19, 630), + Trans(13, 78, 19, 630), + Trans(13, 79, 19, 630), + Trans(13, 82, 19, 630), + Trans(13, 83, 19, 630), + Trans(13, 88, 19, 630), + Trans(13, 91, 19, 630), + Trans(13, 99, 19, 630), + Trans(13, 103, 19, 630), + Trans(13, 106, 19, 630), + Trans(13, 107, 19, 630), + Trans(14, 5, 19, 630), + Trans(14, 38, 19, 630), + Trans(15, 5, 19, 630), + Trans(15, 40, 19, 630), + Trans(16, 5, 19, 630), + Trans(16, 46, 19, 630), + Trans(16, 108, 19, 630), + Trans(16, 109, 19, 630), + Trans(17, 5, 19, 630), + Trans(17, 6, 19, 630), + Trans(17, 7, 19, 630), + Trans(17, 8, 19, 630), + Trans(17, 9, 19, 630), + Trans(17, 10, 19, 630), + Trans(17, 11, 19, 630), + Trans(17, 18, 19, 630), + Trans(17, 24, 19, 630), + Trans(17, 25, 19, 630), + Trans(17, 26, 19, 630), + Trans(17, 27, 19, 630), + Trans(17, 37, 19, 630), + Trans(17, 38, 19, 630), + Trans(17, 40, 19, 630), + Trans(17, 54, 19, 630), + Trans(17, 68, 19, 630), + Trans(17, 74, 19, 630), + Trans(17, 81, 19, 630), + Trans(17, 84, 19, 630), + Trans(17, 87, 19, 630), + Trans(17, 108, 19, 630), + Trans(17, 109, 19, 630), + Trans(18, 5, 19, 630), + Trans(18, 108, 19, 630), + Trans(18, 109, 19, 630), + Trans(20, 5, 19, 630), + Trans(20, 29, 19, 630), + Trans(20, 30, 19, 630), + Trans(20, 35, 19, 630), + Trans(20, 38, 19, 630), + Trans(20, 42, 19, 630), + Trans(20, 47, 19, 630), + Trans(20, 48, 19, 630), + Trans(20, 49, 19, 630), + Trans(20, 58, 19, 630), + Trans(20, 59, 19, 630), + Trans(20, 62, 19, 630), + Trans(20, 63, 19, 630), + Trans(20, 64, 19, 630), + Trans(20, 68, 19, 630), + Trans(20, 69, 19, 630), + Trans(20, 71, 19, 630), + Trans(20, 75, 19, 630), + Trans(20, 78, 19, 630), + Trans(20, 79, 19, 630), + Trans(20, 82, 19, 630), + Trans(20, 99, 19, 630), + Trans(20, 103, 19, 630), + Trans(20, 106, 19, 630), + Trans(20, 107, 19, 630), ], k: 3, }, - /* 567 - "StructUnionListOpt" */ + /* 571 - "StructUnionListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 628), Trans(0, 42, 2, 629)], + transitions: &[Trans(0, 30, 1, 631), Trans(0, 42, 2, 632)], k: 1, }, - /* 568 - "SyncHigh" */ + /* 572 - "SyncHigh" */ LookaheadDFA { - prod0: 306, + prod0: 309, transitions: &[], k: 0, }, - /* 569 - "SyncHighTerm" */ + /* 573 - "SyncHighTerm" */ LookaheadDFA { - prod0: 94, + prod0: 95, transitions: &[], k: 0, }, - /* 570 - "SyncHighToken" */ + /* 574 - "SyncHighToken" */ LookaheadDFA { - prod0: 202, + prod0: 204, transitions: &[], k: 0, }, - /* 571 - "SyncLow" */ + /* 575 - "SyncLow" */ LookaheadDFA { - prod0: 307, + prod0: 310, transitions: &[], k: 0, }, - /* 572 - "SyncLowTerm" */ + /* 576 - "SyncLowTerm" */ LookaheadDFA { - prod0: 95, + prod0: 96, transitions: &[], k: 0, }, - /* 573 - "SyncLowToken" */ + /* 577 - "SyncLowToken" */ LookaheadDFA { - prod0: 203, + prod0: 205, transitions: &[], k: 0, }, - /* 574 - "Tri" */ + /* 578 - "Tri" */ LookaheadDFA { - prod0: 308, + prod0: 311, transitions: &[], k: 0, }, - /* 575 - "TriTerm" */ + /* 579 - "TriTerm" */ LookaheadDFA { - prod0: 96, + prod0: 97, transitions: &[], k: 0, }, - /* 576 - "TriToken" */ + /* 580 - "TriToken" */ LookaheadDFA { - prod0: 204, + prod0: 206, transitions: &[], k: 0, }, - /* 577 - "Type" */ + /* 581 - "Type" */ LookaheadDFA { - prod0: 309, + prod0: 312, transitions: &[], k: 0, }, - /* 578 - "TypeDefDeclaration" */ + /* 582 - "TypeDefDeclaration" */ LookaheadDFA { - prod0: 574, + prod0: 577, transitions: &[], k: 0, }, - /* 579 - "TypeExpression" */ + /* 583 - "TypeExpression" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 53, 1, 452), - Trans(0, 60, 1, 452), - Trans(0, 61, 1, 452), - Trans(0, 65, 1, 452), - Trans(0, 66, 1, 452), - Trans(0, 79, 1, 452), - Trans(0, 95, 1, 452), - Trans(0, 97, 1, 452), - Trans(0, 101, 1, 452), - Trans(0, 102, 2, 453), - Trans(0, 103, 1, 452), - Trans(0, 104, 1, 452), - Trans(0, 107, 1, 452), - Trans(0, 108, 1, 452), + Trans(0, 53, 1, 455), + Trans(0, 60, 1, 455), + Trans(0, 61, 1, 455), + Trans(0, 65, 1, 455), + Trans(0, 66, 1, 455), + Trans(0, 80, 1, 455), + Trans(0, 96, 1, 455), + Trans(0, 98, 1, 455), + Trans(0, 102, 1, 455), + Trans(0, 103, 2, 456), + Trans(0, 104, 1, 455), + Trans(0, 105, 1, 455), + Trans(0, 108, 1, 455), + Trans(0, 109, 1, 455), ], k: 1, }, - /* 580 - "TypeModifier" */ + /* 584 - "TypeModifier" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 95, 2, 494), Trans(0, 101, 1, 493)], + transitions: &[Trans(0, 96, 2, 497), Trans(0, 102, 1, 496)], k: 1, }, - /* 581 - "TypeTerm" */ + /* 585 - "TypeTerm" */ LookaheadDFA { - prod0: 97, + prod0: 98, transitions: &[], k: 0, }, - /* 582 - "TypeToken" */ + /* 586 - "TypeToken" */ LookaheadDFA { - prod0: 205, + prod0: 207, transitions: &[], k: 0, }, - /* 583 - "U32" */ + /* 587 - "U32" */ LookaheadDFA { - prod0: 310, + prod0: 313, transitions: &[], k: 0, }, - /* 584 - "U32Term" */ + /* 588 - "U32Term" */ LookaheadDFA { - prod0: 98, + prod0: 99, transitions: &[], k: 0, }, - /* 585 - "U32Token" */ + /* 589 - "U32Token" */ LookaheadDFA { - prod0: 206, + prod0: 208, transitions: &[], k: 0, }, - /* 586 - "U64" */ + /* 590 - "U64" */ LookaheadDFA { - prod0: 311, + prod0: 314, transitions: &[], k: 0, }, - /* 587 - "U64Term" */ + /* 591 - "U64Term" */ LookaheadDFA { - prod0: 99, + prod0: 100, transitions: &[], k: 0, }, - /* 588 - "U64Token" */ + /* 592 - "U64Token" */ LookaheadDFA { - prod0: 207, + prod0: 209, transitions: &[], k: 0, }, - /* 589 - "UnaryOperator" */ + /* 593 - "UnaryOperator" */ LookaheadDFA { - prod0: 231, + prod0: 233, transitions: &[], k: 0, }, - /* 590 - "UnaryOperatorTerm" */ + /* 594 - "UnaryOperatorTerm" */ LookaheadDFA { prod0: 22, transitions: &[], k: 0, }, - /* 591 - "UnaryOperatorToken" */ + /* 595 - "UnaryOperatorToken" */ LookaheadDFA { - prod0: 127, + prod0: 128, transitions: &[], k: 0, }, - /* 592 - "Union" */ + /* 596 - "Union" */ LookaheadDFA { - prod0: 312, + prod0: 315, transitions: &[], k: 0, }, - /* 593 - "UnionTerm" */ + /* 597 - "UnionTerm" */ LookaheadDFA { - prod0: 100, + prod0: 101, transitions: &[], k: 0, }, - /* 594 - "UnionToken" */ + /* 598 - "UnionToken" */ LookaheadDFA { - prod0: 208, + prod0: 210, transitions: &[], k: 0, }, - /* 595 - "Var" */ + /* 599 - "Var" */ LookaheadDFA { - prod0: 313, + prod0: 316, transitions: &[], k: 0, }, - /* 596 - "VarDeclaration" */ + /* 600 - "VarDeclaration" */ LookaheadDFA { - prod0: 570, + prod0: 573, transitions: &[], k: 0, }, - /* 597 - "VarTerm" */ + /* 601 - "VarTerm" */ LookaheadDFA { - prod0: 101, + prod0: 102, transitions: &[], k: 0, }, - /* 598 - "VarToken" */ + /* 602 - "VarToken" */ LookaheadDFA { - prod0: 209, + prod0: 211, transitions: &[], k: 0, }, - /* 599 - "VariableType" */ + /* 603 - "VariableType" */ LookaheadDFA { - prod0: 487, + prod0: 490, transitions: &[], k: 0, }, - /* 600 - "VariableTypeGroup" */ + /* 604 - "VariableTypeGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 53, 2, 489), - Trans(0, 79, 1, 488), - Trans(0, 107, 3, 490), - Trans(0, 108, 3, 490), + Trans(0, 53, 2, 492), + Trans(0, 80, 1, 491), + Trans(0, 108, 3, 493), + Trans(0, 109, 3, 493), ], k: 1, }, - /* 601 - "VariableTypeOpt" */ + /* 605 - "VariableTypeOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 492), - Trans(0, 34, 2, 492), - Trans(0, 36, 1, 491), - Trans(0, 38, 2, 492), - Trans(0, 39, 2, 492), - Trans(0, 42, 2, 492), - Trans(0, 44, 2, 492), - Trans(0, 45, 2, 492), - Trans(0, 76, 2, 492), + Trans(0, 30, 2, 495), + Trans(0, 34, 2, 495), + Trans(0, 36, 1, 494), + Trans(0, 38, 2, 495), + Trans(0, 39, 2, 495), + Trans(0, 42, 2, 495), + Trans(0, 44, 2, 495), + Trans(0, 45, 2, 495), + Trans(0, 77, 2, 495), ], k: 1, }, - /* 602 - "Veryl" */ + /* 606 - "Veryl" */ LookaheadDFA { - prod0: 876, + prod0: 881, transitions: &[], k: 0, }, - /* 603 - "VerylList" */ + /* 607 - "VerylList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 0, 2, 878), - Trans(0, 35, 1, 877), - Trans(0, 38, 1, 877), - Trans(0, 57, 1, 877), - Trans(0, 69, 1, 877), - Trans(0, 75, 1, 877), - Trans(0, 82, 1, 877), - Trans(0, 87, 1, 877), - Trans(0, 90, 1, 877), + Trans(0, 0, 2, 883), + Trans(0, 35, 1, 882), + Trans(0, 38, 1, 882), + Trans(0, 57, 1, 882), + Trans(0, 69, 1, 882), + Trans(0, 70, 1, 882), + Trans(0, 76, 1, 882), + Trans(0, 83, 1, 882), + Trans(0, 88, 1, 882), + Trans(0, 91, 1, 882), ], k: 1, }, - /* 604 - "Width" */ + /* 608 - "Width" */ LookaheadDFA { - prod0: 469, + prod0: 472, transitions: &[], k: 0, }, - /* 605 - "WidthList" */ + /* 609 - "WidthList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 470), Trans(0, 41, 2, 471)], + transitions: &[Trans(0, 30, 1, 473), Trans(0, 41, 2, 474)], k: 1, }, - /* 606 - "WithParameter" */ + /* 610 - "WithParameter" */ LookaheadDFA { - prod0: 680, + prod0: 683, transitions: &[], k: 0, }, - /* 607 - "WithParameterGroup" */ + /* 611 - "WithParameterGroup" */ LookaheadDFA { - prod0: 688, + prod0: 691, transitions: &[], k: 0, }, - /* 608 - "WithParameterGroupGroup" */ + /* 612 - "WithParameterGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 38, 1, 689), - Trans(0, 78, 2, 690), - Trans(0, 88, 2, 690), + Trans(0, 38, 1, 692), + Trans(0, 79, 2, 693), + Trans(0, 89, 2, 693), ], k: 1, }, - /* 609 - "WithParameterGroupList" */ + /* 613 - "WithParameterGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 691), - Trans(0, 38, 2, 692), - Trans(0, 78, 2, 692), - Trans(0, 88, 2, 692), + Trans(0, 35, 1, 694), + Trans(0, 38, 2, 695), + Trans(0, 79, 2, 695), + Trans(0, 89, 2, 695), ], k: 1, }, - /* 610 - "WithParameterItem" */ + /* 614 - "WithParameterItem" */ LookaheadDFA { - prod0: 693, + prod0: 696, transitions: &[], k: 0, }, - /* 611 - "WithParameterItemGroup" */ + /* 615 - "WithParameterItemGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 78, 2, 697), Trans(0, 88, 1, 696)], + transitions: &[Trans(0, 79, 2, 700), Trans(0, 89, 1, 699)], k: 1, }, - /* 612 - "WithParameterItemGroup0" */ + /* 616 - "WithParameterItemGroup0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 53, 1, 694), - Trans(0, 60, 1, 694), - Trans(0, 61, 1, 694), - Trans(0, 65, 1, 694), - Trans(0, 66, 1, 694), - Trans(0, 79, 1, 694), - Trans(0, 95, 1, 694), - Trans(0, 97, 1, 694), - Trans(0, 101, 1, 694), - Trans(0, 102, 2, 695), - Trans(0, 103, 1, 694), - Trans(0, 104, 1, 694), - Trans(0, 107, 1, 694), - Trans(0, 108, 1, 694), + Trans(0, 53, 1, 697), + Trans(0, 60, 1, 697), + Trans(0, 61, 1, 697), + Trans(0, 65, 1, 697), + Trans(0, 66, 1, 697), + Trans(0, 80, 1, 697), + Trans(0, 96, 1, 697), + Trans(0, 98, 1, 697), + Trans(0, 102, 1, 697), + Trans(0, 103, 2, 698), + Trans(0, 104, 1, 697), + Trans(0, 105, 1, 697), + Trans(0, 108, 1, 697), + Trans(0, 109, 1, 697), ], k: 1, }, - /* 613 - "WithParameterList" */ + /* 617 - "WithParameterList" */ LookaheadDFA { - prod0: 683, + prod0: 686, transitions: &[], k: 0, }, - /* 614 - "WithParameterListList" */ + /* 618 - "WithParameterListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -14420,23 +14481,23 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(1, 38, 4, -1), Trans(1, 42, 16, -1), Trans(1, 44, 17, -1), - Trans(1, 78, 5, -1), - Trans(1, 88, 5, -1), - Trans(2, 5, 3, 684), - Trans(2, 39, 3, 684), - Trans(4, 5, 3, 684), - Trans(4, 35, 3, 684), - Trans(4, 38, 3, 684), - Trans(4, 78, 3, 684), - Trans(4, 88, 3, 684), - Trans(5, 5, 3, 684), - Trans(5, 108, 3, 684), - Trans(6, 35, 3, 684), - Trans(6, 38, 3, 684), - Trans(6, 42, 13, 685), - Trans(6, 44, 13, 685), - Trans(6, 78, 3, 684), - Trans(6, 88, 3, 684), + Trans(1, 79, 5, -1), + Trans(1, 89, 5, -1), + Trans(2, 5, 3, 687), + Trans(2, 39, 3, 687), + Trans(4, 5, 3, 687), + Trans(4, 35, 3, 687), + Trans(4, 38, 3, 687), + Trans(4, 79, 3, 687), + Trans(4, 89, 3, 687), + Trans(5, 5, 3, 687), + Trans(5, 109, 3, 687), + Trans(6, 35, 3, 687), + Trans(6, 38, 3, 687), + Trans(6, 42, 13, 688), + Trans(6, 44, 13, 688), + Trans(6, 79, 3, 687), + Trans(6, 89, 3, 687), Trans(7, 5, 14, -1), Trans(7, 30, 15, -1), Trans(7, 42, 16, -1), @@ -14445,103 +14506,103 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 617] = &[ Trans(8, 13, 10, -1), Trans(8, 38, 11, -1), Trans(8, 40, 12, -1), - Trans(9, 13, 13, 685), - Trans(9, 38, 13, 685), - Trans(9, 40, 13, 685), - Trans(10, 5, 13, 685), - Trans(10, 53, 13, 685), - Trans(10, 60, 13, 685), - Trans(10, 61, 13, 685), - Trans(10, 65, 13, 685), - Trans(10, 66, 13, 685), - Trans(10, 79, 13, 685), - Trans(10, 95, 13, 685), - Trans(10, 97, 13, 685), - Trans(10, 101, 13, 685), - Trans(10, 103, 13, 685), - Trans(10, 104, 13, 685), - Trans(10, 107, 13, 685), - Trans(10, 108, 13, 685), - Trans(11, 5, 13, 685), - Trans(11, 29, 13, 685), - Trans(11, 35, 13, 685), - Trans(11, 38, 13, 685), - Trans(11, 42, 13, 685), - Trans(11, 47, 13, 685), - Trans(11, 48, 13, 685), - Trans(11, 49, 13, 685), - Trans(11, 54, 13, 685), - Trans(11, 58, 13, 685), - Trans(11, 62, 13, 685), - Trans(11, 63, 13, 685), - Trans(11, 64, 13, 685), - Trans(11, 67, 13, 685), - Trans(11, 68, 13, 685), - Trans(11, 69, 13, 685), - Trans(11, 70, 13, 685), - Trans(11, 74, 13, 685), - Trans(11, 77, 13, 685), - Trans(11, 78, 13, 685), - Trans(11, 81, 13, 685), - Trans(11, 93, 13, 685), - Trans(11, 94, 13, 685), - Trans(11, 98, 13, 685), - Trans(11, 102, 13, 685), - Trans(11, 105, 13, 685), - Trans(11, 106, 13, 685), - Trans(11, 107, 13, 685), - Trans(11, 108, 13, 685), - Trans(12, 5, 13, 685), - Trans(12, 35, 13, 685), - Trans(12, 38, 13, 685), - Trans(12, 44, 13, 685), - Trans(12, 108, 13, 685), - Trans(14, 30, 13, 685), - Trans(14, 42, 13, 685), - Trans(14, 44, 13, 685), - Trans(15, 5, 13, 685), - Trans(15, 35, 13, 685), - Trans(15, 38, 13, 685), - Trans(15, 42, 13, 685), - Trans(15, 44, 13, 685), - Trans(15, 78, 13, 685), - Trans(15, 88, 13, 685), - Trans(16, 5, 13, 685), - Trans(16, 30, 13, 685), - Trans(16, 42, 13, 685), - Trans(16, 44, 13, 685), - Trans(17, 5, 13, 685), - Trans(17, 13, 13, 685), - Trans(17, 38, 13, 685), - Trans(17, 40, 13, 685), + Trans(9, 13, 13, 688), + Trans(9, 38, 13, 688), + Trans(9, 40, 13, 688), + Trans(10, 5, 13, 688), + Trans(10, 53, 13, 688), + Trans(10, 60, 13, 688), + Trans(10, 61, 13, 688), + Trans(10, 65, 13, 688), + Trans(10, 66, 13, 688), + Trans(10, 80, 13, 688), + Trans(10, 96, 13, 688), + Trans(10, 98, 13, 688), + Trans(10, 102, 13, 688), + Trans(10, 104, 13, 688), + Trans(10, 105, 13, 688), + Trans(10, 108, 13, 688), + Trans(10, 109, 13, 688), + Trans(11, 5, 13, 688), + Trans(11, 29, 13, 688), + Trans(11, 35, 13, 688), + Trans(11, 38, 13, 688), + Trans(11, 42, 13, 688), + Trans(11, 47, 13, 688), + Trans(11, 48, 13, 688), + Trans(11, 49, 13, 688), + Trans(11, 54, 13, 688), + Trans(11, 58, 13, 688), + Trans(11, 62, 13, 688), + Trans(11, 63, 13, 688), + Trans(11, 64, 13, 688), + Trans(11, 67, 13, 688), + Trans(11, 68, 13, 688), + Trans(11, 69, 13, 688), + Trans(11, 71, 13, 688), + Trans(11, 75, 13, 688), + Trans(11, 78, 13, 688), + Trans(11, 79, 13, 688), + Trans(11, 82, 13, 688), + Trans(11, 94, 13, 688), + Trans(11, 95, 13, 688), + Trans(11, 99, 13, 688), + Trans(11, 103, 13, 688), + Trans(11, 106, 13, 688), + Trans(11, 107, 13, 688), + Trans(11, 108, 13, 688), + Trans(11, 109, 13, 688), + Trans(12, 5, 13, 688), + Trans(12, 35, 13, 688), + Trans(12, 38, 13, 688), + Trans(12, 44, 13, 688), + Trans(12, 109, 13, 688), + Trans(14, 30, 13, 688), + Trans(14, 42, 13, 688), + Trans(14, 44, 13, 688), + Trans(15, 5, 13, 688), + Trans(15, 35, 13, 688), + Trans(15, 38, 13, 688), + Trans(15, 42, 13, 688), + Trans(15, 44, 13, 688), + Trans(15, 79, 13, 688), + Trans(15, 89, 13, 688), + Trans(16, 5, 13, 688), + Trans(16, 30, 13, 688), + Trans(16, 42, 13, 688), + Trans(16, 44, 13, 688), + Trans(17, 5, 13, 688), + Trans(17, 13, 13, 688), + Trans(17, 38, 13, 688), + Trans(17, 40, 13, 688), ], k: 3, }, - /* 615 - "WithParameterListOpt" */ + /* 619 - "WithParameterListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 686), - Trans(0, 42, 2, 687), - Trans(0, 44, 2, 687), + Trans(0, 30, 1, 689), + Trans(0, 42, 2, 690), + Trans(0, 44, 2, 690), ], k: 1, }, - /* 616 - "WithParameterOpt" */ + /* 620 - "WithParameterOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 35, 1, 681), - Trans(0, 38, 1, 681), - Trans(0, 44, 2, 682), - Trans(0, 78, 1, 681), - Trans(0, 88, 1, 681), + Trans(0, 35, 1, 684), + Trans(0, 38, 1, 684), + Trans(0, 44, 2, 685), + Trans(0, 79, 1, 684), + Trans(0, 89, 1, 684), ], k: 1, }, ]; -pub const PRODUCTIONS: &[Production; 879] = &[ +pub const PRODUCTIONS: &[Production; 884] = &[ // 0 - CommentsTerm: "(?:(?:(?://.*(?:\r\n|\r|\n|$))|(?:(?ms)/\u{2a}.*?\u{2a}/))\s*)+"; Production { lhs: 98, @@ -14549,7 +14610,7 @@ pub const PRODUCTIONS: &[Production; 879] = &[ }, // 1 - StringLiteralTerm: "\u{0022}(?:\\[\u{0022}\\/bfnrt]|u[0-9a-fA-F]{4}|[^\u{0022}\\\u0000-\u001F])*\u{0022}"; Production { - lhs: 552, + lhs: 556, production: &[ParseType::T(6)], }, // 2 - ExponentTerm: /[0-9]+(?:_[0-9]+)*\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/; @@ -14579,17 +14640,17 @@ pub const PRODUCTIONS: &[Production; 879] = &[ }, // 7 - MinusColonTerm: '-:'; Production { - lhs: 373, + lhs: 377, production: &[ParseType::T(12)], }, // 8 - MinusGTTerm: '->'; Production { - lhs: 376, + lhs: 380, production: &[ParseType::T(13)], }, // 9 - PlusColonTerm: '+:'; Production { - lhs: 474, + lhs: 478, production: &[ParseType::T(14)], }, // 10 - AssignmentOperatorTerm: "\+=|-=|\*=|/=|%=|&=|\|=|\^=|<<=|>>=|<<<=|>>>="; @@ -14599,62 +14660,62 @@ pub const PRODUCTIONS: &[Production; 879] = &[ }, // 11 - Operator11Term: "\*\*"; Production { - lhs: 450, + lhs: 454, production: &[ParseType::T(16)], }, // 12 - Operator10Term: "/|%"; Production { - lhs: 447, + lhs: 451, production: &[ParseType::T(17)], }, // 13 - Operator09Term: "\+|-"; Production { - lhs: 444, + lhs: 448, production: &[ParseType::T(18)], }, // 14 - Operator08Term: "<<<|>>>|<<|>>"; Production { - lhs: 441, + lhs: 445, production: &[ParseType::T(19)], }, // 15 - Operator07Term: "<=|>=|<:|>:"; Production { - lhs: 438, + lhs: 442, production: &[ParseType::T(20)], }, // 16 - Operator06Term: "===|==\?|!==|!=\?|==|!="; Production { - lhs: 435, + lhs: 439, production: &[ParseType::T(21)], }, // 17 - Operator02Term: "&&"; Production { - lhs: 423, + lhs: 427, production: &[ParseType::T(22)], }, // 18 - Operator01Term: "\|\|"; Production { - lhs: 420, + lhs: 424, production: &[ParseType::T(23)], }, // 19 - Operator05Term: "&"; Production { - lhs: 432, + lhs: 436, production: &[ParseType::T(24)], }, // 20 - Operator04Term: "\^~|\^|~\^"; Production { - lhs: 429, + lhs: 433, production: &[ParseType::T(25)], }, // 21 - Operator03Term: "\|"; Production { - lhs: 426, + lhs: 430, production: &[ParseType::T(26)], }, // 22 - UnaryOperatorTerm: "~&|~\||!|~"; Production { - lhs: 590, + lhs: 594, production: &[ParseType::T(27)], }, // 23 - ColonColonTerm: '::'; @@ -14699,57 +14760,57 @@ pub const PRODUCTIONS: &[Production; 879] = &[ }, // 31 - LAngleTerm: '<'; Production { - lhs: 345, + lhs: 349, production: &[ParseType::T(36)], }, // 32 - QuoteLBraceTerm: "'\{"; Production { - lhs: 494, + lhs: 498, production: &[ParseType::T(37)], }, // 33 - LBraceTerm: '{'; Production { - lhs: 348, + lhs: 352, production: &[ParseType::T(38)], }, // 34 - LBracketTerm: '['; Production { - lhs: 351, + lhs: 355, production: &[ParseType::T(39)], }, // 35 - LParenTerm: '('; Production { - lhs: 354, + lhs: 358, production: &[ParseType::T(40)], }, // 36 - RAngleTerm: '>'; Production { - lhs: 497, + lhs: 501, production: &[ParseType::T(41)], }, // 37 - RBraceTerm: '}'; Production { - lhs: 500, + lhs: 504, production: &[ParseType::T(42)], }, // 38 - RBracketTerm: ']'; Production { - lhs: 503, + lhs: 507, production: &[ParseType::T(43)], }, // 39 - RParenTerm: ')'; Production { - lhs: 506, + lhs: 510, production: &[ParseType::T(44)], }, // 40 - SemicolonTerm: ';'; Production { - lhs: 536, + lhs: 540, production: &[ParseType::T(45)], }, // 41 - StarTerm: '*'; Production { - lhs: 542, + lhs: 546, production: &[ParseType::T(46)], }, // 42 - AlwaysCombTerm: /(?-u:\b)always_comb(?-u:\b)/; @@ -14867,1302 +14928,1317 @@ pub const PRODUCTIONS: &[Production; 879] = &[ lhs: 275, production: &[ParseType::T(69)], }, - // 65 - InitialTerm: /(?-u:\b)initial(?-u:\b)/; + // 65 - IncludeTerm: /(?-u:\b)include(?-u:\b)/; Production { - lhs: 283, + lhs: 282, production: &[ParseType::T(70)], }, - // 66 - InoutTerm: /(?-u:\b)inout(?-u:\b)/; + // 66 - InitialTerm: /(?-u:\b)initial(?-u:\b)/; Production { - lhs: 286, + lhs: 287, production: &[ParseType::T(71)], }, - // 67 - InputTerm: /(?-u:\b)input(?-u:\b)/; + // 67 - InoutTerm: /(?-u:\b)inout(?-u:\b)/; Production { - lhs: 289, + lhs: 290, production: &[ParseType::T(72)], }, - // 68 - InsideTerm: /(?-u:\b)inside(?-u:\b)/; + // 68 - InputTerm: /(?-u:\b)input(?-u:\b)/; Production { lhs: 293, production: &[ParseType::T(73)], }, - // 69 - InstTerm: /(?-u:\b)inst(?-u:\b)/; + // 69 - InsideTerm: /(?-u:\b)inside(?-u:\b)/; Production { - lhs: 319, + lhs: 297, production: &[ParseType::T(74)], }, - // 70 - InterfaceTerm: /(?-u:\b)interface(?-u:\b)/; + // 70 - InstTerm: /(?-u:\b)inst(?-u:\b)/; Production { - lhs: 342, + lhs: 323, production: &[ParseType::T(75)], }, - // 71 - InTerm: /(?-u:\b)in(?-u:\b)/; + // 71 - InterfaceTerm: /(?-u:\b)interface(?-u:\b)/; Production { - lhs: 278, + lhs: 346, production: &[ParseType::T(76)], }, - // 72 - LetTerm: /(?-u:\b)let(?-u:\b)/; + // 72 - InTerm: /(?-u:\b)in(?-u:\b)/; Production { - lhs: 359, + lhs: 278, production: &[ParseType::T(77)], }, - // 73 - LocalTerm: /(?-u:\b)local(?-u:\b)/; + // 73 - LetTerm: /(?-u:\b)let(?-u:\b)/; Production { - lhs: 364, + lhs: 363, production: &[ParseType::T(78)], }, - // 74 - LogicTerm: /(?-u:\b)logic(?-u:\b)/; + // 74 - LocalTerm: /(?-u:\b)local(?-u:\b)/; Production { - lhs: 367, + lhs: 368, production: &[ParseType::T(79)], }, - // 75 - LsbTerm: /(?-u:\b)lsb(?-u:\b)/; + // 75 - LogicTerm: /(?-u:\b)logic(?-u:\b)/; Production { - lhs: 370, + lhs: 371, production: &[ParseType::T(80)], }, - // 76 - ModportTerm: /(?-u:\b)modport(?-u:\b)/; + // 76 - LsbTerm: /(?-u:\b)lsb(?-u:\b)/; Production { - lhs: 387, + lhs: 374, production: &[ParseType::T(81)], }, - // 77 - ModuleTerm: /(?-u:\b)module(?-u:\b)/; + // 77 - ModportTerm: /(?-u:\b)modport(?-u:\b)/; Production { - lhs: 410, + lhs: 391, production: &[ParseType::T(82)], }, - // 78 - MsbTerm: /(?-u:\b)msb(?-u:\b)/; + // 78 - ModuleTerm: /(?-u:\b)module(?-u:\b)/; Production { - lhs: 413, + lhs: 414, production: &[ParseType::T(83)], }, - // 79 - NegedgeTerm: /(?-u:\b)negedge(?-u:\b)/; + // 79 - MsbTerm: /(?-u:\b)msb(?-u:\b)/; Production { - lhs: 416, + lhs: 417, production: &[ParseType::T(84)], }, - // 80 - OutputTerm: /(?-u:\b)output(?-u:\b)/; + // 80 - NegedgeTerm: /(?-u:\b)negedge(?-u:\b)/; Production { - lhs: 453, + lhs: 420, production: &[ParseType::T(85)], }, - // 81 - OutsideTerm: /(?-u:\b)outside(?-u:\b)/; + // 81 - OutputTerm: /(?-u:\b)output(?-u:\b)/; Production { lhs: 457, production: &[ParseType::T(86)], }, - // 82 - PackageTerm: /(?-u:\b)package(?-u:\b)/; + // 82 - OutsideTerm: /(?-u:\b)outside(?-u:\b)/; Production { - lhs: 468, + lhs: 461, production: &[ParseType::T(87)], }, - // 83 - ParamTerm: /(?-u:\b)param(?-u:\b)/; + // 83 - PackageTerm: /(?-u:\b)package(?-u:\b)/; Production { - lhs: 471, + lhs: 472, production: &[ParseType::T(88)], }, - // 84 - PosedgeTerm: /(?-u:\b)posedge(?-u:\b)/; + // 84 - ParamTerm: /(?-u:\b)param(?-u:\b)/; Production { - lhs: 488, + lhs: 475, production: &[ParseType::T(89)], }, - // 85 - PubTerm: /(?-u:\b)pub(?-u:\b)/; + // 85 - PosedgeTerm: /(?-u:\b)posedge(?-u:\b)/; Production { - lhs: 491, + lhs: 492, production: &[ParseType::T(90)], }, - // 86 - RefTerm: /(?-u:\b)ref(?-u:\b)/; + // 86 - PubTerm: /(?-u:\b)pub(?-u:\b)/; Production { - lhs: 517, + lhs: 495, production: &[ParseType::T(91)], }, - // 87 - RepeatTerm: /(?-u:\b)repeat(?-u:\b)/; + // 87 - RefTerm: /(?-u:\b)ref(?-u:\b)/; Production { - lhs: 520, + lhs: 521, production: &[ParseType::T(92)], }, - // 88 - ReturnTerm: /(?-u:\b)return(?-u:\b)/; + // 88 - RepeatTerm: /(?-u:\b)repeat(?-u:\b)/; Production { lhs: 524, production: &[ParseType::T(93)], }, - // 89 - BreakTerm: /(?-u:\b)break(?-u:\b)/; + // 89 - ReturnTerm: /(?-u:\b)return(?-u:\b)/; Production { - lhs: 70, + lhs: 528, production: &[ParseType::T(94)], }, - // 90 - SignedTerm: /(?-u:\b)signed(?-u:\b)/; + // 90 - BreakTerm: /(?-u:\b)break(?-u:\b)/; Production { - lhs: 539, + lhs: 70, production: &[ParseType::T(95)], }, - // 91 - StepTerm: /(?-u:\b)step(?-u:\b)/; + // 91 - SignedTerm: /(?-u:\b)signed(?-u:\b)/; Production { - lhs: 548, + lhs: 543, production: &[ParseType::T(96)], }, - // 92 - StringTerm: /(?-u:\b)string(?-u:\b)/; + // 92 - StepTerm: /(?-u:\b)step(?-u:\b)/; Production { - lhs: 554, + lhs: 552, production: &[ParseType::T(97)], }, - // 93 - StructTerm: /(?-u:\b)struct(?-u:\b)/; + // 93 - StringTerm: /(?-u:\b)string(?-u:\b)/; Production { - lhs: 557, + lhs: 558, production: &[ParseType::T(98)], }, - // 94 - SyncHighTerm: /(?-u:\b)sync_high(?-u:\b)/; + // 94 - StructTerm: /(?-u:\b)struct(?-u:\b)/; Production { - lhs: 569, + lhs: 561, production: &[ParseType::T(99)], }, - // 95 - SyncLowTerm: /(?-u:\b)sync_low(?-u:\b)/; + // 95 - SyncHighTerm: /(?-u:\b)sync_high(?-u:\b)/; Production { - lhs: 572, + lhs: 573, production: &[ParseType::T(100)], }, - // 96 - TriTerm: /(?-u:\b)tri(?-u:\b)/; + // 96 - SyncLowTerm: /(?-u:\b)sync_low(?-u:\b)/; Production { - lhs: 575, + lhs: 576, production: &[ParseType::T(101)], }, - // 97 - TypeTerm: /(?-u:\b)type(?-u:\b)/; + // 97 - TriTerm: /(?-u:\b)tri(?-u:\b)/; Production { - lhs: 581, + lhs: 579, production: &[ParseType::T(102)], }, - // 98 - U32Term: /(?-u:\b)u32(?-u:\b)/; + // 98 - TypeTerm: /(?-u:\b)type(?-u:\b)/; Production { - lhs: 584, + lhs: 585, production: &[ParseType::T(103)], }, - // 99 - U64Term: /(?-u:\b)u64(?-u:\b)/; + // 99 - U32Term: /(?-u:\b)u32(?-u:\b)/; Production { - lhs: 587, + lhs: 588, production: &[ParseType::T(104)], }, - // 100 - UnionTerm: /(?-u:\b)union(?-u:\b)/; + // 100 - U64Term: /(?-u:\b)u64(?-u:\b)/; Production { - lhs: 593, + lhs: 591, production: &[ParseType::T(105)], }, - // 101 - VarTerm: /(?-u:\b)var(?-u:\b)/; + // 101 - UnionTerm: /(?-u:\b)union(?-u:\b)/; Production { lhs: 597, production: &[ParseType::T(106)], }, - // 102 - DollarIdentifierTerm: /\$[a-zA-Z_][0-9a-zA-Z_$]*/; + // 102 - VarTerm: /(?-u:\b)var(?-u:\b)/; Production { - lhs: 114, + lhs: 601, production: &[ParseType::T(107)], }, - // 103 - IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/; + // 103 - DollarIdentifierTerm: /\$[a-zA-Z_][0-9a-zA-Z_$]*/; Production { - lhs: 250, + lhs: 114, production: &[ParseType::T(108)], }, - // 104 - AnyTerm: /[^{}]*/; + // 104 - IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/; Production { - lhs: 20, + lhs: 250, production: &[ParseType::T(109)], }, - // 105 - Comments: CommentsOpt /* Option */; + // 105 - AnyTerm: /[^{}]*/; + Production { + lhs: 20, + production: &[ParseType::T(110)], + }, + // 106 - Comments: CommentsOpt /* Option */; Production { lhs: 96, production: &[ParseType::N(97)], }, - // 106 - CommentsOpt: CommentsTerm; + // 107 - CommentsOpt: CommentsTerm; Production { lhs: 97, production: &[ParseType::N(98)], }, - // 107 - CommentsOpt: ; + // 108 - CommentsOpt: ; Production { lhs: 97, production: &[], }, - // 108 - StartToken: Comments; + // 109 - StartToken: Comments; Production { - lhs: 545, + lhs: 549, production: &[ParseType::N(96)], }, - // 109 - StringLiteralToken: StringLiteralTerm : crate::veryl_token::Token Comments; + // 110 - StringLiteralToken: StringLiteralTerm : crate::veryl_token::Token Comments; Production { - lhs: 553, - production: &[ParseType::N(96), ParseType::N(552)], + lhs: 557, + production: &[ParseType::N(96), ParseType::N(556)], }, - // 110 - ExponentToken: ExponentTerm : crate::veryl_token::Token Comments; + // 111 - ExponentToken: ExponentTerm : crate::veryl_token::Token Comments; Production { lhs: 154, production: &[ParseType::N(96), ParseType::N(153)], }, - // 111 - FixedPointToken: FixedPointTerm : crate::veryl_token::Token Comments; + // 112 - FixedPointToken: FixedPointTerm : crate::veryl_token::Token Comments; Production { lhs: 215, production: &[ParseType::N(96), ParseType::N(214)], }, - // 112 - BasedToken: BasedTerm : crate::veryl_token::Token Comments; + // 113 - BasedToken: BasedTerm : crate::veryl_token::Token Comments; Production { lhs: 64, production: &[ParseType::N(96), ParseType::N(63)], }, - // 113 - BaseLessToken: BaseLessTerm : crate::veryl_token::Token Comments; + // 114 - BaseLessToken: BaseLessTerm : crate::veryl_token::Token Comments; Production { lhs: 61, production: &[ParseType::N(96), ParseType::N(60)], }, - // 114 - AllBitToken: AllBitTerm : crate::veryl_token::Token Comments; + // 115 - AllBitToken: AllBitTerm : crate::veryl_token::Token Comments; Production { lhs: 2, production: &[ParseType::N(96), ParseType::N(1)], }, - // 115 - AssignmentOperatorToken: AssignmentOperatorTerm : crate::veryl_token::Token Comments; + // 116 - AssignmentOperatorToken: AssignmentOperatorTerm : crate::veryl_token::Token Comments; Production { lhs: 46, production: &[ParseType::N(96), ParseType::N(45)], }, - // 116 - Operator01Token: Operator01Term : crate::veryl_token::Token Comments; + // 117 - Operator01Token: Operator01Term : crate::veryl_token::Token Comments; Production { - lhs: 421, - production: &[ParseType::N(96), ParseType::N(420)], + lhs: 425, + production: &[ParseType::N(96), ParseType::N(424)], }, - // 117 - Operator02Token: Operator02Term : crate::veryl_token::Token Comments; + // 118 - Operator02Token: Operator02Term : crate::veryl_token::Token Comments; Production { - lhs: 424, - production: &[ParseType::N(96), ParseType::N(423)], + lhs: 428, + production: &[ParseType::N(96), ParseType::N(427)], }, - // 118 - Operator03Token: Operator03Term : crate::veryl_token::Token Comments; + // 119 - Operator03Token: Operator03Term : crate::veryl_token::Token Comments; Production { - lhs: 427, - production: &[ParseType::N(96), ParseType::N(426)], + lhs: 431, + production: &[ParseType::N(96), ParseType::N(430)], }, - // 119 - Operator04Token: Operator04Term : crate::veryl_token::Token Comments; + // 120 - Operator04Token: Operator04Term : crate::veryl_token::Token Comments; Production { - lhs: 430, - production: &[ParseType::N(96), ParseType::N(429)], + lhs: 434, + production: &[ParseType::N(96), ParseType::N(433)], }, - // 120 - Operator05Token: Operator05Term : crate::veryl_token::Token Comments; + // 121 - Operator05Token: Operator05Term : crate::veryl_token::Token Comments; Production { - lhs: 433, - production: &[ParseType::N(96), ParseType::N(432)], + lhs: 437, + production: &[ParseType::N(96), ParseType::N(436)], }, - // 121 - Operator06Token: Operator06Term : crate::veryl_token::Token Comments; + // 122 - Operator06Token: Operator06Term : crate::veryl_token::Token Comments; Production { - lhs: 436, - production: &[ParseType::N(96), ParseType::N(435)], + lhs: 440, + production: &[ParseType::N(96), ParseType::N(439)], }, - // 122 - Operator07Token: Operator07Term : crate::veryl_token::Token Comments; + // 123 - Operator07Token: Operator07Term : crate::veryl_token::Token Comments; Production { - lhs: 439, - production: &[ParseType::N(96), ParseType::N(438)], + lhs: 443, + production: &[ParseType::N(96), ParseType::N(442)], }, - // 123 - Operator08Token: Operator08Term : crate::veryl_token::Token Comments; + // 124 - Operator08Token: Operator08Term : crate::veryl_token::Token Comments; Production { - lhs: 442, - production: &[ParseType::N(96), ParseType::N(441)], + lhs: 446, + production: &[ParseType::N(96), ParseType::N(445)], }, - // 124 - Operator09Token: Operator09Term : crate::veryl_token::Token Comments; + // 125 - Operator09Token: Operator09Term : crate::veryl_token::Token Comments; Production { - lhs: 445, - production: &[ParseType::N(96), ParseType::N(444)], + lhs: 449, + production: &[ParseType::N(96), ParseType::N(448)], }, - // 125 - Operator10Token: Operator10Term : crate::veryl_token::Token Comments; + // 126 - Operator10Token: Operator10Term : crate::veryl_token::Token Comments; Production { - lhs: 448, - production: &[ParseType::N(96), ParseType::N(447)], + lhs: 452, + production: &[ParseType::N(96), ParseType::N(451)], }, - // 126 - Operator11Token: Operator11Term : crate::veryl_token::Token Comments; + // 127 - Operator11Token: Operator11Term : crate::veryl_token::Token Comments; Production { - lhs: 451, - production: &[ParseType::N(96), ParseType::N(450)], + lhs: 455, + production: &[ParseType::N(96), ParseType::N(454)], }, - // 127 - UnaryOperatorToken: UnaryOperatorTerm : crate::veryl_token::Token Comments; + // 128 - UnaryOperatorToken: UnaryOperatorTerm : crate::veryl_token::Token Comments; Production { - lhs: 591, - production: &[ParseType::N(96), ParseType::N(590)], + lhs: 595, + production: &[ParseType::N(96), ParseType::N(594)], }, - // 128 - ColonToken: ColonTerm : crate::veryl_token::Token Comments; + // 129 - ColonToken: ColonTerm : crate::veryl_token::Token Comments; Production { lhs: 92, production: &[ParseType::N(96), ParseType::N(91)], }, - // 129 - ColonColonToken: ColonColonTerm : crate::veryl_token::Token Comments; + // 130 - ColonColonToken: ColonColonTerm : crate::veryl_token::Token Comments; Production { lhs: 90, production: &[ParseType::N(96), ParseType::N(89)], }, - // 130 - CommaToken: CommaTerm : crate::veryl_token::Token Comments; + // 131 - CommaToken: CommaTerm : crate::veryl_token::Token Comments; Production { lhs: 95, production: &[ParseType::N(96), ParseType::N(94)], }, - // 131 - DotDotToken: DotDotTerm : crate::veryl_token::Token Comments; + // 132 - DotDotToken: DotDotTerm : crate::veryl_token::Token Comments; Production { lhs: 122, production: &[ParseType::N(96), ParseType::N(121)], }, - // 132 - DotDotEquToken: DotDotEquTerm : crate::veryl_token::Token Comments; + // 133 - DotDotEquToken: DotDotEquTerm : crate::veryl_token::Token Comments; Production { lhs: 120, production: &[ParseType::N(96), ParseType::N(119)], }, - // 133 - DotToken: DotTerm : crate::veryl_token::Token Comments; + // 134 - DotToken: DotTerm : crate::veryl_token::Token Comments; Production { lhs: 124, production: &[ParseType::N(96), ParseType::N(123)], }, - // 134 - EquToken: EquTerm : crate::veryl_token::Token Comments; + // 135 - EquToken: EquTerm : crate::veryl_token::Token Comments; Production { lhs: 151, production: &[ParseType::N(96), ParseType::N(150)], }, - // 135 - HashToken: HashTerm : crate::veryl_token::Token Comments; + // 136 - HashToken: HashTerm : crate::veryl_token::Token Comments; Production { lhs: 236, production: &[ParseType::N(96), ParseType::N(235)], }, - // 136 - QuoteLBraceToken: QuoteLBraceTerm : crate::veryl_token::Token Comments; + // 137 - QuoteLBraceToken: QuoteLBraceTerm : crate::veryl_token::Token Comments; Production { - lhs: 495, - production: &[ParseType::N(96), ParseType::N(494)], + lhs: 499, + production: &[ParseType::N(96), ParseType::N(498)], }, - // 137 - LAngleToken: LAngleTerm : crate::veryl_token::Token Comments; + // 138 - LAngleToken: LAngleTerm : crate::veryl_token::Token Comments; Production { - lhs: 346, - production: &[ParseType::N(96), ParseType::N(345)], + lhs: 350, + production: &[ParseType::N(96), ParseType::N(349)], }, - // 138 - LBraceToken: LBraceTerm : crate::veryl_token::Token Comments; + // 139 - LBraceToken: LBraceTerm : crate::veryl_token::Token Comments; Production { - lhs: 349, - production: &[ParseType::N(96), ParseType::N(348)], + lhs: 353, + production: &[ParseType::N(96), ParseType::N(352)], }, - // 139 - LBracketToken: LBracketTerm : crate::veryl_token::Token Comments; + // 140 - LBracketToken: LBracketTerm : crate::veryl_token::Token Comments; Production { - lhs: 352, - production: &[ParseType::N(96), ParseType::N(351)], + lhs: 356, + production: &[ParseType::N(96), ParseType::N(355)], }, - // 140 - LParenToken: LParenTerm : crate::veryl_token::Token Comments; + // 141 - LParenToken: LParenTerm : crate::veryl_token::Token Comments; Production { - lhs: 355, - production: &[ParseType::N(96), ParseType::N(354)], + lhs: 359, + production: &[ParseType::N(96), ParseType::N(358)], }, - // 141 - MinusColonToken: MinusColonTerm : crate::veryl_token::Token Comments; + // 142 - MinusColonToken: MinusColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 374, - production: &[ParseType::N(96), ParseType::N(373)], + lhs: 378, + production: &[ParseType::N(96), ParseType::N(377)], }, - // 142 - MinusGTToken: MinusGTTerm : crate::veryl_token::Token Comments; + // 143 - MinusGTToken: MinusGTTerm : crate::veryl_token::Token Comments; Production { - lhs: 377, - production: &[ParseType::N(96), ParseType::N(376)], + lhs: 381, + production: &[ParseType::N(96), ParseType::N(380)], }, - // 143 - PlusColonToken: PlusColonTerm : crate::veryl_token::Token Comments; + // 144 - PlusColonToken: PlusColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 475, - production: &[ParseType::N(96), ParseType::N(474)], + lhs: 479, + production: &[ParseType::N(96), ParseType::N(478)], }, - // 144 - RAngleToken: RAngleTerm : crate::veryl_token::Token Comments; + // 145 - RAngleToken: RAngleTerm : crate::veryl_token::Token Comments; Production { - lhs: 498, - production: &[ParseType::N(96), ParseType::N(497)], + lhs: 502, + production: &[ParseType::N(96), ParseType::N(501)], }, - // 145 - RBraceToken: RBraceTerm : crate::veryl_token::Token Comments; + // 146 - RBraceToken: RBraceTerm : crate::veryl_token::Token Comments; Production { - lhs: 501, - production: &[ParseType::N(96), ParseType::N(500)], + lhs: 505, + production: &[ParseType::N(96), ParseType::N(504)], }, - // 146 - RBracketToken: RBracketTerm : crate::veryl_token::Token Comments; + // 147 - RBracketToken: RBracketTerm : crate::veryl_token::Token Comments; Production { - lhs: 504, - production: &[ParseType::N(96), ParseType::N(503)], + lhs: 508, + production: &[ParseType::N(96), ParseType::N(507)], }, - // 147 - RParenToken: RParenTerm : crate::veryl_token::Token Comments; + // 148 - RParenToken: RParenTerm : crate::veryl_token::Token Comments; Production { - lhs: 507, - production: &[ParseType::N(96), ParseType::N(506)], + lhs: 511, + production: &[ParseType::N(96), ParseType::N(510)], }, - // 148 - SemicolonToken: SemicolonTerm : crate::veryl_token::Token Comments; + // 149 - SemicolonToken: SemicolonTerm : crate::veryl_token::Token Comments; Production { - lhs: 537, - production: &[ParseType::N(96), ParseType::N(536)], + lhs: 541, + production: &[ParseType::N(96), ParseType::N(540)], }, - // 149 - StarToken: StarTerm : crate::veryl_token::Token Comments; + // 150 - StarToken: StarTerm : crate::veryl_token::Token Comments; Production { - lhs: 543, - production: &[ParseType::N(96), ParseType::N(542)], + lhs: 547, + production: &[ParseType::N(96), ParseType::N(546)], }, - // 150 - AlwaysCombToken: AlwaysCombTerm : crate::veryl_token::Token Comments; + // 151 - AlwaysCombToken: AlwaysCombTerm : crate::veryl_token::Token Comments; Production { lhs: 7, production: &[ParseType::N(96), ParseType::N(6)], }, - // 151 - AlwaysFfToken: AlwaysFfTerm : crate::veryl_token::Token Comments; + // 152 - AlwaysFfToken: AlwaysFfTerm : crate::veryl_token::Token Comments; Production { lhs: 19, production: &[ParseType::N(96), ParseType::N(18)], }, - // 152 - AsToken: AsTerm : crate::veryl_token::Token Comments; + // 153 - AsToken: AsTerm : crate::veryl_token::Token Comments; Production { lhs: 37, production: &[ParseType::N(96), ParseType::N(36)], }, - // 153 - AssignToken: AssignTerm : crate::veryl_token::Token Comments; + // 154 - AssignToken: AssignTerm : crate::veryl_token::Token Comments; Production { lhs: 41, production: &[ParseType::N(96), ParseType::N(40)], }, - // 154 - AsyncHighToken: AsyncHighTerm : crate::veryl_token::Token Comments; + // 155 - AsyncHighToken: AsyncHighTerm : crate::veryl_token::Token Comments; Production { lhs: 49, production: &[ParseType::N(96), ParseType::N(48)], }, - // 155 - AsyncLowToken: AsyncLowTerm : crate::veryl_token::Token Comments; + // 156 - AsyncLowToken: AsyncLowTerm : crate::veryl_token::Token Comments; Production { lhs: 52, production: &[ParseType::N(96), ParseType::N(51)], }, - // 156 - BitToken: BitTerm : crate::veryl_token::Token Comments; + // 157 - BitToken: BitTerm : crate::veryl_token::Token Comments; Production { lhs: 67, production: &[ParseType::N(96), ParseType::N(66)], }, - // 157 - CaseToken: CaseTerm : crate::veryl_token::Token Comments; + // 158 - CaseToken: CaseTerm : crate::veryl_token::Token Comments; Production { lhs: 86, production: &[ParseType::N(96), ParseType::N(85)], }, - // 158 - DefaultToken: DefaultTerm : crate::veryl_token::Token Comments; + // 159 - DefaultToken: DefaultTerm : crate::veryl_token::Token Comments; Production { lhs: 106, production: &[ParseType::N(96), ParseType::N(105)], }, - // 159 - ElseToken: ElseTerm : crate::veryl_token::Token Comments; + // 160 - ElseToken: ElseTerm : crate::veryl_token::Token Comments; Production { lhs: 127, production: &[ParseType::N(96), ParseType::N(126)], }, - // 160 - EmbedToken: EmbedTerm : crate::veryl_token::Token Comments; + // 161 - EmbedToken: EmbedTerm : crate::veryl_token::Token Comments; Production { lhs: 136, production: &[ParseType::N(96), ParseType::N(135)], }, - // 161 - EnumToken: EnumTerm : crate::veryl_token::Token Comments; + // 162 - EnumToken: EnumTerm : crate::veryl_token::Token Comments; Production { lhs: 148, production: &[ParseType::N(96), ParseType::N(147)], }, - // 162 - ExportToken: ExportTerm : crate::veryl_token::Token Comments; + // 163 - ExportToken: ExportTerm : crate::veryl_token::Token Comments; Production { lhs: 160, production: &[ParseType::N(96), ParseType::N(159)], }, - // 163 - F32Token: F32Term : crate::veryl_token::Token Comments; + // 164 - F32Token: F32Term : crate::veryl_token::Token Comments; Production { lhs: 201, production: &[ParseType::N(96), ParseType::N(200)], }, - // 164 - F64Token: F64Term : crate::veryl_token::Token Comments; + // 165 - F64Token: F64Term : crate::veryl_token::Token Comments; Production { lhs: 204, production: &[ParseType::N(96), ParseType::N(203)], }, - // 165 - FinalToken: FinalTerm : crate::veryl_token::Token Comments; + // 166 - FinalToken: FinalTerm : crate::veryl_token::Token Comments; Production { lhs: 212, production: &[ParseType::N(96), ParseType::N(211)], }, - // 166 - ForToken: ForTerm : crate::veryl_token::Token Comments; + // 167 - ForToken: ForTerm : crate::veryl_token::Token Comments; Production { lhs: 222, production: &[ParseType::N(96), ParseType::N(221)], }, - // 167 - FunctionToken: FunctionTerm : crate::veryl_token::Token Comments; + // 168 - FunctionToken: FunctionTerm : crate::veryl_token::Token Comments; Production { lhs: 233, production: &[ParseType::N(96), ParseType::N(232)], }, - // 168 - I32Token: I32Term : crate::veryl_token::Token Comments; + // 169 - I32Token: I32Term : crate::veryl_token::Token Comments; Production { lhs: 243, production: &[ParseType::N(96), ParseType::N(242)], }, - // 169 - I64Token: I64Term : crate::veryl_token::Token Comments; + // 170 - I64Token: I64Term : crate::veryl_token::Token Comments; Production { lhs: 246, production: &[ParseType::N(96), ParseType::N(245)], }, - // 170 - IfResetToken: IfResetTerm : crate::veryl_token::Token Comments; + // 171 - IfResetToken: IfResetTerm : crate::veryl_token::Token Comments; Production { lhs: 263, production: &[ParseType::N(96), ParseType::N(262)], }, - // 171 - IfToken: IfTerm : crate::veryl_token::Token Comments; + // 172 - IfToken: IfTerm : crate::veryl_token::Token Comments; Production { lhs: 271, production: &[ParseType::N(96), ParseType::N(270)], }, - // 172 - ImportToken: ImportTerm : crate::veryl_token::Token Comments; + // 173 - ImportToken: ImportTerm : crate::veryl_token::Token Comments; Production { lhs: 276, production: &[ParseType::N(96), ParseType::N(275)], }, - // 173 - InitialToken: InitialTerm : crate::veryl_token::Token Comments; + // 174 - IncludeToken: IncludeTerm : crate::veryl_token::Token Comments; Production { - lhs: 284, - production: &[ParseType::N(96), ParseType::N(283)], + lhs: 283, + production: &[ParseType::N(96), ParseType::N(282)], }, - // 174 - InoutToken: InoutTerm : crate::veryl_token::Token Comments; + // 175 - InitialToken: InitialTerm : crate::veryl_token::Token Comments; Production { - lhs: 287, - production: &[ParseType::N(96), ParseType::N(286)], + lhs: 288, + production: &[ParseType::N(96), ParseType::N(287)], }, - // 175 - InputToken: InputTerm : crate::veryl_token::Token Comments; + // 176 - InoutToken: InoutTerm : crate::veryl_token::Token Comments; Production { - lhs: 290, - production: &[ParseType::N(96), ParseType::N(289)], + lhs: 291, + production: &[ParseType::N(96), ParseType::N(290)], }, - // 176 - InsideToken: InsideTerm : crate::veryl_token::Token Comments; + // 177 - InputToken: InputTerm : crate::veryl_token::Token Comments; Production { lhs: 294, production: &[ParseType::N(96), ParseType::N(293)], }, - // 177 - InstToken: InstTerm : crate::veryl_token::Token Comments; + // 178 - InsideToken: InsideTerm : crate::veryl_token::Token Comments; Production { - lhs: 320, - production: &[ParseType::N(96), ParseType::N(319)], + lhs: 298, + production: &[ParseType::N(96), ParseType::N(297)], }, - // 178 - InterfaceToken: InterfaceTerm : crate::veryl_token::Token Comments; + // 179 - InstToken: InstTerm : crate::veryl_token::Token Comments; Production { - lhs: 343, - production: &[ParseType::N(96), ParseType::N(342)], + lhs: 324, + production: &[ParseType::N(96), ParseType::N(323)], }, - // 179 - InToken: InTerm : crate::veryl_token::Token Comments; + // 180 - InterfaceToken: InterfaceTerm : crate::veryl_token::Token Comments; Production { - lhs: 279, - production: &[ParseType::N(96), ParseType::N(278)], + lhs: 347, + production: &[ParseType::N(96), ParseType::N(346)], }, - // 180 - LetToken: LetTerm : crate::veryl_token::Token Comments; + // 181 - InToken: InTerm : crate::veryl_token::Token Comments; Production { - lhs: 360, - production: &[ParseType::N(96), ParseType::N(359)], + lhs: 279, + production: &[ParseType::N(96), ParseType::N(278)], }, - // 181 - LocalToken: LocalTerm : crate::veryl_token::Token Comments; + // 182 - LetToken: LetTerm : crate::veryl_token::Token Comments; Production { - lhs: 365, - production: &[ParseType::N(96), ParseType::N(364)], + lhs: 364, + production: &[ParseType::N(96), ParseType::N(363)], }, - // 182 - LogicToken: LogicTerm : crate::veryl_token::Token Comments; + // 183 - LocalToken: LocalTerm : crate::veryl_token::Token Comments; Production { - lhs: 368, - production: &[ParseType::N(96), ParseType::N(367)], + lhs: 369, + production: &[ParseType::N(96), ParseType::N(368)], }, - // 183 - LsbToken: LsbTerm : crate::veryl_token::Token Comments; + // 184 - LogicToken: LogicTerm : crate::veryl_token::Token Comments; Production { - lhs: 371, - production: &[ParseType::N(96), ParseType::N(370)], + lhs: 372, + production: &[ParseType::N(96), ParseType::N(371)], }, - // 184 - ModportToken: ModportTerm : crate::veryl_token::Token Comments; + // 185 - LsbToken: LsbTerm : crate::veryl_token::Token Comments; Production { - lhs: 388, - production: &[ParseType::N(96), ParseType::N(387)], + lhs: 375, + production: &[ParseType::N(96), ParseType::N(374)], }, - // 185 - ModuleToken: ModuleTerm : crate::veryl_token::Token Comments; + // 186 - ModportToken: ModportTerm : crate::veryl_token::Token Comments; Production { - lhs: 411, - production: &[ParseType::N(96), ParseType::N(410)], + lhs: 392, + production: &[ParseType::N(96), ParseType::N(391)], }, - // 186 - MsbToken: MsbTerm : crate::veryl_token::Token Comments; + // 187 - ModuleToken: ModuleTerm : crate::veryl_token::Token Comments; Production { - lhs: 414, - production: &[ParseType::N(96), ParseType::N(413)], + lhs: 415, + production: &[ParseType::N(96), ParseType::N(414)], }, - // 187 - NegedgeToken: NegedgeTerm : crate::veryl_token::Token Comments; + // 188 - MsbToken: MsbTerm : crate::veryl_token::Token Comments; Production { - lhs: 417, - production: &[ParseType::N(96), ParseType::N(416)], + lhs: 418, + production: &[ParseType::N(96), ParseType::N(417)], }, - // 188 - OutputToken: OutputTerm : crate::veryl_token::Token Comments; + // 189 - NegedgeToken: NegedgeTerm : crate::veryl_token::Token Comments; Production { - lhs: 454, - production: &[ParseType::N(96), ParseType::N(453)], + lhs: 421, + production: &[ParseType::N(96), ParseType::N(420)], }, - // 189 - OutsideToken: OutsideTerm : crate::veryl_token::Token Comments; + // 190 - OutputToken: OutputTerm : crate::veryl_token::Token Comments; Production { lhs: 458, production: &[ParseType::N(96), ParseType::N(457)], }, - // 190 - PackageToken: PackageTerm : crate::veryl_token::Token Comments; + // 191 - OutsideToken: OutsideTerm : crate::veryl_token::Token Comments; Production { - lhs: 469, - production: &[ParseType::N(96), ParseType::N(468)], + lhs: 462, + production: &[ParseType::N(96), ParseType::N(461)], }, - // 191 - ParamToken: ParamTerm : crate::veryl_token::Token Comments; + // 192 - PackageToken: PackageTerm : crate::veryl_token::Token Comments; Production { - lhs: 472, - production: &[ParseType::N(96), ParseType::N(471)], + lhs: 473, + production: &[ParseType::N(96), ParseType::N(472)], }, - // 192 - PosedgeToken: PosedgeTerm : crate::veryl_token::Token Comments; + // 193 - ParamToken: ParamTerm : crate::veryl_token::Token Comments; Production { - lhs: 489, - production: &[ParseType::N(96), ParseType::N(488)], + lhs: 476, + production: &[ParseType::N(96), ParseType::N(475)], }, - // 193 - PubToken: PubTerm : crate::veryl_token::Token Comments; + // 194 - PosedgeToken: PosedgeTerm : crate::veryl_token::Token Comments; Production { - lhs: 492, - production: &[ParseType::N(96), ParseType::N(491)], + lhs: 493, + production: &[ParseType::N(96), ParseType::N(492)], }, - // 194 - RefToken: RefTerm : crate::veryl_token::Token Comments; + // 195 - PubToken: PubTerm : crate::veryl_token::Token Comments; Production { - lhs: 518, - production: &[ParseType::N(96), ParseType::N(517)], + lhs: 496, + production: &[ParseType::N(96), ParseType::N(495)], }, - // 195 - RepeatToken: RepeatTerm : crate::veryl_token::Token Comments; + // 196 - RefToken: RefTerm : crate::veryl_token::Token Comments; Production { - lhs: 521, - production: &[ParseType::N(96), ParseType::N(520)], + lhs: 522, + production: &[ParseType::N(96), ParseType::N(521)], }, - // 196 - ReturnToken: ReturnTerm : crate::veryl_token::Token Comments; + // 197 - RepeatToken: RepeatTerm : crate::veryl_token::Token Comments; Production { lhs: 525, production: &[ParseType::N(96), ParseType::N(524)], }, - // 197 - BreakToken: BreakTerm : crate::veryl_token::Token Comments; + // 198 - ReturnToken: ReturnTerm : crate::veryl_token::Token Comments; Production { - lhs: 71, - production: &[ParseType::N(96), ParseType::N(70)], + lhs: 529, + production: &[ParseType::N(96), ParseType::N(528)], }, - // 198 - SignedToken: SignedTerm : crate::veryl_token::Token Comments; + // 199 - BreakToken: BreakTerm : crate::veryl_token::Token Comments; Production { - lhs: 540, - production: &[ParseType::N(96), ParseType::N(539)], + lhs: 71, + production: &[ParseType::N(96), ParseType::N(70)], }, - // 199 - StepToken: StepTerm : crate::veryl_token::Token Comments; + // 200 - SignedToken: SignedTerm : crate::veryl_token::Token Comments; Production { - lhs: 549, - production: &[ParseType::N(96), ParseType::N(548)], + lhs: 544, + production: &[ParseType::N(96), ParseType::N(543)], }, - // 200 - StringToken: StringTerm : crate::veryl_token::Token Comments; + // 201 - StepToken: StepTerm : crate::veryl_token::Token Comments; Production { - lhs: 555, - production: &[ParseType::N(96), ParseType::N(554)], + lhs: 553, + production: &[ParseType::N(96), ParseType::N(552)], }, - // 201 - StructToken: StructTerm : crate::veryl_token::Token Comments; + // 202 - StringToken: StringTerm : crate::veryl_token::Token Comments; Production { - lhs: 558, - production: &[ParseType::N(96), ParseType::N(557)], + lhs: 559, + production: &[ParseType::N(96), ParseType::N(558)], }, - // 202 - SyncHighToken: SyncHighTerm : crate::veryl_token::Token Comments; + // 203 - StructToken: StructTerm : crate::veryl_token::Token Comments; Production { - lhs: 570, - production: &[ParseType::N(96), ParseType::N(569)], + lhs: 562, + production: &[ParseType::N(96), ParseType::N(561)], }, - // 203 - SyncLowToken: SyncLowTerm : crate::veryl_token::Token Comments; + // 204 - SyncHighToken: SyncHighTerm : crate::veryl_token::Token Comments; Production { - lhs: 573, - production: &[ParseType::N(96), ParseType::N(572)], + lhs: 574, + production: &[ParseType::N(96), ParseType::N(573)], }, - // 204 - TriToken: TriTerm : crate::veryl_token::Token Comments; + // 205 - SyncLowToken: SyncLowTerm : crate::veryl_token::Token Comments; Production { - lhs: 576, - production: &[ParseType::N(96), ParseType::N(575)], + lhs: 577, + production: &[ParseType::N(96), ParseType::N(576)], }, - // 205 - TypeToken: TypeTerm : crate::veryl_token::Token Comments; + // 206 - TriToken: TriTerm : crate::veryl_token::Token Comments; Production { - lhs: 582, - production: &[ParseType::N(96), ParseType::N(581)], + lhs: 580, + production: &[ParseType::N(96), ParseType::N(579)], }, - // 206 - U32Token: U32Term : crate::veryl_token::Token Comments; + // 207 - TypeToken: TypeTerm : crate::veryl_token::Token Comments; Production { - lhs: 585, - production: &[ParseType::N(96), ParseType::N(584)], + lhs: 586, + production: &[ParseType::N(96), ParseType::N(585)], }, - // 207 - U64Token: U64Term : crate::veryl_token::Token Comments; + // 208 - U32Token: U32Term : crate::veryl_token::Token Comments; Production { - lhs: 588, - production: &[ParseType::N(96), ParseType::N(587)], + lhs: 589, + production: &[ParseType::N(96), ParseType::N(588)], }, - // 208 - UnionToken: UnionTerm : crate::veryl_token::Token Comments; + // 209 - U64Token: U64Term : crate::veryl_token::Token Comments; Production { - lhs: 594, - production: &[ParseType::N(96), ParseType::N(593)], + lhs: 592, + production: &[ParseType::N(96), ParseType::N(591)], }, - // 209 - VarToken: VarTerm : crate::veryl_token::Token Comments; + // 210 - UnionToken: UnionTerm : crate::veryl_token::Token Comments; Production { lhs: 598, production: &[ParseType::N(96), ParseType::N(597)], }, - // 210 - DollarIdentifierToken: DollarIdentifierTerm : crate::veryl_token::Token Comments; + // 211 - VarToken: VarTerm : crate::veryl_token::Token Comments; + Production { + lhs: 602, + production: &[ParseType::N(96), ParseType::N(601)], + }, + // 212 - DollarIdentifierToken: DollarIdentifierTerm : crate::veryl_token::Token Comments; Production { lhs: 115, production: &[ParseType::N(96), ParseType::N(114)], }, - // 211 - IdentifierToken: IdentifierTerm : crate::veryl_token::Token Comments; + // 213 - IdentifierToken: IdentifierTerm : crate::veryl_token::Token Comments; Production { lhs: 251, production: &[ParseType::N(96), ParseType::N(250)], }, - // 212 - Start: StartToken : crate::veryl_token::VerylToken ; + // 214 - Start: StartToken : crate::veryl_token::VerylToken ; Production { - lhs: 544, - production: &[ParseType::N(545)], + lhs: 548, + production: &[ParseType::N(549)], }, - // 213 - StringLiteral: StringLiteralToken : crate::veryl_token::VerylToken ; + // 215 - StringLiteral: StringLiteralToken : crate::veryl_token::VerylToken ; Production { - lhs: 551, - production: &[ParseType::N(553)], + lhs: 555, + production: &[ParseType::N(557)], }, - // 214 - Exponent: ExponentToken : crate::veryl_token::VerylToken ; + // 216 - Exponent: ExponentToken : crate::veryl_token::VerylToken ; Production { lhs: 152, production: &[ParseType::N(154)], }, - // 215 - FixedPoint: FixedPointToken : crate::veryl_token::VerylToken ; + // 217 - FixedPoint: FixedPointToken : crate::veryl_token::VerylToken ; Production { lhs: 213, production: &[ParseType::N(215)], }, - // 216 - Based: BasedToken : crate::veryl_token::VerylToken ; + // 218 - Based: BasedToken : crate::veryl_token::VerylToken ; Production { lhs: 62, production: &[ParseType::N(64)], }, - // 217 - BaseLess: BaseLessToken : crate::veryl_token::VerylToken ; + // 219 - BaseLess: BaseLessToken : crate::veryl_token::VerylToken ; Production { lhs: 59, production: &[ParseType::N(61)], }, - // 218 - AllBit: AllBitToken : crate::veryl_token::VerylToken ; + // 220 - AllBit: AllBitToken : crate::veryl_token::VerylToken ; Production { lhs: 0, production: &[ParseType::N(2)], }, - // 219 - AssignmentOperator: AssignmentOperatorToken : crate::veryl_token::VerylToken ; + // 221 - AssignmentOperator: AssignmentOperatorToken : crate::veryl_token::VerylToken ; Production { lhs: 44, production: &[ParseType::N(46)], }, - // 220 - Operator01: Operator01Token : crate::veryl_token::VerylToken ; + // 222 - Operator01: Operator01Token : crate::veryl_token::VerylToken ; Production { - lhs: 419, - production: &[ParseType::N(421)], + lhs: 423, + production: &[ParseType::N(425)], }, - // 221 - Operator02: Operator02Token : crate::veryl_token::VerylToken ; + // 223 - Operator02: Operator02Token : crate::veryl_token::VerylToken ; Production { - lhs: 422, - production: &[ParseType::N(424)], + lhs: 426, + production: &[ParseType::N(428)], }, - // 222 - Operator03: Operator03Token : crate::veryl_token::VerylToken ; + // 224 - Operator03: Operator03Token : crate::veryl_token::VerylToken ; Production { - lhs: 425, - production: &[ParseType::N(427)], + lhs: 429, + production: &[ParseType::N(431)], }, - // 223 - Operator04: Operator04Token : crate::veryl_token::VerylToken ; + // 225 - Operator04: Operator04Token : crate::veryl_token::VerylToken ; Production { - lhs: 428, - production: &[ParseType::N(430)], + lhs: 432, + production: &[ParseType::N(434)], }, - // 224 - Operator05: Operator05Token : crate::veryl_token::VerylToken ; + // 226 - Operator05: Operator05Token : crate::veryl_token::VerylToken ; Production { - lhs: 431, - production: &[ParseType::N(433)], + lhs: 435, + production: &[ParseType::N(437)], }, - // 225 - Operator06: Operator06Token : crate::veryl_token::VerylToken ; + // 227 - Operator06: Operator06Token : crate::veryl_token::VerylToken ; Production { - lhs: 434, - production: &[ParseType::N(436)], + lhs: 438, + production: &[ParseType::N(440)], }, - // 226 - Operator07: Operator07Token : crate::veryl_token::VerylToken ; + // 228 - Operator07: Operator07Token : crate::veryl_token::VerylToken ; Production { - lhs: 437, - production: &[ParseType::N(439)], + lhs: 441, + production: &[ParseType::N(443)], }, - // 227 - Operator08: Operator08Token : crate::veryl_token::VerylToken ; + // 229 - Operator08: Operator08Token : crate::veryl_token::VerylToken ; Production { - lhs: 440, - production: &[ParseType::N(442)], + lhs: 444, + production: &[ParseType::N(446)], }, - // 228 - Operator09: Operator09Token : crate::veryl_token::VerylToken ; + // 230 - Operator09: Operator09Token : crate::veryl_token::VerylToken ; Production { - lhs: 443, - production: &[ParseType::N(445)], + lhs: 447, + production: &[ParseType::N(449)], }, - // 229 - Operator10: Operator10Token : crate::veryl_token::VerylToken ; + // 231 - Operator10: Operator10Token : crate::veryl_token::VerylToken ; Production { - lhs: 446, - production: &[ParseType::N(448)], + lhs: 450, + production: &[ParseType::N(452)], }, - // 230 - Operator11: Operator11Token : crate::veryl_token::VerylToken ; + // 232 - Operator11: Operator11Token : crate::veryl_token::VerylToken ; Production { - lhs: 449, - production: &[ParseType::N(451)], + lhs: 453, + production: &[ParseType::N(455)], }, - // 231 - UnaryOperator: UnaryOperatorToken : crate::veryl_token::VerylToken ; + // 233 - UnaryOperator: UnaryOperatorToken : crate::veryl_token::VerylToken ; Production { - lhs: 589, - production: &[ParseType::N(591)], + lhs: 593, + production: &[ParseType::N(595)], }, - // 232 - Colon: ColonToken : crate::veryl_token::VerylToken ; + // 234 - Colon: ColonToken : crate::veryl_token::VerylToken ; Production { lhs: 87, production: &[ParseType::N(92)], }, - // 233 - ColonColon: ColonColonToken : crate::veryl_token::VerylToken ; + // 235 - ColonColon: ColonColonToken : crate::veryl_token::VerylToken ; Production { lhs: 88, production: &[ParseType::N(90)], }, - // 234 - Comma: CommaToken : crate::veryl_token::VerylToken ; + // 236 - Comma: CommaToken : crate::veryl_token::VerylToken ; Production { lhs: 93, production: &[ParseType::N(95)], }, - // 235 - DotDot: DotDotToken : crate::veryl_token::VerylToken ; + // 237 - DotDot: DotDotToken : crate::veryl_token::VerylToken ; Production { lhs: 117, production: &[ParseType::N(122)], }, - // 236 - DotDotEqu: DotDotEquToken : crate::veryl_token::VerylToken ; + // 238 - DotDotEqu: DotDotEquToken : crate::veryl_token::VerylToken ; Production { lhs: 118, production: &[ParseType::N(120)], }, - // 237 - Dot: DotToken : crate::veryl_token::VerylToken ; + // 239 - Dot: DotToken : crate::veryl_token::VerylToken ; Production { lhs: 116, production: &[ParseType::N(124)], }, - // 238 - Equ: EquToken : crate::veryl_token::VerylToken ; + // 240 - Equ: EquToken : crate::veryl_token::VerylToken ; Production { lhs: 149, production: &[ParseType::N(151)], }, - // 239 - Hash: HashToken : crate::veryl_token::VerylToken ; + // 241 - Hash: HashToken : crate::veryl_token::VerylToken ; Production { lhs: 234, production: &[ParseType::N(236)], }, - // 240 - QuoteLBrace: QuoteLBraceToken : crate::veryl_token::VerylToken ; + // 242 - QuoteLBrace: QuoteLBraceToken : crate::veryl_token::VerylToken ; Production { - lhs: 493, - production: &[ParseType::N(495)], + lhs: 497, + production: &[ParseType::N(499)], }, - // 241 - LAngle: LAngleToken : crate::veryl_token::VerylToken ; + // 243 - LAngle: LAngleToken : crate::veryl_token::VerylToken ; Production { - lhs: 344, - production: &[ParseType::N(346)], + lhs: 348, + production: &[ParseType::N(350)], }, - // 242 - LBrace: LBraceToken : crate::veryl_token::VerylToken ; + // 244 - LBrace: LBraceToken : crate::veryl_token::VerylToken ; Production { - lhs: 347, - production: &[ParseType::N(349)], + lhs: 351, + production: &[ParseType::N(353)], }, - // 243 - LBracket: LBracketToken : crate::veryl_token::VerylToken ; + // 245 - LBracket: LBracketToken : crate::veryl_token::VerylToken ; Production { - lhs: 350, - production: &[ParseType::N(352)], + lhs: 354, + production: &[ParseType::N(356)], }, - // 244 - LParen: LParenToken : crate::veryl_token::VerylToken ; + // 246 - LParen: LParenToken : crate::veryl_token::VerylToken ; Production { - lhs: 353, - production: &[ParseType::N(355)], + lhs: 357, + production: &[ParseType::N(359)], }, - // 245 - MinusColon: MinusColonToken : crate::veryl_token::VerylToken ; + // 247 - MinusColon: MinusColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 372, - production: &[ParseType::N(374)], + lhs: 376, + production: &[ParseType::N(378)], }, - // 246 - MinusGT: MinusGTToken : crate::veryl_token::VerylToken ; + // 248 - MinusGT: MinusGTToken : crate::veryl_token::VerylToken ; Production { - lhs: 375, - production: &[ParseType::N(377)], + lhs: 379, + production: &[ParseType::N(381)], }, - // 247 - PlusColon: PlusColonToken : crate::veryl_token::VerylToken ; + // 249 - PlusColon: PlusColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 473, - production: &[ParseType::N(475)], + lhs: 477, + production: &[ParseType::N(479)], }, - // 248 - RAngle: RAngleToken : crate::veryl_token::VerylToken ; + // 250 - RAngle: RAngleToken : crate::veryl_token::VerylToken ; Production { - lhs: 496, - production: &[ParseType::N(498)], + lhs: 500, + production: &[ParseType::N(502)], }, - // 249 - RBrace: RBraceToken : crate::veryl_token::VerylToken ; + // 251 - RBrace: RBraceToken : crate::veryl_token::VerylToken ; Production { - lhs: 499, - production: &[ParseType::N(501)], + lhs: 503, + production: &[ParseType::N(505)], }, - // 250 - RBracket: RBracketToken : crate::veryl_token::VerylToken ; + // 252 - RBracket: RBracketToken : crate::veryl_token::VerylToken ; Production { - lhs: 502, - production: &[ParseType::N(504)], + lhs: 506, + production: &[ParseType::N(508)], }, - // 251 - RParen: RParenToken : crate::veryl_token::VerylToken ; + // 253 - RParen: RParenToken : crate::veryl_token::VerylToken ; Production { - lhs: 505, - production: &[ParseType::N(507)], + lhs: 509, + production: &[ParseType::N(511)], }, - // 252 - Semicolon: SemicolonToken : crate::veryl_token::VerylToken ; + // 254 - Semicolon: SemicolonToken : crate::veryl_token::VerylToken ; Production { - lhs: 535, - production: &[ParseType::N(537)], + lhs: 539, + production: &[ParseType::N(541)], }, - // 253 - Star: StarToken : crate::veryl_token::VerylToken ; + // 255 - Star: StarToken : crate::veryl_token::VerylToken ; Production { - lhs: 541, - production: &[ParseType::N(543)], + lhs: 545, + production: &[ParseType::N(547)], }, - // 254 - AlwaysComb: AlwaysCombToken : crate::veryl_token::VerylToken ; + // 256 - AlwaysComb: AlwaysCombToken : crate::veryl_token::VerylToken ; Production { lhs: 3, production: &[ParseType::N(7)], }, - // 255 - AlwaysFf: AlwaysFfToken : crate::veryl_token::VerylToken ; + // 257 - AlwaysFf: AlwaysFfToken : crate::veryl_token::VerylToken ; Production { lhs: 8, production: &[ParseType::N(19)], }, - // 256 - As: AsToken : crate::veryl_token::VerylToken ; + // 258 - As: AsToken : crate::veryl_token::VerylToken ; Production { lhs: 35, production: &[ParseType::N(37)], }, - // 257 - Assign: AssignToken : crate::veryl_token::VerylToken ; + // 259 - Assign: AssignToken : crate::veryl_token::VerylToken ; Production { lhs: 38, production: &[ParseType::N(41)], }, - // 258 - AsyncHigh: AsyncHighToken : crate::veryl_token::VerylToken ; + // 260 - AsyncHigh: AsyncHighToken : crate::veryl_token::VerylToken ; Production { lhs: 47, production: &[ParseType::N(49)], }, - // 259 - AsyncLow: AsyncLowToken : crate::veryl_token::VerylToken ; + // 261 - AsyncLow: AsyncLowToken : crate::veryl_token::VerylToken ; Production { lhs: 50, production: &[ParseType::N(52)], }, - // 260 - Bit: BitToken : crate::veryl_token::VerylToken ; + // 262 - Bit: BitToken : crate::veryl_token::VerylToken ; Production { lhs: 65, production: &[ParseType::N(67)], }, - // 261 - Break: BreakToken : crate::veryl_token::VerylToken ; + // 263 - Break: BreakToken : crate::veryl_token::VerylToken ; Production { lhs: 68, production: &[ParseType::N(71)], }, - // 262 - Case: CaseToken : crate::veryl_token::VerylToken ; + // 264 - Case: CaseToken : crate::veryl_token::VerylToken ; Production { lhs: 72, production: &[ParseType::N(86)], }, - // 263 - Defaul: DefaultToken : crate::veryl_token::VerylToken ; + // 265 - Defaul: DefaultToken : crate::veryl_token::VerylToken ; Production { lhs: 104, production: &[ParseType::N(106)], }, - // 264 - Else: ElseToken : crate::veryl_token::VerylToken ; + // 266 - Else: ElseToken : crate::veryl_token::VerylToken ; Production { lhs: 125, production: &[ParseType::N(127)], }, - // 265 - Embed: EmbedToken : crate::veryl_token::VerylToken ; + // 267 - Embed: EmbedToken : crate::veryl_token::VerylToken ; Production { lhs: 128, production: &[ParseType::N(136)], }, - // 266 - Enum: EnumToken : crate::veryl_token::VerylToken ; + // 268 - Enum: EnumToken : crate::veryl_token::VerylToken ; Production { lhs: 137, production: &[ParseType::N(148)], }, - // 267 - Export: ExportToken : crate::veryl_token::VerylToken ; + // 269 - Export: ExportToken : crate::veryl_token::VerylToken ; Production { lhs: 155, production: &[ParseType::N(160)], }, - // 268 - F32: F32Token : crate::veryl_token::VerylToken ; + // 270 - F32: F32Token : crate::veryl_token::VerylToken ; Production { lhs: 199, production: &[ParseType::N(201)], }, - // 269 - F64: F64Token : crate::veryl_token::VerylToken ; + // 271 - F64: F64Token : crate::veryl_token::VerylToken ; Production { lhs: 202, production: &[ParseType::N(204)], }, - // 270 - Final: FinalToken : crate::veryl_token::VerylToken ; + // 272 - Final: FinalToken : crate::veryl_token::VerylToken ; Production { lhs: 208, production: &[ParseType::N(212)], }, - // 271 - For: ForToken : crate::veryl_token::VerylToken ; + // 273 - For: ForToken : crate::veryl_token::VerylToken ; Production { lhs: 217, production: &[ParseType::N(222)], }, - // 272 - Function: FunctionToken : crate::veryl_token::VerylToken ; + // 274 - Function: FunctionToken : crate::veryl_token::VerylToken ; Production { lhs: 223, production: &[ParseType::N(233)], }, - // 273 - I32: I32Token : crate::veryl_token::VerylToken ; + // 275 - I32: I32Token : crate::veryl_token::VerylToken ; Production { lhs: 241, production: &[ParseType::N(243)], }, - // 274 - I64: I64Token : crate::veryl_token::VerylToken ; + // 276 - I64: I64Token : crate::veryl_token::VerylToken ; Production { lhs: 244, production: &[ParseType::N(246)], }, - // 275 - If: IfToken : crate::veryl_token::VerylToken ; + // 277 - If: IfToken : crate::veryl_token::VerylToken ; Production { lhs: 252, production: &[ParseType::N(271)], }, - // 276 - IfReset: IfResetToken : crate::veryl_token::VerylToken ; + // 278 - IfReset: IfResetToken : crate::veryl_token::VerylToken ; Production { lhs: 255, production: &[ParseType::N(263)], }, - // 277 - Import: ImportToken : crate::veryl_token::VerylToken ; + // 279 - Import: ImportToken : crate::veryl_token::VerylToken ; Production { lhs: 272, production: &[ParseType::N(276)], }, - // 278 - In: InToken : crate::veryl_token::VerylToken ; + // 280 - In: InToken : crate::veryl_token::VerylToken ; Production { lhs: 277, production: &[ParseType::N(279)], }, - // 279 - Initial: InitialToken : crate::veryl_token::VerylToken ; + // 281 - Include: IncludeToken : crate::veryl_token::VerylToken ; Production { lhs: 280, - production: &[ParseType::N(284)], + production: &[ParseType::N(283)], }, - // 280 - Inout: InoutToken : crate::veryl_token::VerylToken ; + // 282 - Initial: InitialToken : crate::veryl_token::VerylToken ; Production { - lhs: 285, - production: &[ParseType::N(287)], + lhs: 284, + production: &[ParseType::N(288)], }, - // 281 - Input: InputToken : crate::veryl_token::VerylToken ; + // 283 - Inout: InoutToken : crate::veryl_token::VerylToken ; Production { - lhs: 288, - production: &[ParseType::N(290)], + lhs: 289, + production: &[ParseType::N(291)], }, - // 282 - Inside: InsideToken : crate::veryl_token::VerylToken ; + // 284 - Input: InputToken : crate::veryl_token::VerylToken ; Production { - lhs: 291, + lhs: 292, production: &[ParseType::N(294)], }, - // 283 - Inst: InstToken : crate::veryl_token::VerylToken ; + // 285 - Inside: InsideToken : crate::veryl_token::VerylToken ; Production { lhs: 295, - production: &[ParseType::N(320)], + production: &[ParseType::N(298)], }, - // 284 - Interface: InterfaceToken : crate::veryl_token::VerylToken ; + // 286 - Inst: InstToken : crate::veryl_token::VerylToken ; Production { - lhs: 322, - production: &[ParseType::N(343)], + lhs: 299, + production: &[ParseType::N(324)], }, - // 285 - Let: LetToken : crate::veryl_token::VerylToken ; + // 287 - Interface: InterfaceToken : crate::veryl_token::VerylToken ; Production { - lhs: 356, - production: &[ParseType::N(360)], + lhs: 326, + production: &[ParseType::N(347)], }, - // 286 - Local: LocalToken : crate::veryl_token::VerylToken ; + // 288 - Let: LetToken : crate::veryl_token::VerylToken ; Production { - lhs: 361, - production: &[ParseType::N(365)], + lhs: 360, + production: &[ParseType::N(364)], }, - // 287 - Logic: LogicToken : crate::veryl_token::VerylToken ; + // 289 - Local: LocalToken : crate::veryl_token::VerylToken ; Production { - lhs: 366, - production: &[ParseType::N(368)], + lhs: 365, + production: &[ParseType::N(369)], }, - // 288 - Lsb: LsbToken : crate::veryl_token::VerylToken ; + // 290 - Logic: LogicToken : crate::veryl_token::VerylToken ; Production { - lhs: 369, - production: &[ParseType::N(371)], + lhs: 370, + production: &[ParseType::N(372)], }, - // 289 - Modport: ModportToken : crate::veryl_token::VerylToken ; + // 291 - Lsb: LsbToken : crate::veryl_token::VerylToken ; Production { - lhs: 378, - production: &[ParseType::N(388)], + lhs: 373, + production: &[ParseType::N(375)], }, - // 290 - Module: ModuleToken : crate::veryl_token::VerylToken ; + // 292 - Modport: ModportToken : crate::veryl_token::VerylToken ; Production { - lhs: 389, - production: &[ParseType::N(411)], + lhs: 382, + production: &[ParseType::N(392)], }, - // 291 - Msb: MsbToken : crate::veryl_token::VerylToken ; + // 293 - Module: ModuleToken : crate::veryl_token::VerylToken ; Production { - lhs: 412, - production: &[ParseType::N(414)], + lhs: 393, + production: &[ParseType::N(415)], }, - // 292 - Negedge: NegedgeToken : crate::veryl_token::VerylToken ; + // 294 - Msb: MsbToken : crate::veryl_token::VerylToken ; Production { - lhs: 415, - production: &[ParseType::N(417)], + lhs: 416, + production: &[ParseType::N(418)], }, - // 293 - Output: OutputToken : crate::veryl_token::VerylToken ; + // 295 - Negedge: NegedgeToken : crate::veryl_token::VerylToken ; Production { - lhs: 452, - production: &[ParseType::N(454)], + lhs: 419, + production: &[ParseType::N(421)], }, - // 294 - Outside: OutsideToken : crate::veryl_token::VerylToken ; + // 296 - Output: OutputToken : crate::veryl_token::VerylToken ; Production { - lhs: 455, + lhs: 456, production: &[ParseType::N(458)], }, - // 295 - Package: PackageToken : crate::veryl_token::VerylToken ; + // 297 - Outside: OutsideToken : crate::veryl_token::VerylToken ; Production { lhs: 459, - production: &[ParseType::N(469)], + production: &[ParseType::N(462)], }, - // 296 - Param: ParamToken : crate::veryl_token::VerylToken ; + // 298 - Package: PackageToken : crate::veryl_token::VerylToken ; Production { - lhs: 470, - production: &[ParseType::N(472)], + lhs: 463, + production: &[ParseType::N(473)], }, - // 297 - Posedge: PosedgeToken : crate::veryl_token::VerylToken ; + // 299 - Param: ParamToken : crate::veryl_token::VerylToken ; Production { - lhs: 487, - production: &[ParseType::N(489)], - }, - // 298 - Pub: PubToken : crate::veryl_token::VerylToken ; + lhs: 474, + production: &[ParseType::N(476)], + }, + // 300 - Posedge: PosedgeToken : crate::veryl_token::VerylToken ; Production { - lhs: 490, - production: &[ParseType::N(492)], + lhs: 491, + production: &[ParseType::N(493)], }, - // 299 - Ref: RefToken : crate::veryl_token::VerylToken ; + // 301 - Pub: PubToken : crate::veryl_token::VerylToken ; Production { - lhs: 516, - production: &[ParseType::N(518)], + lhs: 494, + production: &[ParseType::N(496)], }, - // 300 - Repeat: RepeatToken : crate::veryl_token::VerylToken ; + // 302 - Ref: RefToken : crate::veryl_token::VerylToken ; Production { - lhs: 519, - production: &[ParseType::N(521)], + lhs: 520, + production: &[ParseType::N(522)], }, - // 301 - Return: ReturnToken : crate::veryl_token::VerylToken ; + // 303 - Repeat: RepeatToken : crate::veryl_token::VerylToken ; Production { - lhs: 522, + lhs: 523, production: &[ParseType::N(525)], }, - // 302 - Signed: SignedToken : crate::veryl_token::VerylToken ; + // 304 - Return: ReturnToken : crate::veryl_token::VerylToken ; Production { - lhs: 538, - production: &[ParseType::N(540)], + lhs: 526, + production: &[ParseType::N(529)], }, - // 303 - Step: StepToken : crate::veryl_token::VerylToken ; + // 305 - Signed: SignedToken : crate::veryl_token::VerylToken ; Production { - lhs: 547, - production: &[ParseType::N(549)], + lhs: 542, + production: &[ParseType::N(544)], }, - // 304 - Strin: StringToken : crate::veryl_token::VerylToken ; + // 306 - Step: StepToken : crate::veryl_token::VerylToken ; Production { - lhs: 550, - production: &[ParseType::N(555)], + lhs: 551, + production: &[ParseType::N(553)], }, - // 305 - Struct: StructToken : crate::veryl_token::VerylToken ; + // 307 - Strin: StringToken : crate::veryl_token::VerylToken ; Production { - lhs: 556, - production: &[ParseType::N(558)], + lhs: 554, + production: &[ParseType::N(559)], }, - // 306 - SyncHigh: SyncHighToken : crate::veryl_token::VerylToken ; + // 308 - Struct: StructToken : crate::veryl_token::VerylToken ; Production { - lhs: 568, - production: &[ParseType::N(570)], + lhs: 560, + production: &[ParseType::N(562)], }, - // 307 - SyncLow: SyncLowToken : crate::veryl_token::VerylToken ; + // 309 - SyncHigh: SyncHighToken : crate::veryl_token::VerylToken ; Production { - lhs: 571, - production: &[ParseType::N(573)], + lhs: 572, + production: &[ParseType::N(574)], }, - // 308 - Tri: TriToken : crate::veryl_token::VerylToken ; + // 310 - SyncLow: SyncLowToken : crate::veryl_token::VerylToken ; Production { - lhs: 574, - production: &[ParseType::N(576)], + lhs: 575, + production: &[ParseType::N(577)], }, - // 309 - Type: TypeToken : crate::veryl_token::VerylToken ; + // 311 - Tri: TriToken : crate::veryl_token::VerylToken ; Production { - lhs: 577, - production: &[ParseType::N(582)], + lhs: 578, + production: &[ParseType::N(580)], }, - // 310 - U32: U32Token : crate::veryl_token::VerylToken ; + // 312 - Type: TypeToken : crate::veryl_token::VerylToken ; Production { - lhs: 583, - production: &[ParseType::N(585)], + lhs: 581, + production: &[ParseType::N(586)], }, - // 311 - U64: U64Token : crate::veryl_token::VerylToken ; + // 313 - U32: U32Token : crate::veryl_token::VerylToken ; Production { - lhs: 586, - production: &[ParseType::N(588)], + lhs: 587, + production: &[ParseType::N(589)], }, - // 312 - Union: UnionToken : crate::veryl_token::VerylToken ; + // 314 - U64: U64Token : crate::veryl_token::VerylToken ; Production { - lhs: 592, - production: &[ParseType::N(594)], + lhs: 590, + production: &[ParseType::N(592)], }, - // 313 - Var: VarToken : crate::veryl_token::VerylToken ; + // 315 - Union: UnionToken : crate::veryl_token::VerylToken ; Production { - lhs: 595, + lhs: 596, production: &[ParseType::N(598)], }, - // 314 - DollarIdentifier: DollarIdentifierToken : crate::veryl_token::VerylToken ; + // 316 - Var: VarToken : crate::veryl_token::VerylToken ; + Production { + lhs: 599, + production: &[ParseType::N(602)], + }, + // 317 - DollarIdentifier: DollarIdentifierToken : crate::veryl_token::VerylToken ; Production { lhs: 113, production: &[ParseType::N(115)], }, - // 315 - Identifier: IdentifierToken : crate::veryl_token::VerylToken ; + // 318 - Identifier: IdentifierToken : crate::veryl_token::VerylToken ; Production { lhs: 247, production: &[ParseType::N(251)], }, - // 316 - Number: IntegralNumber; + // 319 - Number: IntegralNumber; Production { - lhs: 418, - production: &[ParseType::N(321)], + lhs: 422, + production: &[ParseType::N(325)], }, - // 317 - Number: RealNumber; + // 320 - Number: RealNumber; Production { - lhs: 418, - production: &[ParseType::N(515)], + lhs: 422, + production: &[ParseType::N(519)], }, - // 318 - IntegralNumber: Based; + // 321 - IntegralNumber: Based; Production { - lhs: 321, + lhs: 325, production: &[ParseType::N(62)], }, - // 319 - IntegralNumber: BaseLess; + // 322 - IntegralNumber: BaseLess; Production { - lhs: 321, + lhs: 325, production: &[ParseType::N(59)], }, - // 320 - IntegralNumber: AllBit; + // 323 - IntegralNumber: AllBit; Production { - lhs: 321, + lhs: 325, production: &[ParseType::N(0)], }, - // 321 - RealNumber: FixedPoint; + // 324 - RealNumber: FixedPoint; Production { - lhs: 515, + lhs: 519, production: &[ParseType::N(213)], }, - // 322 - RealNumber: Exponent; + // 325 - RealNumber: Exponent; Production { - lhs: 515, + lhs: 519, production: &[ParseType::N(152)], }, - // 323 - HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; + // 326 - HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; Production { lhs: 237, production: &[ParseType::N(239), ParseType::N(238), ParseType::N(247)], }, - // 324 - HierarchicalIdentifierList0: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; + // 327 - HierarchicalIdentifierList0: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; Production { lhs: 239, production: &[ @@ -16172,82 +16248,82 @@ pub const PRODUCTIONS: &[Production; 879] = &[ ParseType::N(116), ], }, - // 325 - HierarchicalIdentifierList0List: Select HierarchicalIdentifierList0List; + // 328 - HierarchicalIdentifierList0List: Select HierarchicalIdentifierList0List; Production { lhs: 240, - production: &[ParseType::N(240), ParseType::N(532)], + production: &[ParseType::N(240), ParseType::N(536)], }, - // 326 - HierarchicalIdentifierList0List: ; + // 329 - HierarchicalIdentifierList0List: ; Production { lhs: 240, production: &[], }, - // 327 - HierarchicalIdentifierList0: ; + // 330 - HierarchicalIdentifierList0: ; Production { lhs: 239, production: &[], }, - // 328 - HierarchicalIdentifierList: Select HierarchicalIdentifierList; + // 331 - HierarchicalIdentifierList: Select HierarchicalIdentifierList; Production { lhs: 238, - production: &[ParseType::N(238), ParseType::N(532)], + production: &[ParseType::N(238), ParseType::N(536)], }, - // 329 - HierarchicalIdentifierList: ; + // 332 - HierarchicalIdentifierList: ; Production { lhs: 238, production: &[], }, - // 330 - ScopedIdentifier: ScopedIdentifierGroup ScopedIdentifierList /* Vec */; + // 333 - ScopedIdentifier: ScopedIdentifierGroup ScopedIdentifierList /* Vec */; Production { - lhs: 529, - production: &[ParseType::N(531), ParseType::N(530)], + lhs: 533, + production: &[ParseType::N(535), ParseType::N(534)], }, - // 331 - ScopedIdentifierGroup: DollarIdentifier; + // 334 - ScopedIdentifierGroup: DollarIdentifier; Production { - lhs: 530, + lhs: 534, production: &[ParseType::N(113)], }, - // 332 - ScopedIdentifierGroup: Identifier; + // 335 - ScopedIdentifierGroup: Identifier; Production { - lhs: 530, + lhs: 534, production: &[ParseType::N(247)], }, - // 333 - ScopedIdentifierList: ColonColon Identifier ScopedIdentifierList; + // 336 - ScopedIdentifierList: ColonColon Identifier ScopedIdentifierList; Production { - lhs: 531, - production: &[ParseType::N(531), ParseType::N(247), ParseType::N(88)], + lhs: 535, + production: &[ParseType::N(535), ParseType::N(247), ParseType::N(88)], }, - // 334 - ScopedIdentifierList: ; + // 337 - ScopedIdentifierList: ; Production { - lhs: 531, + lhs: 535, production: &[], }, - // 335 - ExpressionIdentifier: ExpressionIdentifierGroup ExpressionIdentifierGroup0; + // 338 - ExpressionIdentifier: ExpressionIdentifierGroup ExpressionIdentifierGroup0; Production { lhs: 188, production: &[ParseType::N(190), ParseType::N(189)], }, - // 336 - ExpressionIdentifierGroup0: ExpressionIdentifierScoped; + // 339 - ExpressionIdentifierGroup0: ExpressionIdentifierScoped; Production { lhs: 190, production: &[ParseType::N(195)], }, - // 337 - ExpressionIdentifierGroup0: ExpressionIdentifierMember; + // 340 - ExpressionIdentifierGroup0: ExpressionIdentifierMember; Production { lhs: 190, production: &[ParseType::N(191)], }, - // 338 - ExpressionIdentifierGroup: DollarIdentifier; + // 341 - ExpressionIdentifierGroup: DollarIdentifier; Production { lhs: 189, production: &[ParseType::N(113)], }, - // 339 - ExpressionIdentifierGroup: Identifier; + // 342 - ExpressionIdentifierGroup: Identifier; Production { lhs: 189, production: &[ParseType::N(247)], }, - // 340 - ExpressionIdentifierScoped: ColonColon Identifier ExpressionIdentifierScopedList /* Vec */ ExpressionIdentifierScopedList0 /* Vec */; + // 343 - ExpressionIdentifierScoped: ColonColon Identifier ExpressionIdentifierScopedList /* Vec */ ExpressionIdentifierScopedList0 /* Vec */; Production { lhs: 195, production: &[ @@ -16257,32 +16333,32 @@ pub const PRODUCTIONS: &[Production; 879] = &[ ParseType::N(88), ], }, - // 341 - ExpressionIdentifierScopedList0: Select ExpressionIdentifierScopedList0; + // 344 - ExpressionIdentifierScopedList0: Select ExpressionIdentifierScopedList0; Production { lhs: 197, - production: &[ParseType::N(197), ParseType::N(532)], + production: &[ParseType::N(197), ParseType::N(536)], }, - // 342 - ExpressionIdentifierScopedList0: ; + // 345 - ExpressionIdentifierScopedList0: ; Production { lhs: 197, production: &[], }, - // 343 - ExpressionIdentifierScopedList: ColonColon Identifier ExpressionIdentifierScopedList; + // 346 - ExpressionIdentifierScopedList: ColonColon Identifier ExpressionIdentifierScopedList; Production { lhs: 196, production: &[ParseType::N(196), ParseType::N(247), ParseType::N(88)], }, - // 344 - ExpressionIdentifierScopedList: ; + // 347 - ExpressionIdentifierScopedList: ; Production { lhs: 196, production: &[], }, - // 345 - ExpressionIdentifierMember: ExpressionIdentifierMemberList /* Vec */ ExpressionIdentifierMemberList0 /* Vec */; + // 348 - ExpressionIdentifierMember: ExpressionIdentifierMemberList /* Vec */ ExpressionIdentifierMemberList0 /* Vec */; Production { lhs: 191, production: &[ParseType::N(193), ParseType::N(192)], }, - // 346 - ExpressionIdentifierMemberList0: Dot Identifier ExpressionIdentifierMemberList0List /* Vec */ ExpressionIdentifierMemberList0; + // 349 - ExpressionIdentifierMemberList0: Dot Identifier ExpressionIdentifierMemberList0List /* Vec */ ExpressionIdentifierMemberList0; Production { lhs: 193, production: &[ @@ -16292,510 +16368,510 @@ pub const PRODUCTIONS: &[Production; 879] = &[ ParseType::N(116), ], }, - // 347 - ExpressionIdentifierMemberList0List: Select ExpressionIdentifierMemberList0List; + // 350 - ExpressionIdentifierMemberList0List: Select ExpressionIdentifierMemberList0List; Production { lhs: 194, - production: &[ParseType::N(194), ParseType::N(532)], + production: &[ParseType::N(194), ParseType::N(536)], }, - // 348 - ExpressionIdentifierMemberList0List: ; + // 351 - ExpressionIdentifierMemberList0List: ; Production { lhs: 194, production: &[], }, - // 349 - ExpressionIdentifierMemberList0: ; + // 352 - ExpressionIdentifierMemberList0: ; Production { lhs: 193, production: &[], }, - // 350 - ExpressionIdentifierMemberList: Select ExpressionIdentifierMemberList; + // 353 - ExpressionIdentifierMemberList: Select ExpressionIdentifierMemberList; Production { lhs: 192, - production: &[ParseType::N(192), ParseType::N(532)], + production: &[ParseType::N(192), ParseType::N(536)], }, - // 351 - ExpressionIdentifierMemberList: ; + // 354 - ExpressionIdentifierMemberList: ; Production { lhs: 192, production: &[], }, - // 352 - Expression: Expression01 ExpressionList /* Vec */; + // 355 - Expression: Expression01 ExpressionList /* Vec */; Production { lhs: 161, production: &[ParseType::N(198), ParseType::N(162)], }, - // 353 - ExpressionList: Operator01 Expression01 ExpressionList; + // 356 - ExpressionList: Operator01 Expression01 ExpressionList; Production { lhs: 198, - production: &[ParseType::N(198), ParseType::N(162), ParseType::N(419)], + production: &[ParseType::N(198), ParseType::N(162), ParseType::N(423)], }, - // 354 - ExpressionList: ; + // 357 - ExpressionList: ; Production { lhs: 198, production: &[], }, - // 355 - Expression01: Expression02 Expression01List /* Vec */; + // 358 - Expression01: Expression02 Expression01List /* Vec */; Production { lhs: 162, production: &[ParseType::N(163), ParseType::N(164)], }, - // 356 - Expression01List: Operator02 Expression02 Expression01List; + // 359 - Expression01List: Operator02 Expression02 Expression01List; Production { lhs: 163, - production: &[ParseType::N(163), ParseType::N(164), ParseType::N(422)], + production: &[ParseType::N(163), ParseType::N(164), ParseType::N(426)], }, - // 357 - Expression01List: ; + // 360 - Expression01List: ; Production { lhs: 163, production: &[], }, - // 358 - Expression02: Expression03 Expression02List /* Vec */; + // 361 - Expression02: Expression03 Expression02List /* Vec */; Production { lhs: 164, production: &[ParseType::N(165), ParseType::N(166)], }, - // 359 - Expression02List: Operator03 Expression03 Expression02List; + // 362 - Expression02List: Operator03 Expression03 Expression02List; Production { lhs: 165, - production: &[ParseType::N(165), ParseType::N(166), ParseType::N(425)], + production: &[ParseType::N(165), ParseType::N(166), ParseType::N(429)], }, - // 360 - Expression02List: ; + // 363 - Expression02List: ; Production { lhs: 165, production: &[], }, - // 361 - Expression03: Expression04 Expression03List /* Vec */; + // 364 - Expression03: Expression04 Expression03List /* Vec */; Production { lhs: 166, production: &[ParseType::N(167), ParseType::N(168)], }, - // 362 - Expression03List: Operator04 Expression04 Expression03List; + // 365 - Expression03List: Operator04 Expression04 Expression03List; Production { lhs: 167, - production: &[ParseType::N(167), ParseType::N(168), ParseType::N(428)], + production: &[ParseType::N(167), ParseType::N(168), ParseType::N(432)], }, - // 363 - Expression03List: ; + // 366 - Expression03List: ; Production { lhs: 167, production: &[], }, - // 364 - Expression04: Expression05 Expression04List /* Vec */; + // 367 - Expression04: Expression05 Expression04List /* Vec */; Production { lhs: 168, production: &[ParseType::N(169), ParseType::N(170)], }, - // 365 - Expression04List: Operator05 Expression05 Expression04List; + // 368 - Expression04List: Operator05 Expression05 Expression04List; Production { lhs: 169, - production: &[ParseType::N(169), ParseType::N(170), ParseType::N(431)], + production: &[ParseType::N(169), ParseType::N(170), ParseType::N(435)], }, - // 366 - Expression04List: ; + // 369 - Expression04List: ; Production { lhs: 169, production: &[], }, - // 367 - Expression05: Expression06 Expression05List /* Vec */; + // 370 - Expression05: Expression06 Expression05List /* Vec */; Production { lhs: 170, production: &[ParseType::N(171), ParseType::N(172)], }, - // 368 - Expression05List: Operator06 Expression06 Expression05List; + // 371 - Expression05List: Operator06 Expression06 Expression05List; Production { lhs: 171, - production: &[ParseType::N(171), ParseType::N(172), ParseType::N(434)], + production: &[ParseType::N(171), ParseType::N(172), ParseType::N(438)], }, - // 369 - Expression05List: ; + // 372 - Expression05List: ; Production { lhs: 171, production: &[], }, - // 370 - Expression06: Expression07 Expression06List /* Vec */; + // 373 - Expression06: Expression07 Expression06List /* Vec */; Production { lhs: 172, production: &[ParseType::N(173), ParseType::N(174)], }, - // 371 - Expression06List: Operator07 Expression07 Expression06List; + // 374 - Expression06List: Operator07 Expression07 Expression06List; Production { lhs: 173, - production: &[ParseType::N(173), ParseType::N(174), ParseType::N(437)], + production: &[ParseType::N(173), ParseType::N(174), ParseType::N(441)], }, - // 372 - Expression06List: ; + // 375 - Expression06List: ; Production { lhs: 173, production: &[], }, - // 373 - Expression07: Expression08 Expression07List /* Vec */; + // 376 - Expression07: Expression08 Expression07List /* Vec */; Production { lhs: 174, production: &[ParseType::N(175), ParseType::N(176)], }, - // 374 - Expression07List: Operator08 Expression08 Expression07List; + // 377 - Expression07List: Operator08 Expression08 Expression07List; Production { lhs: 175, - production: &[ParseType::N(175), ParseType::N(176), ParseType::N(440)], + production: &[ParseType::N(175), ParseType::N(176), ParseType::N(444)], }, - // 375 - Expression07List: ; + // 378 - Expression07List: ; Production { lhs: 175, production: &[], }, - // 376 - Expression08: Expression09 Expression08List /* Vec */; + // 379 - Expression08: Expression09 Expression08List /* Vec */; Production { lhs: 176, production: &[ParseType::N(177), ParseType::N(178)], }, - // 377 - Expression08List: Operator09 Expression09 Expression08List; + // 380 - Expression08List: Operator09 Expression09 Expression08List; Production { lhs: 177, - production: &[ParseType::N(177), ParseType::N(178), ParseType::N(443)], + production: &[ParseType::N(177), ParseType::N(178), ParseType::N(447)], }, - // 378 - Expression08List: ; + // 381 - Expression08List: ; Production { lhs: 177, production: &[], }, - // 379 - Expression09: Expression10 Expression09List /* Vec */; + // 382 - Expression09: Expression10 Expression09List /* Vec */; Production { lhs: 178, production: &[ParseType::N(179), ParseType::N(181)], }, - // 380 - Expression09List: Expression09ListGroup Expression10 Expression09List; + // 383 - Expression09List: Expression09ListGroup Expression10 Expression09List; Production { lhs: 179, production: &[ParseType::N(179), ParseType::N(181), ParseType::N(180)], }, - // 381 - Expression09ListGroup: Operator10; + // 384 - Expression09ListGroup: Operator10; Production { lhs: 180, - production: &[ParseType::N(446)], + production: &[ParseType::N(450)], }, - // 382 - Expression09ListGroup: Star; + // 385 - Expression09ListGroup: Star; Production { lhs: 180, - production: &[ParseType::N(541)], + production: &[ParseType::N(545)], }, - // 383 - Expression09List: ; + // 386 - Expression09List: ; Production { lhs: 179, production: &[], }, - // 384 - Expression10: Expression11 Expression10List /* Vec */; + // 387 - Expression10: Expression11 Expression10List /* Vec */; Production { lhs: 181, production: &[ParseType::N(182), ParseType::N(183)], }, - // 385 - Expression10List: Operator11 Expression11 Expression10List; + // 388 - Expression10List: Operator11 Expression11 Expression10List; Production { lhs: 182, - production: &[ParseType::N(182), ParseType::N(183), ParseType::N(449)], + production: &[ParseType::N(182), ParseType::N(183), ParseType::N(453)], }, - // 386 - Expression10List: ; + // 389 - Expression10List: ; Production { lhs: 182, production: &[], }, - // 387 - Expression11: Expression12 Expression11List /* Vec */; + // 390 - Expression11: Expression12 Expression11List /* Vec */; Production { lhs: 183, production: &[ParseType::N(184), ParseType::N(185)], }, - // 388 - Expression11List: As ScopedIdentifier Expression11List; + // 391 - Expression11List: As ScopedIdentifier Expression11List; Production { lhs: 184, - production: &[ParseType::N(184), ParseType::N(529), ParseType::N(35)], + production: &[ParseType::N(184), ParseType::N(533), ParseType::N(35)], }, - // 389 - Expression11List: ; + // 392 - Expression11List: ; Production { lhs: 184, production: &[], }, - // 390 - Expression12: Expression12List /* Vec */ Factor; + // 393 - Expression12: Expression12List /* Vec */ Factor; Production { lhs: 185, production: &[ParseType::N(205), ParseType::N(186)], }, - // 391 - Expression12List: Expression12ListGroup Expression12List; + // 394 - Expression12List: Expression12ListGroup Expression12List; Production { lhs: 186, production: &[ParseType::N(186), ParseType::N(187)], }, - // 392 - Expression12ListGroup: UnaryOperator; + // 395 - Expression12ListGroup: UnaryOperator; Production { lhs: 187, - production: &[ParseType::N(589)], + production: &[ParseType::N(593)], }, - // 393 - Expression12ListGroup: Operator09; + // 396 - Expression12ListGroup: Operator09; Production { lhs: 187, - production: &[ParseType::N(443)], + production: &[ParseType::N(447)], }, - // 394 - Expression12ListGroup: Operator05; + // 397 - Expression12ListGroup: Operator05; Production { lhs: 187, - production: &[ParseType::N(431)], + production: &[ParseType::N(435)], }, - // 395 - Expression12ListGroup: Operator03; + // 398 - Expression12ListGroup: Operator03; Production { lhs: 187, - production: &[ParseType::N(425)], + production: &[ParseType::N(429)], }, - // 396 - Expression12ListGroup: Operator04; + // 399 - Expression12ListGroup: Operator04; Production { lhs: 187, - production: &[ParseType::N(428)], + production: &[ParseType::N(432)], }, - // 397 - Expression12List: ; + // 400 - Expression12List: ; Production { lhs: 186, production: &[], }, - // 398 - Factor: Number; + // 401 - Factor: Number; Production { lhs: 205, - production: &[ParseType::N(418)], + production: &[ParseType::N(422)], }, - // 399 - Factor: ExpressionIdentifier FactorOpt /* Option */; + // 402 - Factor: ExpressionIdentifier FactorOpt /* Option */; Production { lhs: 205, production: &[ParseType::N(207), ParseType::N(188)], }, - // 400 - Factor: LParen Expression RParen; + // 403 - Factor: LParen Expression RParen; Production { lhs: 205, - production: &[ParseType::N(505), ParseType::N(161), ParseType::N(353)], + production: &[ParseType::N(509), ParseType::N(161), ParseType::N(357)], }, - // 401 - Factor: LBrace ConcatenationList RBrace; + // 404 - Factor: LBrace ConcatenationList RBrace; Production { lhs: 205, - production: &[ParseType::N(499), ParseType::N(101), ParseType::N(347)], + production: &[ParseType::N(503), ParseType::N(101), ParseType::N(351)], }, - // 402 - Factor: QuoteLBrace ArrayLiteralList RBrace; + // 405 - Factor: QuoteLBrace ArrayLiteralList RBrace; Production { lhs: 205, - production: &[ParseType::N(499), ParseType::N(30), ParseType::N(493)], + production: &[ParseType::N(503), ParseType::N(30), ParseType::N(497)], }, - // 403 - Factor: IfExpression; + // 406 - Factor: IfExpression; Production { lhs: 205, production: &[ParseType::N(253)], }, - // 404 - Factor: CaseExpression; + // 407 - Factor: CaseExpression; Production { lhs: 205, production: &[ParseType::N(73)], }, - // 405 - Factor: StringLiteral; + // 408 - Factor: StringLiteral; Production { lhs: 205, - production: &[ParseType::N(551)], + production: &[ParseType::N(555)], }, - // 406 - Factor: FactorGroup; + // 409 - Factor: FactorGroup; Production { lhs: 205, production: &[ParseType::N(206)], }, - // 407 - FactorGroup: Msb; + // 410 - FactorGroup: Msb; Production { lhs: 206, - production: &[ParseType::N(412)], + production: &[ParseType::N(416)], }, - // 408 - FactorGroup: Lsb; + // 411 - FactorGroup: Lsb; Production { lhs: 206, - production: &[ParseType::N(369)], + production: &[ParseType::N(373)], }, - // 409 - Factor: InsideExpression; + // 412 - Factor: InsideExpression; Production { lhs: 205, - production: &[ParseType::N(292)], + production: &[ParseType::N(296)], }, - // 410 - Factor: OutsideExpression; + // 413 - Factor: OutsideExpression; Production { lhs: 205, - production: &[ParseType::N(456)], + production: &[ParseType::N(460)], }, - // 411 - FactorOpt: FunctionCall; + // 414 - FactorOpt: FunctionCall; Production { lhs: 207, production: &[ParseType::N(224)], }, - // 412 - FactorOpt: ; + // 415 - FactorOpt: ; Production { lhs: 207, production: &[], }, - // 413 - FunctionCall: LParen FunctionCallOpt /* Option */ RParen; + // 416 - FunctionCall: LParen FunctionCallOpt /* Option */ RParen; Production { lhs: 224, - production: &[ParseType::N(505), ParseType::N(225), ParseType::N(353)], + production: &[ParseType::N(509), ParseType::N(225), ParseType::N(357)], }, - // 414 - FunctionCallOpt: ArgumentList; + // 417 - FunctionCallOpt: ArgumentList; Production { lhs: 225, production: &[ParseType::N(22)], }, - // 415 - FunctionCallOpt: ; + // 418 - FunctionCallOpt: ; Production { lhs: 225, production: &[], }, - // 416 - ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; + // 419 - ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; Production { lhs: 22, production: &[ParseType::N(24), ParseType::N(23), ParseType::N(21)], }, - // 417 - ArgumentListList: Comma ArgumentItem ArgumentListList; + // 420 - ArgumentListList: Comma ArgumentItem ArgumentListList; Production { lhs: 23, production: &[ParseType::N(23), ParseType::N(21), ParseType::N(93)], }, - // 418 - ArgumentListList: ; + // 421 - ArgumentListList: ; Production { lhs: 23, production: &[], }, - // 419 - ArgumentListOpt: Comma; + // 422 - ArgumentListOpt: Comma; Production { lhs: 24, production: &[ParseType::N(93)], }, - // 420 - ArgumentListOpt: ; + // 423 - ArgumentListOpt: ; Production { lhs: 24, production: &[], }, - // 421 - ArgumentItem: Expression; + // 424 - ArgumentItem: Expression; Production { lhs: 21, production: &[ParseType::N(161)], }, - // 422 - ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; + // 425 - ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; Production { lhs: 101, production: &[ParseType::N(103), ParseType::N(102), ParseType::N(99)], }, - // 423 - ConcatenationListList: Comma ConcatenationItem ConcatenationListList; + // 426 - ConcatenationListList: Comma ConcatenationItem ConcatenationListList; Production { lhs: 102, production: &[ParseType::N(102), ParseType::N(99), ParseType::N(93)], }, - // 424 - ConcatenationListList: ; + // 427 - ConcatenationListList: ; Production { lhs: 102, production: &[], }, - // 425 - ConcatenationListOpt: Comma; + // 428 - ConcatenationListOpt: Comma; Production { lhs: 103, production: &[ParseType::N(93)], }, - // 426 - ConcatenationListOpt: ; + // 429 - ConcatenationListOpt: ; Production { lhs: 103, production: &[], }, - // 427 - ConcatenationItem: Expression ConcatenationItemOpt /* Option */; + // 430 - ConcatenationItem: Expression ConcatenationItemOpt /* Option */; Production { lhs: 99, production: &[ParseType::N(100), ParseType::N(161)], }, - // 428 - ConcatenationItemOpt: Repeat Expression; + // 431 - ConcatenationItemOpt: Repeat Expression; Production { lhs: 100, - production: &[ParseType::N(161), ParseType::N(519)], + production: &[ParseType::N(161), ParseType::N(523)], }, - // 429 - ConcatenationItemOpt: ; + // 432 - ConcatenationItemOpt: ; Production { lhs: 100, production: &[], }, - // 430 - ArrayLiteralList: ArrayLiteralItem ArrayLiteralListList /* Vec */ ArrayLiteralListOpt /* Option */; + // 433 - ArrayLiteralList: ArrayLiteralItem ArrayLiteralListList /* Vec */ ArrayLiteralListOpt /* Option */; Production { lhs: 30, production: &[ParseType::N(32), ParseType::N(31), ParseType::N(27)], }, - // 431 - ArrayLiteralListList: Comma ArrayLiteralItem ArrayLiteralListList; + // 434 - ArrayLiteralListList: Comma ArrayLiteralItem ArrayLiteralListList; Production { lhs: 31, production: &[ParseType::N(31), ParseType::N(27), ParseType::N(93)], }, - // 432 - ArrayLiteralListList: ; + // 435 - ArrayLiteralListList: ; Production { lhs: 31, production: &[], }, - // 433 - ArrayLiteralListOpt: Comma; + // 436 - ArrayLiteralListOpt: Comma; Production { lhs: 32, production: &[ParseType::N(93)], }, - // 434 - ArrayLiteralListOpt: ; + // 437 - ArrayLiteralListOpt: ; Production { lhs: 32, production: &[], }, - // 435 - ArrayLiteralItem: ArrayLiteralItemGroup; + // 438 - ArrayLiteralItem: ArrayLiteralItemGroup; Production { lhs: 27, production: &[ParseType::N(28)], }, - // 436 - ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */; + // 439 - ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */; Production { lhs: 28, production: &[ParseType::N(29), ParseType::N(161)], }, - // 437 - ArrayLiteralItemGroup: Defaul Colon Expression; + // 440 - ArrayLiteralItemGroup: Defaul Colon Expression; Production { lhs: 28, production: &[ParseType::N(161), ParseType::N(87), ParseType::N(104)], }, - // 438 - ArrayLiteralItemOpt: Repeat Expression; + // 441 - ArrayLiteralItemOpt: Repeat Expression; Production { lhs: 29, - production: &[ParseType::N(161), ParseType::N(519)], + production: &[ParseType::N(161), ParseType::N(523)], }, - // 439 - ArrayLiteralItemOpt: ; + // 442 - ArrayLiteralItemOpt: ; Production { lhs: 29, production: &[], }, - // 440 - IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; + // 443 - IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; Production { lhs: 253, production: &[ - ParseType::N(499), + ParseType::N(503), ParseType::N(161), - ParseType::N(347), + ParseType::N(351), ParseType::N(125), ParseType::N(254), - ParseType::N(499), + ParseType::N(503), ParseType::N(161), - ParseType::N(347), + ParseType::N(351), ParseType::N(161), ParseType::N(252), ], }, - // 441 - IfExpressionList: Else If Expression LBrace Expression RBrace IfExpressionList; + // 444 - IfExpressionList: Else If Expression LBrace Expression RBrace IfExpressionList; Production { lhs: 254, production: &[ ParseType::N(254), - ParseType::N(499), + ParseType::N(503), ParseType::N(161), - ParseType::N(347), + ParseType::N(351), ParseType::N(161), ParseType::N(252), ParseType::N(125), ], }, - // 442 - IfExpressionList: ; + // 445 - IfExpressionList: ; Production { lhs: 254, production: &[], }, - // 443 - CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; + // 446 - CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; Production { lhs: 73, production: &[ - ParseType::N(499), + ParseType::N(503), ParseType::N(77), ParseType::N(161), ParseType::N(87), @@ -16806,12 +16882,12 @@ pub const PRODUCTIONS: &[Production; 879] = &[ ParseType::N(87), ParseType::N(74), ParseType::N(161), - ParseType::N(347), + ParseType::N(351), ParseType::N(161), ParseType::N(72), ], }, - // 444 - CaseExpressionList0: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; + // 447 - CaseExpressionList0: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; Production { lhs: 75, production: &[ @@ -16823,1597 +16899,1597 @@ pub const PRODUCTIONS: &[Production; 879] = &[ ParseType::N(161), ], }, - // 445 - CaseExpressionList0List: Comma Expression CaseExpressionList0List; + // 448 - CaseExpressionList0List: Comma Expression CaseExpressionList0List; Production { lhs: 76, production: &[ParseType::N(76), ParseType::N(161), ParseType::N(93)], }, - // 446 - CaseExpressionList0List: ; + // 449 - CaseExpressionList0List: ; Production { lhs: 76, production: &[], }, - // 447 - CaseExpressionList0: ; + // 450 - CaseExpressionList0: ; Production { lhs: 75, production: &[], }, - // 448 - CaseExpressionList: Comma Expression CaseExpressionList; + // 451 - CaseExpressionList: Comma Expression CaseExpressionList; Production { lhs: 74, production: &[ParseType::N(74), ParseType::N(161), ParseType::N(93)], }, - // 449 - CaseExpressionList: ; + // 452 - CaseExpressionList: ; Production { lhs: 74, production: &[], }, - // 450 - CaseExpressionOpt: Comma; + // 453 - CaseExpressionOpt: Comma; Production { lhs: 77, production: &[ParseType::N(93)], }, - // 451 - CaseExpressionOpt: ; + // 454 - CaseExpressionOpt: ; Production { lhs: 77, production: &[], }, - // 452 - TypeExpression: ScalarType; + // 455 - TypeExpression: ScalarType; Production { - lhs: 579, - production: &[ParseType::N(526)], + lhs: 583, + production: &[ParseType::N(530)], }, - // 453 - TypeExpression: Type LParen Expression RParen; + // 456 - TypeExpression: Type LParen Expression RParen; Production { - lhs: 579, + lhs: 583, production: &[ - ParseType::N(505), + ParseType::N(509), ParseType::N(161), - ParseType::N(353), - ParseType::N(577), + ParseType::N(357), + ParseType::N(581), ], }, - // 454 - InsideExpression: Inside Expression LBrace RangeList RBrace; + // 457 - InsideExpression: Inside Expression LBrace RangeList RBrace; Production { - lhs: 292, + lhs: 296, production: &[ - ParseType::N(499), - ParseType::N(510), - ParseType::N(347), + ParseType::N(503), + ParseType::N(514), + ParseType::N(351), ParseType::N(161), - ParseType::N(291), + ParseType::N(295), ], }, - // 455 - OutsideExpression: Outside Expression LBrace RangeList RBrace; + // 458 - OutsideExpression: Outside Expression LBrace RangeList RBrace; Production { - lhs: 456, + lhs: 460, production: &[ - ParseType::N(499), - ParseType::N(510), - ParseType::N(347), + ParseType::N(503), + ParseType::N(514), + ParseType::N(351), ParseType::N(161), - ParseType::N(455), + ParseType::N(459), ], }, - // 456 - RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; + // 459 - RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; Production { - lhs: 510, - production: &[ParseType::N(512), ParseType::N(511), ParseType::N(509)], + lhs: 514, + production: &[ParseType::N(516), ParseType::N(515), ParseType::N(513)], }, - // 457 - RangeListList: Comma RangeItem RangeListList; + // 460 - RangeListList: Comma RangeItem RangeListList; Production { - lhs: 511, - production: &[ParseType::N(511), ParseType::N(509), ParseType::N(93)], + lhs: 515, + production: &[ParseType::N(515), ParseType::N(513), ParseType::N(93)], }, - // 458 - RangeListList: ; + // 461 - RangeListList: ; Production { - lhs: 511, + lhs: 515, production: &[], }, - // 459 - RangeListOpt: Comma; + // 462 - RangeListOpt: Comma; Production { - lhs: 512, + lhs: 516, production: &[ParseType::N(93)], }, - // 460 - RangeListOpt: ; + // 463 - RangeListOpt: ; Production { - lhs: 512, + lhs: 516, production: &[], }, - // 461 - RangeItem: Range; + // 464 - RangeItem: Range; Production { - lhs: 509, - production: &[ParseType::N(508)], + lhs: 513, + production: &[ParseType::N(512)], }, - // 462 - Select: LBracket Expression SelectOpt /* Option */ RBracket; + // 465 - Select: LBracket Expression SelectOpt /* Option */ RBracket; Production { - lhs: 532, + lhs: 536, production: &[ - ParseType::N(502), - ParseType::N(534), + ParseType::N(506), + ParseType::N(538), ParseType::N(161), - ParseType::N(350), + ParseType::N(354), ], }, - // 463 - SelectOpt: SelectOperator Expression; + // 466 - SelectOpt: SelectOperator Expression; Production { - lhs: 534, - production: &[ParseType::N(161), ParseType::N(533)], + lhs: 538, + production: &[ParseType::N(161), ParseType::N(537)], }, - // 464 - SelectOpt: ; + // 467 - SelectOpt: ; Production { - lhs: 534, + lhs: 538, production: &[], }, - // 465 - SelectOperator: Colon; + // 468 - SelectOperator: Colon; Production { - lhs: 533, + lhs: 537, production: &[ParseType::N(87)], }, - // 466 - SelectOperator: PlusColon; + // 469 - SelectOperator: PlusColon; Production { - lhs: 533, - production: &[ParseType::N(473)], + lhs: 537, + production: &[ParseType::N(477)], }, - // 467 - SelectOperator: MinusColon; + // 470 - SelectOperator: MinusColon; Production { - lhs: 533, - production: &[ParseType::N(372)], + lhs: 537, + production: &[ParseType::N(376)], }, - // 468 - SelectOperator: Step; + // 471 - SelectOperator: Step; Production { - lhs: 533, - production: &[ParseType::N(547)], + lhs: 537, + production: &[ParseType::N(551)], }, - // 469 - Width: LAngle Expression WidthList /* Vec */ RAngle; + // 472 - Width: LAngle Expression WidthList /* Vec */ RAngle; Production { - lhs: 604, + lhs: 608, production: &[ - ParseType::N(496), - ParseType::N(605), + ParseType::N(500), + ParseType::N(609), ParseType::N(161), - ParseType::N(344), + ParseType::N(348), ], }, - // 470 - WidthList: Comma Expression WidthList; + // 473 - WidthList: Comma Expression WidthList; Production { - lhs: 605, - production: &[ParseType::N(605), ParseType::N(161), ParseType::N(93)], + lhs: 609, + production: &[ParseType::N(609), ParseType::N(161), ParseType::N(93)], }, - // 471 - WidthList: ; + // 474 - WidthList: ; Production { - lhs: 605, + lhs: 609, production: &[], }, - // 472 - Array: LBracket Expression ArrayList /* Vec */ RBracket; + // 475 - Array: LBracket Expression ArrayList /* Vec */ RBracket; Production { lhs: 25, production: &[ - ParseType::N(502), + ParseType::N(506), ParseType::N(26), ParseType::N(161), - ParseType::N(350), + ParseType::N(354), ], }, - // 473 - ArrayList: Comma Expression ArrayList; + // 476 - ArrayList: Comma Expression ArrayList; Production { lhs: 26, production: &[ParseType::N(26), ParseType::N(161), ParseType::N(93)], }, - // 474 - ArrayList: ; + // 477 - ArrayList: ; Production { lhs: 26, production: &[], }, - // 475 - Range: Expression RangeOpt /* Option */; + // 478 - Range: Expression RangeOpt /* Option */; Production { - lhs: 508, - production: &[ParseType::N(514), ParseType::N(161)], + lhs: 512, + production: &[ParseType::N(518), ParseType::N(161)], }, - // 476 - RangeOpt: RangeOperator Expression; + // 479 - RangeOpt: RangeOperator Expression; Production { - lhs: 514, - production: &[ParseType::N(161), ParseType::N(513)], + lhs: 518, + production: &[ParseType::N(161), ParseType::N(517)], }, - // 477 - RangeOpt: ; + // 480 - RangeOpt: ; Production { - lhs: 514, + lhs: 518, production: &[], }, - // 478 - RangeOperator: DotDot; + // 481 - RangeOperator: DotDot; Production { - lhs: 513, + lhs: 517, production: &[ParseType::N(117)], }, - // 479 - RangeOperator: DotDotEqu; + // 482 - RangeOperator: DotDotEqu; Production { - lhs: 513, + lhs: 517, production: &[ParseType::N(118)], }, - // 480 - FixedType: U32; + // 483 - FixedType: U32; Production { lhs: 216, - production: &[ParseType::N(583)], + production: &[ParseType::N(587)], }, - // 481 - FixedType: U64; + // 484 - FixedType: U64; Production { lhs: 216, - production: &[ParseType::N(586)], + production: &[ParseType::N(590)], }, - // 482 - FixedType: I32; + // 485 - FixedType: I32; Production { lhs: 216, production: &[ParseType::N(241)], }, - // 483 - FixedType: I64; + // 486 - FixedType: I64; Production { lhs: 216, production: &[ParseType::N(244)], }, - // 484 - FixedType: F32; + // 487 - FixedType: F32; Production { lhs: 216, production: &[ParseType::N(199)], }, - // 485 - FixedType: F64; + // 488 - FixedType: F64; Production { lhs: 216, production: &[ParseType::N(202)], }, - // 486 - FixedType: Strin; + // 489 - FixedType: Strin; Production { lhs: 216, - production: &[ParseType::N(550)], + production: &[ParseType::N(554)], }, - // 487 - VariableType: VariableTypeGroup VariableTypeOpt /* Option */; + // 490 - VariableType: VariableTypeGroup VariableTypeOpt /* Option */; Production { - lhs: 599, - production: &[ParseType::N(601), ParseType::N(600)], + lhs: 603, + production: &[ParseType::N(605), ParseType::N(604)], }, - // 488 - VariableTypeGroup: Logic; + // 491 - VariableTypeGroup: Logic; Production { - lhs: 600, - production: &[ParseType::N(366)], + lhs: 604, + production: &[ParseType::N(370)], }, - // 489 - VariableTypeGroup: Bit; + // 492 - VariableTypeGroup: Bit; Production { - lhs: 600, + lhs: 604, production: &[ParseType::N(65)], }, - // 490 - VariableTypeGroup: ScopedIdentifier; + // 493 - VariableTypeGroup: ScopedIdentifier; Production { - lhs: 600, - production: &[ParseType::N(529)], + lhs: 604, + production: &[ParseType::N(533)], }, - // 491 - VariableTypeOpt: Width; + // 494 - VariableTypeOpt: Width; Production { - lhs: 601, - production: &[ParseType::N(604)], + lhs: 605, + production: &[ParseType::N(608)], }, - // 492 - VariableTypeOpt: ; + // 495 - VariableTypeOpt: ; Production { - lhs: 601, + lhs: 605, production: &[], }, - // 493 - TypeModifier: Tri; + // 496 - TypeModifier: Tri; Production { - lhs: 580, - production: &[ParseType::N(574)], + lhs: 584, + production: &[ParseType::N(578)], }, - // 494 - TypeModifier: Signed; + // 497 - TypeModifier: Signed; Production { - lhs: 580, - production: &[ParseType::N(538)], + lhs: 584, + production: &[ParseType::N(542)], }, - // 495 - ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; + // 498 - ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; Production { - lhs: 526, - production: &[ParseType::N(527), ParseType::N(528)], + lhs: 530, + production: &[ParseType::N(531), ParseType::N(532)], }, - // 496 - ScalarTypeGroup: VariableType; + // 499 - ScalarTypeGroup: VariableType; Production { - lhs: 527, - production: &[ParseType::N(599)], + lhs: 531, + production: &[ParseType::N(603)], }, - // 497 - ScalarTypeGroup: FixedType; + // 500 - ScalarTypeGroup: FixedType; Production { - lhs: 527, + lhs: 531, production: &[ParseType::N(216)], }, - // 498 - ScalarTypeList: TypeModifier ScalarTypeList; + // 501 - ScalarTypeList: TypeModifier ScalarTypeList; Production { - lhs: 528, - production: &[ParseType::N(528), ParseType::N(580)], + lhs: 532, + production: &[ParseType::N(532), ParseType::N(584)], }, - // 499 - ScalarTypeList: ; + // 502 - ScalarTypeList: ; Production { - lhs: 528, + lhs: 532, production: &[], }, - // 500 - ArrayType: ScalarType ArrayTypeOpt /* Option */; + // 503 - ArrayType: ScalarType ArrayTypeOpt /* Option */; Production { lhs: 33, - production: &[ParseType::N(34), ParseType::N(526)], + production: &[ParseType::N(34), ParseType::N(530)], }, - // 501 - ArrayTypeOpt: Array; + // 504 - ArrayTypeOpt: Array; Production { lhs: 34, production: &[ParseType::N(25)], }, - // 502 - ArrayTypeOpt: ; + // 505 - ArrayTypeOpt: ; Production { lhs: 34, production: &[], }, - // 503 - Statement: LetStatement; + // 506 - Statement: LetStatement; Production { - lhs: 546, - production: &[ParseType::N(358)], + lhs: 550, + production: &[ParseType::N(362)], }, - // 504 - Statement: IdentifierStatement; + // 507 - Statement: IdentifierStatement; Production { - lhs: 546, + lhs: 550, production: &[ParseType::N(248)], }, - // 505 - Statement: IfStatement; + // 508 - Statement: IfStatement; Production { - lhs: 546, + lhs: 550, production: &[ParseType::N(264)], }, - // 506 - Statement: IfResetStatement; + // 509 - Statement: IfResetStatement; Production { - lhs: 546, + lhs: 550, production: &[ParseType::N(256)], }, - // 507 - Statement: ReturnStatement; + // 510 - Statement: ReturnStatement; Production { - lhs: 546, - production: &[ParseType::N(523)], + lhs: 550, + production: &[ParseType::N(527)], }, - // 508 - Statement: BreakStatement; + // 511 - Statement: BreakStatement; Production { - lhs: 546, + lhs: 550, production: &[ParseType::N(69)], }, - // 509 - Statement: ForStatement; + // 512 - Statement: ForStatement; Production { - lhs: 546, + lhs: 550, production: &[ParseType::N(218)], }, - // 510 - Statement: CaseStatement; + // 513 - Statement: CaseStatement; Production { - lhs: 546, + lhs: 550, production: &[ParseType::N(83)], }, - // 511 - LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; + // 514 - LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; Production { - lhs: 358, + lhs: 362, production: &[ - ParseType::N(535), + ParseType::N(539), ParseType::N(161), ParseType::N(149), ParseType::N(33), ParseType::N(87), ParseType::N(247), - ParseType::N(356), + ParseType::N(360), ], }, - // 512 - IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; + // 515 - IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; Production { lhs: 248, - production: &[ParseType::N(535), ParseType::N(249), ParseType::N(188)], + production: &[ParseType::N(539), ParseType::N(249), ParseType::N(188)], }, - // 513 - IdentifierStatementGroup: FunctionCall; + // 516 - IdentifierStatementGroup: FunctionCall; Production { lhs: 249, production: &[ParseType::N(224)], }, - // 514 - IdentifierStatementGroup: Assignment; + // 517 - IdentifierStatementGroup: Assignment; Production { lhs: 249, production: &[ParseType::N(42)], }, - // 515 - Assignment: AssignmentGroup Expression; + // 518 - Assignment: AssignmentGroup Expression; Production { lhs: 42, production: &[ParseType::N(161), ParseType::N(43)], }, - // 516 - AssignmentGroup: Equ; + // 519 - AssignmentGroup: Equ; Production { lhs: 43, production: &[ParseType::N(149)], }, - // 517 - AssignmentGroup: AssignmentOperator; + // 520 - AssignmentGroup: AssignmentOperator; Production { lhs: 43, production: &[ParseType::N(44)], }, - // 518 - IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; + // 521 - IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; Production { lhs: 264, production: &[ ParseType::N(268), ParseType::N(266), - ParseType::N(499), + ParseType::N(503), ParseType::N(265), - ParseType::N(347), + ParseType::N(351), ParseType::N(161), ParseType::N(252), ], }, - // 519 - IfStatementList0: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; + // 522 - IfStatementList0: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; Production { lhs: 266, production: &[ ParseType::N(266), - ParseType::N(499), + ParseType::N(503), ParseType::N(267), - ParseType::N(347), + ParseType::N(351), ParseType::N(161), ParseType::N(252), ParseType::N(125), ], }, - // 520 - IfStatementList0List: Statement IfStatementList0List; + // 523 - IfStatementList0List: Statement IfStatementList0List; Production { lhs: 267, - production: &[ParseType::N(267), ParseType::N(546)], + production: &[ParseType::N(267), ParseType::N(550)], }, - // 521 - IfStatementList0List: ; + // 524 - IfStatementList0List: ; Production { lhs: 267, production: &[], }, - // 522 - IfStatementList0: ; + // 525 - IfStatementList0: ; Production { lhs: 266, production: &[], }, - // 523 - IfStatementList: Statement IfStatementList; + // 526 - IfStatementList: Statement IfStatementList; Production { lhs: 265, - production: &[ParseType::N(265), ParseType::N(546)], + production: &[ParseType::N(265), ParseType::N(550)], }, - // 524 - IfStatementList: ; + // 527 - IfStatementList: ; Production { lhs: 265, production: &[], }, - // 525 - IfStatementOpt: Else LBrace IfStatementOptList /* Vec */ RBrace; + // 528 - IfStatementOpt: Else LBrace IfStatementOptList /* Vec */ RBrace; Production { lhs: 268, production: &[ - ParseType::N(499), + ParseType::N(503), ParseType::N(269), - ParseType::N(347), + ParseType::N(351), ParseType::N(125), ], }, - // 526 - IfStatementOptList: Statement IfStatementOptList; + // 529 - IfStatementOptList: Statement IfStatementOptList; Production { lhs: 269, - production: &[ParseType::N(269), ParseType::N(546)], + production: &[ParseType::N(269), ParseType::N(550)], }, - // 527 - IfStatementOptList: ; + // 530 - IfStatementOptList: ; Production { lhs: 269, production: &[], }, - // 528 - IfStatementOpt: ; + // 531 - IfStatementOpt: ; Production { lhs: 268, production: &[], }, - // 529 - IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; + // 532 - IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; Production { lhs: 256, production: &[ ParseType::N(260), ParseType::N(258), - ParseType::N(499), + ParseType::N(503), ParseType::N(257), - ParseType::N(347), + ParseType::N(351), ParseType::N(255), ], }, - // 530 - IfResetStatementList0: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; + // 533 - IfResetStatementList0: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; Production { lhs: 258, production: &[ ParseType::N(258), - ParseType::N(499), + ParseType::N(503), ParseType::N(259), - ParseType::N(347), + ParseType::N(351), ParseType::N(161), ParseType::N(252), ParseType::N(125), ], }, - // 531 - IfResetStatementList0List: Statement IfResetStatementList0List; + // 534 - IfResetStatementList0List: Statement IfResetStatementList0List; Production { lhs: 259, - production: &[ParseType::N(259), ParseType::N(546)], + production: &[ParseType::N(259), ParseType::N(550)], }, - // 532 - IfResetStatementList0List: ; + // 535 - IfResetStatementList0List: ; Production { lhs: 259, production: &[], }, - // 533 - IfResetStatementList0: ; + // 536 - IfResetStatementList0: ; Production { lhs: 258, production: &[], }, - // 534 - IfResetStatementList: Statement IfResetStatementList; + // 537 - IfResetStatementList: Statement IfResetStatementList; Production { lhs: 257, - production: &[ParseType::N(257), ParseType::N(546)], + production: &[ParseType::N(257), ParseType::N(550)], }, - // 535 - IfResetStatementList: ; + // 538 - IfResetStatementList: ; Production { lhs: 257, production: &[], }, - // 536 - IfResetStatementOpt: Else LBrace IfResetStatementOptList /* Vec */ RBrace; + // 539 - IfResetStatementOpt: Else LBrace IfResetStatementOptList /* Vec */ RBrace; Production { lhs: 260, production: &[ - ParseType::N(499), + ParseType::N(503), ParseType::N(261), - ParseType::N(347), + ParseType::N(351), ParseType::N(125), ], }, - // 537 - IfResetStatementOptList: Statement IfResetStatementOptList; + // 540 - IfResetStatementOptList: Statement IfResetStatementOptList; Production { lhs: 261, - production: &[ParseType::N(261), ParseType::N(546)], + production: &[ParseType::N(261), ParseType::N(550)], }, - // 538 - IfResetStatementOptList: ; + // 541 - IfResetStatementOptList: ; Production { lhs: 261, production: &[], }, - // 539 - IfResetStatementOpt: ; + // 542 - IfResetStatementOpt: ; Production { lhs: 260, production: &[], }, - // 540 - ReturnStatement: Return Expression Semicolon; + // 543 - ReturnStatement: Return Expression Semicolon; Production { - lhs: 523, - production: &[ParseType::N(535), ParseType::N(161), ParseType::N(522)], + lhs: 527, + production: &[ParseType::N(539), ParseType::N(161), ParseType::N(526)], }, - // 541 - BreakStatement: Break Semicolon; + // 544 - BreakStatement: Break Semicolon; Production { lhs: 69, - production: &[ParseType::N(535), ParseType::N(68)], + production: &[ParseType::N(539), ParseType::N(68)], }, - // 542 - ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; + // 545 - ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; Production { lhs: 218, production: &[ - ParseType::N(499), + ParseType::N(503), ParseType::N(219), - ParseType::N(347), + ParseType::N(351), ParseType::N(220), - ParseType::N(508), + ParseType::N(512), ParseType::N(277), - ParseType::N(526), + ParseType::N(530), ParseType::N(87), ParseType::N(247), ParseType::N(217), ], }, - // 543 - ForStatementList: Statement ForStatementList; + // 546 - ForStatementList: Statement ForStatementList; Production { lhs: 219, - production: &[ParseType::N(219), ParseType::N(546)], + production: &[ParseType::N(219), ParseType::N(550)], }, - // 544 - ForStatementList: ; + // 547 - ForStatementList: ; Production { lhs: 219, production: &[], }, - // 545 - ForStatementOpt: Step AssignmentOperator Expression; + // 548 - ForStatementOpt: Step AssignmentOperator Expression; Production { lhs: 220, - production: &[ParseType::N(161), ParseType::N(44), ParseType::N(547)], + production: &[ParseType::N(161), ParseType::N(44), ParseType::N(551)], }, - // 546 - ForStatementOpt: ; + // 549 - ForStatementOpt: ; Production { lhs: 220, production: &[], }, - // 547 - CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; + // 550 - CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; Production { lhs: 83, production: &[ - ParseType::N(499), + ParseType::N(503), ParseType::N(84), - ParseType::N(347), + ParseType::N(351), ParseType::N(161), ParseType::N(72), ], }, - // 548 - CaseStatementList: CaseItem CaseStatementList; + // 551 - CaseStatementList: CaseItem CaseStatementList; Production { lhs: 84, production: &[ParseType::N(84), ParseType::N(78)], }, - // 549 - CaseStatementList: ; + // 552 - CaseStatementList: ; Production { lhs: 84, production: &[], }, - // 550 - CaseItem: CaseItemGroup Colon CaseItemGroup0; + // 553 - CaseItem: CaseItemGroup Colon CaseItemGroup0; Production { lhs: 78, production: &[ParseType::N(80), ParseType::N(87), ParseType::N(79)], }, - // 551 - CaseItemGroup0: Statement; + // 554 - CaseItemGroup0: Statement; Production { lhs: 80, - production: &[ParseType::N(546)], + production: &[ParseType::N(550)], }, - // 552 - CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; + // 555 - CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; Production { lhs: 80, - production: &[ParseType::N(499), ParseType::N(81), ParseType::N(347)], + production: &[ParseType::N(503), ParseType::N(81), ParseType::N(351)], }, - // 553 - CaseItemGroup0List: Statement CaseItemGroup0List; + // 556 - CaseItemGroup0List: Statement CaseItemGroup0List; Production { lhs: 81, - production: &[ParseType::N(81), ParseType::N(546)], + production: &[ParseType::N(81), ParseType::N(550)], }, - // 554 - CaseItemGroup0List: ; + // 557 - CaseItemGroup0List: ; Production { lhs: 81, production: &[], }, - // 555 - CaseItemGroup: Expression CaseItemGroupList /* Vec */; + // 558 - CaseItemGroup: Expression CaseItemGroupList /* Vec */; Production { lhs: 79, production: &[ParseType::N(82), ParseType::N(161)], }, - // 556 - CaseItemGroupList: Comma Expression CaseItemGroupList; + // 559 - CaseItemGroupList: Comma Expression CaseItemGroupList; Production { lhs: 82, production: &[ParseType::N(82), ParseType::N(161), ParseType::N(93)], }, - // 557 - CaseItemGroupList: ; + // 560 - CaseItemGroupList: ; Production { lhs: 82, production: &[], }, - // 558 - CaseItemGroup: Defaul; + // 561 - CaseItemGroup: Defaul; Production { lhs: 79, production: &[ParseType::N(104)], }, - // 559 - Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; + // 562 - Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; Production { lhs: 53, production: &[ - ParseType::N(502), + ParseType::N(506), ParseType::N(58), ParseType::N(247), - ParseType::N(350), + ParseType::N(354), ParseType::N(234), ], }, - // 560 - AttributeOpt: LParen AttributeList RParen; + // 563 - AttributeOpt: LParen AttributeList RParen; Production { lhs: 58, - production: &[ParseType::N(505), ParseType::N(55), ParseType::N(353)], + production: &[ParseType::N(509), ParseType::N(55), ParseType::N(357)], }, - // 561 - AttributeOpt: ; + // 564 - AttributeOpt: ; Production { lhs: 58, production: &[], }, - // 562 - AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; + // 565 - AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; Production { lhs: 55, production: &[ParseType::N(57), ParseType::N(56), ParseType::N(54)], }, - // 563 - AttributeListList: Comma AttributeItem AttributeListList; + // 566 - AttributeListList: Comma AttributeItem AttributeListList; Production { lhs: 56, production: &[ParseType::N(56), ParseType::N(54), ParseType::N(93)], }, - // 564 - AttributeListList: ; + // 567 - AttributeListList: ; Production { lhs: 56, production: &[], }, - // 565 - AttributeListOpt: Comma; + // 568 - AttributeListOpt: Comma; Production { lhs: 57, production: &[ParseType::N(93)], }, - // 566 - AttributeListOpt: ; + // 569 - AttributeListOpt: ; Production { lhs: 57, production: &[], }, - // 567 - AttributeItem: Identifier; + // 570 - AttributeItem: Identifier; Production { lhs: 54, production: &[ParseType::N(247)], }, - // 568 - AttributeItem: StringLiteral; + // 571 - AttributeItem: StringLiteral; Production { lhs: 54, - production: &[ParseType::N(551)], + production: &[ParseType::N(555)], }, - // 569 - LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; + // 572 - LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; Production { - lhs: 357, + lhs: 361, production: &[ - ParseType::N(535), + ParseType::N(539), ParseType::N(161), ParseType::N(149), ParseType::N(33), ParseType::N(87), ParseType::N(247), - ParseType::N(356), + ParseType::N(360), ], }, - // 570 - VarDeclaration: Var Identifier Colon ArrayType Semicolon; + // 573 - VarDeclaration: Var Identifier Colon ArrayType Semicolon; Production { - lhs: 596, + lhs: 600, production: &[ - ParseType::N(535), + ParseType::N(539), ParseType::N(33), ParseType::N(87), ParseType::N(247), - ParseType::N(595), + ParseType::N(599), ], }, - // 571 - LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; + // 574 - LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; Production { - lhs: 362, + lhs: 366, production: &[ - ParseType::N(535), - ParseType::N(363), + ParseType::N(539), + ParseType::N(367), ParseType::N(87), ParseType::N(247), - ParseType::N(361), + ParseType::N(365), ], }, - // 572 - LocalDeclarationGroup: ArrayType Equ Expression; + // 575 - LocalDeclarationGroup: ArrayType Equ Expression; Production { - lhs: 363, + lhs: 367, production: &[ParseType::N(161), ParseType::N(149), ParseType::N(33)], }, - // 573 - LocalDeclarationGroup: Type Equ TypeExpression; + // 576 - LocalDeclarationGroup: Type Equ TypeExpression; Production { - lhs: 363, - production: &[ParseType::N(579), ParseType::N(149), ParseType::N(577)], + lhs: 367, + production: &[ParseType::N(583), ParseType::N(149), ParseType::N(581)], }, - // 574 - TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; + // 577 - TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; Production { - lhs: 578, + lhs: 582, production: &[ - ParseType::N(535), + ParseType::N(539), ParseType::N(33), ParseType::N(149), ParseType::N(247), - ParseType::N(577), + ParseType::N(581), ], }, - // 575 - AlwaysFfDeclaration: AlwaysFf LParen AlwaysFfClock AlwaysFfDeclarationOpt /* Option */ RParen LBrace AlwaysFfDeclarationList /* Vec */ RBrace; + // 578 - AlwaysFfDeclaration: AlwaysFf LParen AlwaysFfClock AlwaysFfDeclarationOpt /* Option */ RParen LBrace AlwaysFfDeclarationList /* Vec */ RBrace; Production { lhs: 12, production: &[ - ParseType::N(499), + ParseType::N(503), ParseType::N(13), - ParseType::N(347), - ParseType::N(505), + ParseType::N(351), + ParseType::N(509), ParseType::N(14), ParseType::N(9), - ParseType::N(353), + ParseType::N(357), ParseType::N(8), ], }, - // 576 - AlwaysFfDeclarationList: Statement AlwaysFfDeclarationList; + // 579 - AlwaysFfDeclarationList: Statement AlwaysFfDeclarationList; Production { lhs: 13, - production: &[ParseType::N(13), ParseType::N(546)], + production: &[ParseType::N(13), ParseType::N(550)], }, - // 577 - AlwaysFfDeclarationList: ; + // 580 - AlwaysFfDeclarationList: ; Production { lhs: 13, production: &[], }, - // 578 - AlwaysFfDeclarationOpt: Comma AlwaysFfReset; + // 581 - AlwaysFfDeclarationOpt: Comma AlwaysFfReset; Production { lhs: 14, production: &[ParseType::N(15), ParseType::N(93)], }, - // 579 - AlwaysFfDeclarationOpt: ; + // 582 - AlwaysFfDeclarationOpt: ; Production { lhs: 14, production: &[], }, - // 580 - AlwaysFfClock: AlwaysFfClockOpt /* Option */ HierarchicalIdentifier; + // 583 - AlwaysFfClock: AlwaysFfClockOpt /* Option */ HierarchicalIdentifier; Production { lhs: 9, production: &[ParseType::N(237), ParseType::N(10)], }, - // 581 - AlwaysFfClockOpt: AlwaysFfClockOptGroup; + // 584 - AlwaysFfClockOpt: AlwaysFfClockOptGroup; Production { lhs: 10, production: &[ParseType::N(11)], }, - // 582 - AlwaysFfClockOptGroup: Posedge; + // 585 - AlwaysFfClockOptGroup: Posedge; Production { lhs: 11, - production: &[ParseType::N(487)], + production: &[ParseType::N(491)], }, - // 583 - AlwaysFfClockOptGroup: Negedge; + // 586 - AlwaysFfClockOptGroup: Negedge; Production { lhs: 11, - production: &[ParseType::N(415)], + production: &[ParseType::N(419)], }, - // 584 - AlwaysFfClockOpt: ; + // 587 - AlwaysFfClockOpt: ; Production { lhs: 10, production: &[], }, - // 585 - AlwaysFfReset: AlwaysFfResetOpt /* Option */ HierarchicalIdentifier; + // 588 - AlwaysFfReset: AlwaysFfResetOpt /* Option */ HierarchicalIdentifier; Production { lhs: 15, production: &[ParseType::N(237), ParseType::N(16)], }, - // 586 - AlwaysFfResetOpt: AlwaysFfResetOptGroup; + // 589 - AlwaysFfResetOpt: AlwaysFfResetOptGroup; Production { lhs: 16, production: &[ParseType::N(17)], }, - // 587 - AlwaysFfResetOptGroup: AsyncLow; + // 590 - AlwaysFfResetOptGroup: AsyncLow; Production { lhs: 17, production: &[ParseType::N(50)], }, - // 588 - AlwaysFfResetOptGroup: AsyncHigh; + // 591 - AlwaysFfResetOptGroup: AsyncHigh; Production { lhs: 17, production: &[ParseType::N(47)], }, - // 589 - AlwaysFfResetOptGroup: SyncLow; + // 592 - AlwaysFfResetOptGroup: SyncLow; Production { lhs: 17, - production: &[ParseType::N(571)], + production: &[ParseType::N(575)], }, - // 590 - AlwaysFfResetOptGroup: SyncHigh; + // 593 - AlwaysFfResetOptGroup: SyncHigh; Production { lhs: 17, - production: &[ParseType::N(568)], + production: &[ParseType::N(572)], }, - // 591 - AlwaysFfResetOpt: ; + // 594 - AlwaysFfResetOpt: ; Production { lhs: 16, production: &[], }, - // 592 - AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; + // 595 - AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; Production { lhs: 4, production: &[ - ParseType::N(499), + ParseType::N(503), ParseType::N(5), - ParseType::N(347), + ParseType::N(351), ParseType::N(3), ], }, - // 593 - AlwaysCombDeclarationList: Statement AlwaysCombDeclarationList; + // 596 - AlwaysCombDeclarationList: Statement AlwaysCombDeclarationList; Production { lhs: 5, - production: &[ParseType::N(5), ParseType::N(546)], + production: &[ParseType::N(5), ParseType::N(550)], }, - // 594 - AlwaysCombDeclarationList: ; + // 597 - AlwaysCombDeclarationList: ; Production { lhs: 5, production: &[], }, - // 595 - AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; + // 598 - AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; Production { lhs: 39, production: &[ - ParseType::N(535), + ParseType::N(539), ParseType::N(161), ParseType::N(149), ParseType::N(237), ParseType::N(38), ], }, - // 596 - ModportDeclaration: Modport Identifier LBrace ModportList RBrace; + // 599 - ModportDeclaration: Modport Identifier LBrace ModportList RBrace; Production { - lhs: 379, + lhs: 383, production: &[ - ParseType::N(499), - ParseType::N(384), - ParseType::N(347), + ParseType::N(503), + ParseType::N(388), + ParseType::N(351), ParseType::N(247), - ParseType::N(378), + ParseType::N(382), ], }, - // 597 - ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; + // 600 - ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; Production { - lhs: 384, - production: &[ParseType::N(386), ParseType::N(385), ParseType::N(380)], + lhs: 388, + production: &[ParseType::N(390), ParseType::N(389), ParseType::N(384)], }, - // 598 - ModportListList: Comma ModportGroup ModportListList; + // 601 - ModportListList: Comma ModportGroup ModportListList; Production { - lhs: 385, - production: &[ParseType::N(385), ParseType::N(380), ParseType::N(93)], + lhs: 389, + production: &[ParseType::N(389), ParseType::N(384), ParseType::N(93)], }, - // 599 - ModportListList: ; + // 602 - ModportListList: ; Production { - lhs: 385, + lhs: 389, production: &[], }, - // 600 - ModportListOpt: Comma; + // 603 - ModportListOpt: Comma; Production { - lhs: 386, + lhs: 390, production: &[ParseType::N(93)], }, - // 601 - ModportListOpt: ; + // 604 - ModportListOpt: ; Production { - lhs: 386, + lhs: 390, production: &[], }, - // 602 - ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; + // 605 - ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; Production { - lhs: 380, - production: &[ParseType::N(381), ParseType::N(382)], + lhs: 384, + production: &[ParseType::N(385), ParseType::N(386)], }, - // 603 - ModportGroupGroup: LBrace ModportList RBrace; + // 606 - ModportGroupGroup: LBrace ModportList RBrace; Production { - lhs: 381, - production: &[ParseType::N(499), ParseType::N(384), ParseType::N(347)], + lhs: 385, + production: &[ParseType::N(503), ParseType::N(388), ParseType::N(351)], }, - // 604 - ModportGroupGroup: ModportItem; + // 607 - ModportGroupGroup: ModportItem; Production { - lhs: 381, - production: &[ParseType::N(383)], + lhs: 385, + production: &[ParseType::N(387)], }, - // 605 - ModportGroupList: Attribute ModportGroupList; + // 608 - ModportGroupList: Attribute ModportGroupList; Production { - lhs: 382, - production: &[ParseType::N(382), ParseType::N(53)], + lhs: 386, + production: &[ParseType::N(386), ParseType::N(53)], }, - // 606 - ModportGroupList: ; + // 609 - ModportGroupList: ; Production { - lhs: 382, + lhs: 386, production: &[], }, - // 607 - ModportItem: Identifier Colon Direction; + // 610 - ModportItem: Identifier Colon Direction; Production { - lhs: 383, + lhs: 387, production: &[ParseType::N(112), ParseType::N(87), ParseType::N(247)], }, - // 608 - EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; + // 611 - EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; Production { lhs: 138, production: &[ - ParseType::N(499), + ParseType::N(503), ParseType::N(144), - ParseType::N(347), - ParseType::N(526), + ParseType::N(351), + ParseType::N(530), ParseType::N(87), ParseType::N(247), ParseType::N(137), ], }, - // 609 - EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; + // 612 - EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; Production { lhs: 144, production: &[ParseType::N(146), ParseType::N(145), ParseType::N(139)], }, - // 610 - EnumListList: Comma EnumGroup EnumListList; + // 613 - EnumListList: Comma EnumGroup EnumListList; Production { lhs: 145, production: &[ParseType::N(145), ParseType::N(139), ParseType::N(93)], }, - // 611 - EnumListList: ; + // 614 - EnumListList: ; Production { lhs: 145, production: &[], }, - // 612 - EnumListOpt: Comma; + // 615 - EnumListOpt: Comma; Production { lhs: 146, production: &[ParseType::N(93)], }, - // 613 - EnumListOpt: ; + // 616 - EnumListOpt: ; Production { lhs: 146, production: &[], }, - // 614 - EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; + // 617 - EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; Production { lhs: 139, production: &[ParseType::N(140), ParseType::N(141)], }, - // 615 - EnumGroupGroup: LBrace EnumList RBrace; + // 618 - EnumGroupGroup: LBrace EnumList RBrace; Production { lhs: 140, - production: &[ParseType::N(499), ParseType::N(144), ParseType::N(347)], + production: &[ParseType::N(503), ParseType::N(144), ParseType::N(351)], }, - // 616 - EnumGroupGroup: EnumItem; + // 619 - EnumGroupGroup: EnumItem; Production { lhs: 140, production: &[ParseType::N(142)], }, - // 617 - EnumGroupList: Attribute EnumGroupList; + // 620 - EnumGroupList: Attribute EnumGroupList; Production { lhs: 141, production: &[ParseType::N(141), ParseType::N(53)], }, - // 618 - EnumGroupList: ; + // 621 - EnumGroupList: ; Production { lhs: 141, production: &[], }, - // 619 - EnumItem: Identifier EnumItemOpt /* Option */; + // 622 - EnumItem: Identifier EnumItemOpt /* Option */; Production { lhs: 142, production: &[ParseType::N(143), ParseType::N(247)], }, - // 620 - EnumItemOpt: Equ Expression; + // 623 - EnumItemOpt: Equ Expression; Production { lhs: 143, production: &[ParseType::N(161), ParseType::N(149)], }, - // 621 - EnumItemOpt: ; + // 624 - EnumItemOpt: ; Production { lhs: 143, production: &[], }, - // 622 - StructUnion: Struct; + // 625 - StructUnion: Struct; Production { - lhs: 559, - production: &[ParseType::N(556)], + lhs: 563, + production: &[ParseType::N(560)], }, - // 623 - StructUnion: Union; + // 626 - StructUnion: Union; Production { - lhs: 559, - production: &[ParseType::N(592)], + lhs: 563, + production: &[ParseType::N(596)], }, - // 624 - StructUnionDeclaration: StructUnion Identifier LBrace StructUnionList RBrace; + // 627 - StructUnionDeclaration: StructUnion Identifier LBrace StructUnionList RBrace; Production { - lhs: 560, + lhs: 564, production: &[ - ParseType::N(499), - ParseType::N(565), - ParseType::N(347), + ParseType::N(503), + ParseType::N(569), + ParseType::N(351), ParseType::N(247), - ParseType::N(559), + ParseType::N(563), ], }, - // 625 - StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; + // 628 - StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; Production { - lhs: 565, - production: &[ParseType::N(567), ParseType::N(566), ParseType::N(561)], + lhs: 569, + production: &[ParseType::N(571), ParseType::N(570), ParseType::N(565)], }, - // 626 - StructUnionListList: Comma StructUnionGroup StructUnionListList; + // 629 - StructUnionListList: Comma StructUnionGroup StructUnionListList; Production { - lhs: 566, - production: &[ParseType::N(566), ParseType::N(561), ParseType::N(93)], + lhs: 570, + production: &[ParseType::N(570), ParseType::N(565), ParseType::N(93)], }, - // 627 - StructUnionListList: ; + // 630 - StructUnionListList: ; Production { - lhs: 566, + lhs: 570, production: &[], }, - // 628 - StructUnionListOpt: Comma; + // 631 - StructUnionListOpt: Comma; Production { - lhs: 567, + lhs: 571, production: &[ParseType::N(93)], }, - // 629 - StructUnionListOpt: ; + // 632 - StructUnionListOpt: ; Production { - lhs: 567, + lhs: 571, production: &[], }, - // 630 - StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; + // 633 - StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; Production { - lhs: 561, - production: &[ParseType::N(562), ParseType::N(563)], + lhs: 565, + production: &[ParseType::N(566), ParseType::N(567)], }, - // 631 - StructUnionGroupGroup: LBrace StructUnionList RBrace; + // 634 - StructUnionGroupGroup: LBrace StructUnionList RBrace; Production { - lhs: 562, - production: &[ParseType::N(499), ParseType::N(565), ParseType::N(347)], + lhs: 566, + production: &[ParseType::N(503), ParseType::N(569), ParseType::N(351)], }, - // 632 - StructUnionGroupGroup: StructUnionItem; + // 635 - StructUnionGroupGroup: StructUnionItem; Production { - lhs: 562, - production: &[ParseType::N(564)], + lhs: 566, + production: &[ParseType::N(568)], }, - // 633 - StructUnionGroupList: Attribute StructUnionGroupList; + // 636 - StructUnionGroupList: Attribute StructUnionGroupList; Production { - lhs: 563, - production: &[ParseType::N(563), ParseType::N(53)], + lhs: 567, + production: &[ParseType::N(567), ParseType::N(53)], }, - // 634 - StructUnionGroupList: ; + // 637 - StructUnionGroupList: ; Production { - lhs: 563, + lhs: 567, production: &[], }, - // 635 - StructUnionItem: Identifier Colon ScalarType; + // 638 - StructUnionItem: Identifier Colon ScalarType; Production { - lhs: 564, - production: &[ParseType::N(526), ParseType::N(87), ParseType::N(247)], + lhs: 568, + production: &[ParseType::N(530), ParseType::N(87), ParseType::N(247)], }, - // 636 - InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; + // 639 - InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; Production { - lhs: 281, + lhs: 285, production: &[ - ParseType::N(499), - ParseType::N(282), - ParseType::N(347), - ParseType::N(280), + ParseType::N(503), + ParseType::N(286), + ParseType::N(351), + ParseType::N(284), ], }, - // 637 - InitialDeclarationList: Statement InitialDeclarationList; + // 640 - InitialDeclarationList: Statement InitialDeclarationList; Production { - lhs: 282, - production: &[ParseType::N(282), ParseType::N(546)], + lhs: 286, + production: &[ParseType::N(286), ParseType::N(550)], }, - // 638 - InitialDeclarationList: ; + // 641 - InitialDeclarationList: ; Production { - lhs: 282, + lhs: 286, production: &[], }, - // 639 - FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; + // 642 - FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; Production { lhs: 209, production: &[ - ParseType::N(499), + ParseType::N(503), ParseType::N(210), - ParseType::N(347), + ParseType::N(351), ParseType::N(208), ], }, - // 640 - FinalDeclarationList: Statement FinalDeclarationList; + // 643 - FinalDeclarationList: Statement FinalDeclarationList; Production { lhs: 210, - production: &[ParseType::N(210), ParseType::N(546)], + production: &[ParseType::N(210), ParseType::N(550)], }, - // 641 - FinalDeclarationList: ; + // 644 - FinalDeclarationList: ; Production { lhs: 210, production: &[], }, - // 642 - InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; + // 645 - InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; Production { - lhs: 296, + lhs: 300, production: &[ - ParseType::N(535), - ParseType::N(299), - ParseType::N(298), - ParseType::N(297), - ParseType::N(529), + ParseType::N(539), + ParseType::N(303), + ParseType::N(302), + ParseType::N(301), + ParseType::N(533), ParseType::N(87), ParseType::N(247), - ParseType::N(295), + ParseType::N(299), ], }, - // 643 - InstDeclarationOpt1: LParen InstDeclarationOpt2 /* Option */ RParen; + // 646 - InstDeclarationOpt1: LParen InstDeclarationOpt2 /* Option */ RParen; Production { - lhs: 299, - production: &[ParseType::N(505), ParseType::N(300), ParseType::N(353)], + lhs: 303, + production: &[ParseType::N(509), ParseType::N(304), ParseType::N(357)], }, - // 644 - InstDeclarationOpt2: InstPortList; + // 647 - InstDeclarationOpt2: InstPortList; Production { - lhs: 300, - production: &[ParseType::N(316)], + lhs: 304, + production: &[ParseType::N(320)], }, - // 645 - InstDeclarationOpt2: ; + // 648 - InstDeclarationOpt2: ; Production { - lhs: 300, + lhs: 304, production: &[], }, - // 646 - InstDeclarationOpt1: ; + // 649 - InstDeclarationOpt1: ; Production { - lhs: 299, + lhs: 303, production: &[], }, - // 647 - InstDeclarationOpt0: InstParameter; + // 650 - InstDeclarationOpt0: InstParameter; Production { - lhs: 298, - production: &[ParseType::N(301)], + lhs: 302, + production: &[ParseType::N(305)], }, - // 648 - InstDeclarationOpt0: ; + // 651 - InstDeclarationOpt0: ; Production { - lhs: 298, + lhs: 302, production: &[], }, - // 649 - InstDeclarationOpt: Array; + // 652 - InstDeclarationOpt: Array; Production { - lhs: 297, + lhs: 301, production: &[ParseType::N(25)], }, - // 650 - InstDeclarationOpt: ; + // 653 - InstDeclarationOpt: ; Production { - lhs: 297, + lhs: 301, production: &[], }, - // 651 - InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; + // 654 - InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; Production { - lhs: 301, + lhs: 305, production: &[ - ParseType::N(505), - ParseType::N(310), - ParseType::N(353), + ParseType::N(509), + ParseType::N(314), + ParseType::N(357), ParseType::N(234), ], }, - // 652 - InstParameterOpt: InstParameterList; + // 655 - InstParameterOpt: InstParameterList; Production { - lhs: 310, - production: &[ParseType::N(307)], + lhs: 314, + production: &[ParseType::N(311)], }, - // 653 - InstParameterOpt: ; + // 656 - InstParameterOpt: ; Production { - lhs: 310, + lhs: 314, production: &[], }, - // 654 - InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; + // 657 - InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; Production { - lhs: 307, - production: &[ParseType::N(309), ParseType::N(308), ParseType::N(302)], + lhs: 311, + production: &[ParseType::N(313), ParseType::N(312), ParseType::N(306)], }, - // 655 - InstParameterListList: Comma InstParameterGroup InstParameterListList; + // 658 - InstParameterListList: Comma InstParameterGroup InstParameterListList; Production { - lhs: 308, - production: &[ParseType::N(308), ParseType::N(302), ParseType::N(93)], + lhs: 312, + production: &[ParseType::N(312), ParseType::N(306), ParseType::N(93)], }, - // 656 - InstParameterListList: ; + // 659 - InstParameterListList: ; Production { - lhs: 308, + lhs: 312, production: &[], }, - // 657 - InstParameterListOpt: Comma; + // 660 - InstParameterListOpt: Comma; Production { - lhs: 309, + lhs: 313, production: &[ParseType::N(93)], }, - // 658 - InstParameterListOpt: ; + // 661 - InstParameterListOpt: ; Production { - lhs: 309, + lhs: 313, production: &[], }, - // 659 - InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; + // 662 - InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; Production { - lhs: 302, - production: &[ParseType::N(303), ParseType::N(304)], + lhs: 306, + production: &[ParseType::N(307), ParseType::N(308)], }, - // 660 - InstParameterGroupGroup: LBrace InstParameterList RBrace; + // 663 - InstParameterGroupGroup: LBrace InstParameterList RBrace; Production { - lhs: 303, - production: &[ParseType::N(499), ParseType::N(307), ParseType::N(347)], + lhs: 307, + production: &[ParseType::N(503), ParseType::N(311), ParseType::N(351)], }, - // 661 - InstParameterGroupGroup: InstParameterItem; + // 664 - InstParameterGroupGroup: InstParameterItem; Production { - lhs: 303, - production: &[ParseType::N(305)], + lhs: 307, + production: &[ParseType::N(309)], }, - // 662 - InstParameterGroupList: Attribute InstParameterGroupList; + // 665 - InstParameterGroupList: Attribute InstParameterGroupList; Production { - lhs: 304, - production: &[ParseType::N(304), ParseType::N(53)], + lhs: 308, + production: &[ParseType::N(308), ParseType::N(53)], }, - // 663 - InstParameterGroupList: ; + // 666 - InstParameterGroupList: ; Production { - lhs: 304, + lhs: 308, production: &[], }, - // 664 - InstParameterItem: Identifier InstParameterItemOpt /* Option */; + // 667 - InstParameterItem: Identifier InstParameterItemOpt /* Option */; Production { - lhs: 305, - production: &[ParseType::N(306), ParseType::N(247)], + lhs: 309, + production: &[ParseType::N(310), ParseType::N(247)], }, - // 665 - InstParameterItemOpt: Colon Expression; + // 668 - InstParameterItemOpt: Colon Expression; Production { - lhs: 306, + lhs: 310, production: &[ParseType::N(161), ParseType::N(87)], }, - // 666 - InstParameterItemOpt: ; + // 669 - InstParameterItemOpt: ; Production { - lhs: 306, + lhs: 310, production: &[], }, - // 667 - InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; + // 670 - InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; Production { - lhs: 316, - production: &[ParseType::N(318), ParseType::N(317), ParseType::N(311)], + lhs: 320, + production: &[ParseType::N(322), ParseType::N(321), ParseType::N(315)], }, - // 668 - InstPortListList: Comma InstPortGroup InstPortListList; + // 671 - InstPortListList: Comma InstPortGroup InstPortListList; Production { - lhs: 317, - production: &[ParseType::N(317), ParseType::N(311), ParseType::N(93)], + lhs: 321, + production: &[ParseType::N(321), ParseType::N(315), ParseType::N(93)], }, - // 669 - InstPortListList: ; + // 672 - InstPortListList: ; Production { - lhs: 317, + lhs: 321, production: &[], }, - // 670 - InstPortListOpt: Comma; + // 673 - InstPortListOpt: Comma; Production { - lhs: 318, + lhs: 322, production: &[ParseType::N(93)], }, - // 671 - InstPortListOpt: ; + // 674 - InstPortListOpt: ; Production { - lhs: 318, + lhs: 322, production: &[], }, - // 672 - InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; + // 675 - InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; Production { - lhs: 311, - production: &[ParseType::N(312), ParseType::N(313)], + lhs: 315, + production: &[ParseType::N(316), ParseType::N(317)], }, - // 673 - InstPortGroupGroup: LBrace InstPortList RBrace; + // 676 - InstPortGroupGroup: LBrace InstPortList RBrace; Production { - lhs: 312, - production: &[ParseType::N(499), ParseType::N(316), ParseType::N(347)], + lhs: 316, + production: &[ParseType::N(503), ParseType::N(320), ParseType::N(351)], }, - // 674 - InstPortGroupGroup: InstPortItem; + // 677 - InstPortGroupGroup: InstPortItem; Production { - lhs: 312, - production: &[ParseType::N(314)], + lhs: 316, + production: &[ParseType::N(318)], }, - // 675 - InstPortGroupList: Attribute InstPortGroupList; + // 678 - InstPortGroupList: Attribute InstPortGroupList; Production { - lhs: 313, - production: &[ParseType::N(313), ParseType::N(53)], + lhs: 317, + production: &[ParseType::N(317), ParseType::N(53)], }, - // 676 - InstPortGroupList: ; + // 679 - InstPortGroupList: ; Production { - lhs: 313, + lhs: 317, production: &[], }, - // 677 - InstPortItem: Identifier InstPortItemOpt /* Option */; + // 680 - InstPortItem: Identifier InstPortItemOpt /* Option */; Production { - lhs: 314, - production: &[ParseType::N(315), ParseType::N(247)], + lhs: 318, + production: &[ParseType::N(319), ParseType::N(247)], }, - // 678 - InstPortItemOpt: Colon Expression; + // 681 - InstPortItemOpt: Colon Expression; Production { - lhs: 315, + lhs: 319, production: &[ParseType::N(161), ParseType::N(87)], }, - // 679 - InstPortItemOpt: ; + // 682 - InstPortItemOpt: ; Production { - lhs: 315, + lhs: 319, production: &[], }, - // 680 - WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; + // 683 - WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; Production { - lhs: 606, + lhs: 610, production: &[ - ParseType::N(505), - ParseType::N(616), - ParseType::N(353), + ParseType::N(509), + ParseType::N(620), + ParseType::N(357), ParseType::N(234), ], }, - // 681 - WithParameterOpt: WithParameterList; + // 684 - WithParameterOpt: WithParameterList; Production { - lhs: 616, - production: &[ParseType::N(613)], + lhs: 620, + production: &[ParseType::N(617)], }, - // 682 - WithParameterOpt: ; + // 685 - WithParameterOpt: ; Production { - lhs: 616, + lhs: 620, production: &[], }, - // 683 - WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; + // 686 - WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; Production { - lhs: 613, - production: &[ParseType::N(615), ParseType::N(614), ParseType::N(607)], + lhs: 617, + production: &[ParseType::N(619), ParseType::N(618), ParseType::N(611)], }, - // 684 - WithParameterListList: Comma WithParameterGroup WithParameterListList; + // 687 - WithParameterListList: Comma WithParameterGroup WithParameterListList; Production { - lhs: 614, - production: &[ParseType::N(614), ParseType::N(607), ParseType::N(93)], + lhs: 618, + production: &[ParseType::N(618), ParseType::N(611), ParseType::N(93)], }, - // 685 - WithParameterListList: ; + // 688 - WithParameterListList: ; Production { - lhs: 614, + lhs: 618, production: &[], }, - // 686 - WithParameterListOpt: Comma; + // 689 - WithParameterListOpt: Comma; Production { - lhs: 615, + lhs: 619, production: &[ParseType::N(93)], }, - // 687 - WithParameterListOpt: ; + // 690 - WithParameterListOpt: ; Production { - lhs: 615, + lhs: 619, production: &[], }, - // 688 - WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; + // 691 - WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; Production { - lhs: 607, - production: &[ParseType::N(608), ParseType::N(609)], + lhs: 611, + production: &[ParseType::N(612), ParseType::N(613)], }, - // 689 - WithParameterGroupGroup: LBrace WithParameterList RBrace; + // 692 - WithParameterGroupGroup: LBrace WithParameterList RBrace; Production { - lhs: 608, - production: &[ParseType::N(499), ParseType::N(613), ParseType::N(347)], + lhs: 612, + production: &[ParseType::N(503), ParseType::N(617), ParseType::N(351)], }, - // 690 - WithParameterGroupGroup: WithParameterItem; + // 693 - WithParameterGroupGroup: WithParameterItem; Production { - lhs: 608, - production: &[ParseType::N(610)], + lhs: 612, + production: &[ParseType::N(614)], }, - // 691 - WithParameterGroupList: Attribute WithParameterGroupList; + // 694 - WithParameterGroupList: Attribute WithParameterGroupList; Production { - lhs: 609, - production: &[ParseType::N(609), ParseType::N(53)], + lhs: 613, + production: &[ParseType::N(613), ParseType::N(53)], }, - // 692 - WithParameterGroupList: ; + // 695 - WithParameterGroupList: ; Production { - lhs: 609, + lhs: 613, production: &[], }, - // 693 - WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; + // 696 - WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; Production { - lhs: 610, + lhs: 614, production: &[ - ParseType::N(612), + ParseType::N(616), ParseType::N(87), ParseType::N(247), - ParseType::N(611), + ParseType::N(615), ], }, - // 694 - WithParameterItemGroup0: ArrayType Equ Expression; + // 697 - WithParameterItemGroup0: ArrayType Equ Expression; Production { - lhs: 612, + lhs: 616, production: &[ParseType::N(161), ParseType::N(149), ParseType::N(33)], }, - // 695 - WithParameterItemGroup0: Type Equ TypeExpression; + // 698 - WithParameterItemGroup0: Type Equ TypeExpression; Production { - lhs: 612, - production: &[ParseType::N(579), ParseType::N(149), ParseType::N(577)], + lhs: 616, + production: &[ParseType::N(583), ParseType::N(149), ParseType::N(581)], }, - // 696 - WithParameterItemGroup: Param; + // 699 - WithParameterItemGroup: Param; Production { - lhs: 611, - production: &[ParseType::N(470)], + lhs: 615, + production: &[ParseType::N(474)], }, - // 697 - WithParameterItemGroup: Local; + // 700 - WithParameterItemGroup: Local; Production { - lhs: 611, - production: &[ParseType::N(361)], + lhs: 615, + production: &[ParseType::N(365)], }, - // 698 - PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; + // 701 - PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; Production { - lhs: 476, - production: &[ParseType::N(505), ParseType::N(486), ParseType::N(353)], + lhs: 480, + production: &[ParseType::N(509), ParseType::N(490), ParseType::N(357)], }, - // 699 - PortDeclarationOpt: PortDeclarationList; + // 702 - PortDeclarationOpt: PortDeclarationList; Production { - lhs: 486, - production: &[ParseType::N(483)], + lhs: 490, + production: &[ParseType::N(487)], }, - // 700 - PortDeclarationOpt: ; + // 703 - PortDeclarationOpt: ; Production { - lhs: 486, + lhs: 490, production: &[], }, - // 701 - PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; + // 704 - PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; Production { - lhs: 483, - production: &[ParseType::N(485), ParseType::N(484), ParseType::N(477)], + lhs: 487, + production: &[ParseType::N(489), ParseType::N(488), ParseType::N(481)], }, - // 702 - PortDeclarationListList: Comma PortDeclarationGroup PortDeclarationListList; + // 705 - PortDeclarationListList: Comma PortDeclarationGroup PortDeclarationListList; Production { - lhs: 484, - production: &[ParseType::N(484), ParseType::N(477), ParseType::N(93)], + lhs: 488, + production: &[ParseType::N(488), ParseType::N(481), ParseType::N(93)], }, - // 703 - PortDeclarationListList: ; + // 706 - PortDeclarationListList: ; Production { - lhs: 484, + lhs: 488, production: &[], }, - // 704 - PortDeclarationListOpt: Comma; + // 707 - PortDeclarationListOpt: Comma; Production { - lhs: 485, + lhs: 489, production: &[ParseType::N(93)], }, - // 705 - PortDeclarationListOpt: ; + // 708 - PortDeclarationListOpt: ; Production { - lhs: 485, + lhs: 489, production: &[], }, - // 706 - PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; + // 709 - PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; Production { - lhs: 477, - production: &[ParseType::N(478), ParseType::N(479)], + lhs: 481, + production: &[ParseType::N(482), ParseType::N(483)], }, - // 707 - PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; + // 710 - PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; Production { - lhs: 478, - production: &[ParseType::N(499), ParseType::N(483), ParseType::N(347)], + lhs: 482, + production: &[ParseType::N(503), ParseType::N(487), ParseType::N(351)], }, - // 708 - PortDeclarationGroupGroup: PortDeclarationItem; + // 711 - PortDeclarationGroupGroup: PortDeclarationItem; Production { - lhs: 478, - production: &[ParseType::N(480)], + lhs: 482, + production: &[ParseType::N(484)], }, - // 709 - PortDeclarationGroupList: Attribute PortDeclarationGroupList; + // 712 - PortDeclarationGroupList: Attribute PortDeclarationGroupList; Production { - lhs: 479, - production: &[ParseType::N(479), ParseType::N(53)], + lhs: 483, + production: &[ParseType::N(483), ParseType::N(53)], }, - // 710 - PortDeclarationGroupList: ; + // 713 - PortDeclarationGroupList: ; Production { - lhs: 479, + lhs: 483, production: &[], }, - // 711 - PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; + // 714 - PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; Production { - lhs: 480, - production: &[ParseType::N(481), ParseType::N(87), ParseType::N(247)], + lhs: 484, + production: &[ParseType::N(485), ParseType::N(87), ParseType::N(247)], }, - // 712 - PortDeclarationItemGroup: Direction ArrayType; + // 715 - PortDeclarationItemGroup: Direction ArrayType; Production { - lhs: 481, + lhs: 485, production: &[ParseType::N(33), ParseType::N(112)], }, - // 713 - PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; + // 716 - PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; Production { - lhs: 481, - production: &[ParseType::N(482), ParseType::N(322)], + lhs: 485, + production: &[ParseType::N(486), ParseType::N(326)], }, - // 714 - PortDeclarationItemOpt: Array; + // 717 - PortDeclarationItemOpt: Array; Production { - lhs: 482, + lhs: 486, production: &[ParseType::N(25)], }, - // 715 - PortDeclarationItemOpt: ; + // 718 - PortDeclarationItemOpt: ; Production { - lhs: 482, + lhs: 486, production: &[], }, - // 716 - Direction: Input; + // 719 - Direction: Input; Production { lhs: 112, - production: &[ParseType::N(288)], + production: &[ParseType::N(292)], }, - // 717 - Direction: Output; + // 720 - Direction: Output; Production { lhs: 112, - production: &[ParseType::N(452)], + production: &[ParseType::N(456)], }, - // 718 - Direction: Inout; + // 721 - Direction: Inout; Production { lhs: 112, - production: &[ParseType::N(285)], + production: &[ParseType::N(289)], }, - // 719 - Direction: Ref; + // 722 - Direction: Ref; Production { lhs: 112, - production: &[ParseType::N(516)], + production: &[ParseType::N(520)], }, - // 720 - Direction: Modport; + // 723 - Direction: Modport; Production { lhs: 112, - production: &[ParseType::N(378)], + production: &[ParseType::N(382)], }, - // 721 - FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; + // 724 - FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; Production { lhs: 226, production: &[ - ParseType::N(499), + ParseType::N(503), ParseType::N(227), - ParseType::N(347), + ParseType::N(351), ParseType::N(230), ParseType::N(229), ParseType::N(228), @@ -18421,895 +18497,913 @@ pub const PRODUCTIONS: &[Production; 879] = &[ ParseType::N(223), ], }, - // 722 - FunctionDeclarationList: FunctionItem FunctionDeclarationList; + // 725 - FunctionDeclarationList: FunctionItem FunctionDeclarationList; Production { lhs: 227, production: &[ParseType::N(227), ParseType::N(231)], }, - // 723 - FunctionDeclarationList: ; + // 726 - FunctionDeclarationList: ; Production { lhs: 227, production: &[], }, - // 724 - FunctionDeclarationOpt1: MinusGT ScalarType; + // 727 - FunctionDeclarationOpt1: MinusGT ScalarType; Production { lhs: 230, - production: &[ParseType::N(526), ParseType::N(375)], + production: &[ParseType::N(530), ParseType::N(379)], }, - // 725 - FunctionDeclarationOpt1: ; + // 728 - FunctionDeclarationOpt1: ; Production { lhs: 230, production: &[], }, - // 726 - FunctionDeclarationOpt0: PortDeclaration; + // 729 - FunctionDeclarationOpt0: PortDeclaration; Production { lhs: 229, - production: &[ParseType::N(476)], + production: &[ParseType::N(480)], }, - // 727 - FunctionDeclarationOpt0: ; + // 730 - FunctionDeclarationOpt0: ; Production { lhs: 229, production: &[], }, - // 728 - FunctionDeclarationOpt: WithParameter; + // 731 - FunctionDeclarationOpt: WithParameter; Production { lhs: 228, - production: &[ParseType::N(606)], + production: &[ParseType::N(610)], }, - // 729 - FunctionDeclarationOpt: ; + // 732 - FunctionDeclarationOpt: ; Production { lhs: 228, production: &[], }, - // 730 - FunctionItem: VarDeclaration; + // 733 - FunctionItem: VarDeclaration; Production { lhs: 231, - production: &[ParseType::N(596)], + production: &[ParseType::N(600)], }, - // 731 - FunctionItem: Statement; + // 734 - FunctionItem: Statement; Production { lhs: 231, - production: &[ParseType::N(546)], + production: &[ParseType::N(550)], }, - // 732 - ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; + // 735 - ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; Production { lhs: 273, production: &[ - ParseType::N(535), + ParseType::N(539), ParseType::N(274), - ParseType::N(529), + ParseType::N(533), ParseType::N(272), ], }, - // 733 - ImportDeclarationOpt: ColonColon Star; + // 736 - ImportDeclarationOpt: ColonColon Star; Production { lhs: 274, - production: &[ParseType::N(541), ParseType::N(88)], + production: &[ParseType::N(545), ParseType::N(88)], }, - // 734 - ImportDeclarationOpt: ; + // 737 - ImportDeclarationOpt: ; Production { lhs: 274, production: &[], }, - // 735 - ExportDeclaration: Export ExportDeclarationGroup Semicolon; + // 738 - ExportDeclaration: Export ExportDeclarationGroup Semicolon; Production { lhs: 156, - production: &[ParseType::N(535), ParseType::N(157), ParseType::N(155)], + production: &[ParseType::N(539), ParseType::N(157), ParseType::N(155)], }, - // 736 - ExportDeclarationGroup: Star; + // 739 - ExportDeclarationGroup: Star; Production { lhs: 157, - production: &[ParseType::N(541)], + production: &[ParseType::N(545)], }, - // 737 - ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; + // 740 - ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; Production { lhs: 157, - production: &[ParseType::N(158), ParseType::N(529)], + production: &[ParseType::N(158), ParseType::N(533)], }, - // 738 - ExportDeclarationOpt: ColonColon Star; + // 741 - ExportDeclarationOpt: ColonColon Star; Production { lhs: 158, - production: &[ParseType::N(541), ParseType::N(88)], + production: &[ParseType::N(545), ParseType::N(88)], }, - // 739 - ExportDeclarationOpt: ; + // 742 - ExportDeclarationOpt: ; Production { lhs: 158, production: &[], }, - // 740 - ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; + // 743 - ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; Production { - lhs: 390, + lhs: 394, production: &[ - ParseType::N(499), - ParseType::N(391), - ParseType::N(347), - ParseType::N(394), - ParseType::N(393), + ParseType::N(503), + ParseType::N(395), + ParseType::N(351), + ParseType::N(398), + ParseType::N(397), ParseType::N(247), - ParseType::N(389), - ParseType::N(392), + ParseType::N(393), + ParseType::N(396), ], }, - // 741 - ModuleDeclarationList: ModuleGroup ModuleDeclarationList; + // 744 - ModuleDeclarationList: ModuleGroup ModuleDeclarationList; Production { - lhs: 391, - production: &[ParseType::N(391), ParseType::N(397)], + lhs: 395, + production: &[ParseType::N(395), ParseType::N(401)], }, - // 742 - ModuleDeclarationList: ; + // 745 - ModuleDeclarationList: ; Production { - lhs: 391, + lhs: 395, production: &[], }, - // 743 - ModuleDeclarationOpt1: PortDeclaration; + // 746 - ModuleDeclarationOpt1: PortDeclaration; Production { - lhs: 394, - production: &[ParseType::N(476)], + lhs: 398, + production: &[ParseType::N(480)], }, - // 744 - ModuleDeclarationOpt1: ; + // 747 - ModuleDeclarationOpt1: ; Production { - lhs: 394, + lhs: 398, production: &[], }, - // 745 - ModuleDeclarationOpt0: WithParameter; + // 748 - ModuleDeclarationOpt0: WithParameter; Production { - lhs: 393, - production: &[ParseType::N(606)], + lhs: 397, + production: &[ParseType::N(610)], }, - // 746 - ModuleDeclarationOpt0: ; + // 749 - ModuleDeclarationOpt0: ; Production { - lhs: 393, + lhs: 397, production: &[], }, - // 747 - ModuleDeclarationOpt: Pub; + // 750 - ModuleDeclarationOpt: Pub; Production { - lhs: 392, - production: &[ParseType::N(490)], + lhs: 396, + production: &[ParseType::N(494)], }, - // 748 - ModuleDeclarationOpt: ; + // 751 - ModuleDeclarationOpt: ; Production { - lhs: 392, + lhs: 396, production: &[], }, - // 749 - ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; + // 752 - ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; Production { - lhs: 401, + lhs: 405, production: &[ - ParseType::N(403), - ParseType::N(402), - ParseType::N(405), + ParseType::N(407), + ParseType::N(406), + ParseType::N(409), ParseType::N(161), ParseType::N(252), ], }, - // 750 - ModuleIfDeclarationList: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; + // 753 - ModuleIfDeclarationList: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; Production { - lhs: 402, + lhs: 406, production: &[ - ParseType::N(402), - ParseType::N(407), + ParseType::N(406), + ParseType::N(411), ParseType::N(161), ParseType::N(252), ParseType::N(125), ], }, - // 751 - ModuleIfDeclarationList: ; + // 754 - ModuleIfDeclarationList: ; Production { - lhs: 402, + lhs: 406, production: &[], }, - // 752 - ModuleIfDeclarationOpt: Else ModuleOptionalNamedBlock; + // 755 - ModuleIfDeclarationOpt: Else ModuleOptionalNamedBlock; Production { - lhs: 403, - production: &[ParseType::N(407), ParseType::N(125)], + lhs: 407, + production: &[ParseType::N(411), ParseType::N(125)], }, - // 753 - ModuleIfDeclarationOpt: ; + // 756 - ModuleIfDeclarationOpt: ; Production { - lhs: 403, + lhs: 407, production: &[], }, - // 754 - ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; + // 757 - ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; Production { - lhs: 395, + lhs: 399, production: &[ - ParseType::N(405), - ParseType::N(396), - ParseType::N(508), + ParseType::N(409), + ParseType::N(400), + ParseType::N(512), ParseType::N(277), ParseType::N(247), ParseType::N(217), ], }, - // 755 - ModuleForDeclarationOpt: Step AssignmentOperator Expression; + // 758 - ModuleForDeclarationOpt: Step AssignmentOperator Expression; Production { - lhs: 396, - production: &[ParseType::N(161), ParseType::N(44), ParseType::N(547)], + lhs: 400, + production: &[ParseType::N(161), ParseType::N(44), ParseType::N(551)], }, - // 756 - ModuleForDeclarationOpt: ; + // 759 - ModuleForDeclarationOpt: ; Production { - lhs: 396, + lhs: 400, production: &[], }, - // 757 - ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; + // 760 - ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; Production { - lhs: 405, + lhs: 409, production: &[ - ParseType::N(499), - ParseType::N(406), - ParseType::N(347), + ParseType::N(503), + ParseType::N(410), + ParseType::N(351), ParseType::N(247), ParseType::N(87), ], }, - // 758 - ModuleNamedBlockList: ModuleGroup ModuleNamedBlockList; + // 761 - ModuleNamedBlockList: ModuleGroup ModuleNamedBlockList; Production { - lhs: 406, - production: &[ParseType::N(406), ParseType::N(397)], + lhs: 410, + production: &[ParseType::N(410), ParseType::N(401)], }, - // 759 - ModuleNamedBlockList: ; + // 762 - ModuleNamedBlockList: ; Production { - lhs: 406, + lhs: 410, production: &[], }, - // 760 - ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; + // 763 - ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; Production { - lhs: 407, + lhs: 411, production: &[ - ParseType::N(499), - ParseType::N(408), - ParseType::N(347), - ParseType::N(409), + ParseType::N(503), + ParseType::N(412), + ParseType::N(351), + ParseType::N(413), ], }, - // 761 - ModuleOptionalNamedBlockList: ModuleGroup ModuleOptionalNamedBlockList; + // 764 - ModuleOptionalNamedBlockList: ModuleGroup ModuleOptionalNamedBlockList; Production { - lhs: 408, - production: &[ParseType::N(408), ParseType::N(397)], + lhs: 412, + production: &[ParseType::N(412), ParseType::N(401)], }, - // 762 - ModuleOptionalNamedBlockList: ; + // 765 - ModuleOptionalNamedBlockList: ; Production { - lhs: 408, + lhs: 412, production: &[], }, - // 763 - ModuleOptionalNamedBlockOpt: Colon Identifier; + // 766 - ModuleOptionalNamedBlockOpt: Colon Identifier; Production { - lhs: 409, + lhs: 413, production: &[ParseType::N(247), ParseType::N(87)], }, - // 764 - ModuleOptionalNamedBlockOpt: ; + // 767 - ModuleOptionalNamedBlockOpt: ; Production { - lhs: 409, + lhs: 413, production: &[], }, - // 765 - ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; + // 768 - ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; Production { - lhs: 397, - production: &[ParseType::N(398), ParseType::N(400)], + lhs: 401, + production: &[ParseType::N(402), ParseType::N(404)], }, - // 766 - ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; + // 769 - ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; Production { - lhs: 398, - production: &[ParseType::N(499), ParseType::N(399), ParseType::N(347)], + lhs: 402, + production: &[ParseType::N(503), ParseType::N(403), ParseType::N(351)], }, - // 767 - ModuleGroupGroupList: ModuleGroup ModuleGroupGroupList; + // 770 - ModuleGroupGroupList: ModuleGroup ModuleGroupGroupList; Production { - lhs: 399, - production: &[ParseType::N(399), ParseType::N(397)], + lhs: 403, + production: &[ParseType::N(403), ParseType::N(401)], }, - // 768 - ModuleGroupGroupList: ; + // 771 - ModuleGroupGroupList: ; Production { - lhs: 399, + lhs: 403, production: &[], }, - // 769 - ModuleGroupGroup: ModuleItem; + // 772 - ModuleGroupGroup: ModuleItem; Production { - lhs: 398, - production: &[ParseType::N(404)], + lhs: 402, + production: &[ParseType::N(408)], }, - // 770 - ModuleGroupList: Attribute ModuleGroupList; + // 773 - ModuleGroupList: Attribute ModuleGroupList; Production { - lhs: 400, - production: &[ParseType::N(400), ParseType::N(53)], + lhs: 404, + production: &[ParseType::N(404), ParseType::N(53)], }, - // 771 - ModuleGroupList: ; + // 774 - ModuleGroupList: ; Production { - lhs: 400, + lhs: 404, production: &[], }, - // 772 - ModuleItem: LetDeclaration; + // 775 - ModuleItem: LetDeclaration; Production { - lhs: 404, - production: &[ParseType::N(357)], + lhs: 408, + production: &[ParseType::N(361)], }, - // 773 - ModuleItem: VarDeclaration; + // 776 - ModuleItem: VarDeclaration; Production { - lhs: 404, - production: &[ParseType::N(596)], + lhs: 408, + production: &[ParseType::N(600)], }, - // 774 - ModuleItem: InstDeclaration; + // 777 - ModuleItem: InstDeclaration; Production { - lhs: 404, - production: &[ParseType::N(296)], + lhs: 408, + production: &[ParseType::N(300)], }, - // 775 - ModuleItem: TypeDefDeclaration; + // 778 - ModuleItem: TypeDefDeclaration; Production { - lhs: 404, - production: &[ParseType::N(578)], + lhs: 408, + production: &[ParseType::N(582)], }, - // 776 - ModuleItem: LocalDeclaration; + // 779 - ModuleItem: LocalDeclaration; Production { - lhs: 404, - production: &[ParseType::N(362)], + lhs: 408, + production: &[ParseType::N(366)], }, - // 777 - ModuleItem: AlwaysFfDeclaration; + // 780 - ModuleItem: AlwaysFfDeclaration; Production { - lhs: 404, + lhs: 408, production: &[ParseType::N(12)], }, - // 778 - ModuleItem: AlwaysCombDeclaration; + // 781 - ModuleItem: AlwaysCombDeclaration; Production { - lhs: 404, + lhs: 408, production: &[ParseType::N(4)], }, - // 779 - ModuleItem: AssignDeclaration; + // 782 - ModuleItem: AssignDeclaration; Production { - lhs: 404, + lhs: 408, production: &[ParseType::N(39)], }, - // 780 - ModuleItem: FunctionDeclaration; + // 783 - ModuleItem: FunctionDeclaration; Production { - lhs: 404, + lhs: 408, production: &[ParseType::N(226)], }, - // 781 - ModuleItem: ModuleIfDeclaration; + // 784 - ModuleItem: ModuleIfDeclaration; Production { - lhs: 404, - production: &[ParseType::N(401)], + lhs: 408, + production: &[ParseType::N(405)], }, - // 782 - ModuleItem: ModuleForDeclaration; + // 785 - ModuleItem: ModuleForDeclaration; Production { - lhs: 404, - production: &[ParseType::N(395)], + lhs: 408, + production: &[ParseType::N(399)], }, - // 783 - ModuleItem: EnumDeclaration; + // 786 - ModuleItem: EnumDeclaration; Production { - lhs: 404, + lhs: 408, production: &[ParseType::N(138)], }, - // 784 - ModuleItem: StructUnionDeclaration; + // 787 - ModuleItem: StructUnionDeclaration; Production { - lhs: 404, - production: &[ParseType::N(560)], + lhs: 408, + production: &[ParseType::N(564)], }, - // 785 - ModuleItem: ModuleNamedBlock; + // 788 - ModuleItem: ModuleNamedBlock; Production { - lhs: 404, - production: &[ParseType::N(405)], + lhs: 408, + production: &[ParseType::N(409)], }, - // 786 - ModuleItem: ImportDeclaration; + // 789 - ModuleItem: ImportDeclaration; Production { - lhs: 404, + lhs: 408, production: &[ParseType::N(273)], }, - // 787 - ModuleItem: InitialDeclaration; + // 790 - ModuleItem: InitialDeclaration; Production { - lhs: 404, - production: &[ParseType::N(281)], + lhs: 408, + production: &[ParseType::N(285)], }, - // 788 - ModuleItem: FinalDeclaration; + // 791 - ModuleItem: FinalDeclaration; Production { - lhs: 404, + lhs: 408, production: &[ParseType::N(209)], }, - // 789 - InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; + // 792 - InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; Production { - lhs: 323, + lhs: 327, production: &[ - ParseType::N(499), - ParseType::N(324), - ParseType::N(347), - ParseType::N(326), + ParseType::N(503), + ParseType::N(328), + ParseType::N(351), + ParseType::N(330), ParseType::N(247), - ParseType::N(322), - ParseType::N(325), + ParseType::N(326), + ParseType::N(329), ], }, - // 790 - InterfaceDeclarationList: InterfaceGroup InterfaceDeclarationList; + // 793 - InterfaceDeclarationList: InterfaceGroup InterfaceDeclarationList; Production { - lhs: 324, - production: &[ParseType::N(324), ParseType::N(329)], + lhs: 328, + production: &[ParseType::N(328), ParseType::N(333)], }, - // 791 - InterfaceDeclarationList: ; + // 794 - InterfaceDeclarationList: ; Production { - lhs: 324, + lhs: 328, production: &[], }, - // 792 - InterfaceDeclarationOpt0: WithParameter; + // 795 - InterfaceDeclarationOpt0: WithParameter; Production { - lhs: 326, - production: &[ParseType::N(606)], + lhs: 330, + production: &[ParseType::N(610)], }, - // 793 - InterfaceDeclarationOpt0: ; + // 796 - InterfaceDeclarationOpt0: ; Production { - lhs: 326, + lhs: 330, production: &[], }, - // 794 - InterfaceDeclarationOpt: Pub; + // 797 - InterfaceDeclarationOpt: Pub; Production { - lhs: 325, - production: &[ParseType::N(490)], + lhs: 329, + production: &[ParseType::N(494)], }, - // 795 - InterfaceDeclarationOpt: ; + // 798 - InterfaceDeclarationOpt: ; Production { - lhs: 325, + lhs: 329, production: &[], }, - // 796 - InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; + // 799 - InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; Production { - lhs: 333, + lhs: 337, production: &[ - ParseType::N(335), - ParseType::N(334), - ParseType::N(337), + ParseType::N(339), + ParseType::N(338), + ParseType::N(341), ParseType::N(161), ParseType::N(252), ], }, - // 797 - InterfaceIfDeclarationList: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; + // 800 - InterfaceIfDeclarationList: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; Production { - lhs: 334, + lhs: 338, production: &[ - ParseType::N(334), - ParseType::N(339), + ParseType::N(338), + ParseType::N(343), ParseType::N(161), ParseType::N(252), ParseType::N(125), ], }, - // 798 - InterfaceIfDeclarationList: ; + // 801 - InterfaceIfDeclarationList: ; Production { - lhs: 334, + lhs: 338, production: &[], }, - // 799 - InterfaceIfDeclarationOpt: Else InterfaceOptionalNamedBlock; + // 802 - InterfaceIfDeclarationOpt: Else InterfaceOptionalNamedBlock; Production { - lhs: 335, - production: &[ParseType::N(339), ParseType::N(125)], + lhs: 339, + production: &[ParseType::N(343), ParseType::N(125)], }, - // 800 - InterfaceIfDeclarationOpt: ; + // 803 - InterfaceIfDeclarationOpt: ; Production { - lhs: 335, + lhs: 339, production: &[], }, - // 801 - InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; + // 804 - InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; Production { - lhs: 327, + lhs: 331, production: &[ - ParseType::N(337), - ParseType::N(328), - ParseType::N(508), + ParseType::N(341), + ParseType::N(332), + ParseType::N(512), ParseType::N(277), ParseType::N(247), ParseType::N(217), ], }, - // 802 - InterfaceForDeclarationOpt: Step AssignmentOperator Expression; + // 805 - InterfaceForDeclarationOpt: Step AssignmentOperator Expression; Production { - lhs: 328, - production: &[ParseType::N(161), ParseType::N(44), ParseType::N(547)], + lhs: 332, + production: &[ParseType::N(161), ParseType::N(44), ParseType::N(551)], }, - // 803 - InterfaceForDeclarationOpt: ; + // 806 - InterfaceForDeclarationOpt: ; Production { - lhs: 328, + lhs: 332, production: &[], }, - // 804 - InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; + // 807 - InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; Production { - lhs: 337, + lhs: 341, production: &[ - ParseType::N(499), - ParseType::N(338), - ParseType::N(347), + ParseType::N(503), + ParseType::N(342), + ParseType::N(351), ParseType::N(247), ParseType::N(87), ], }, - // 805 - InterfaceNamedBlockList: InterfaceGroup InterfaceNamedBlockList; + // 808 - InterfaceNamedBlockList: InterfaceGroup InterfaceNamedBlockList; Production { - lhs: 338, - production: &[ParseType::N(338), ParseType::N(329)], + lhs: 342, + production: &[ParseType::N(342), ParseType::N(333)], }, - // 806 - InterfaceNamedBlockList: ; + // 809 - InterfaceNamedBlockList: ; Production { - lhs: 338, + lhs: 342, production: &[], }, - // 807 - InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; + // 810 - InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; Production { - lhs: 339, + lhs: 343, production: &[ - ParseType::N(499), - ParseType::N(340), - ParseType::N(347), - ParseType::N(341), + ParseType::N(503), + ParseType::N(344), + ParseType::N(351), + ParseType::N(345), ], }, - // 808 - InterfaceOptionalNamedBlockList: InterfaceGroup InterfaceOptionalNamedBlockList; + // 811 - InterfaceOptionalNamedBlockList: InterfaceGroup InterfaceOptionalNamedBlockList; Production { - lhs: 340, - production: &[ParseType::N(340), ParseType::N(329)], + lhs: 344, + production: &[ParseType::N(344), ParseType::N(333)], }, - // 809 - InterfaceOptionalNamedBlockList: ; + // 812 - InterfaceOptionalNamedBlockList: ; Production { - lhs: 340, + lhs: 344, production: &[], }, - // 810 - InterfaceOptionalNamedBlockOpt: Colon Identifier; + // 813 - InterfaceOptionalNamedBlockOpt: Colon Identifier; Production { - lhs: 341, + lhs: 345, production: &[ParseType::N(247), ParseType::N(87)], }, - // 811 - InterfaceOptionalNamedBlockOpt: ; + // 814 - InterfaceOptionalNamedBlockOpt: ; Production { - lhs: 341, + lhs: 345, production: &[], }, - // 812 - InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; + // 815 - InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; Production { - lhs: 329, - production: &[ParseType::N(330), ParseType::N(332)], + lhs: 333, + production: &[ParseType::N(334), ParseType::N(336)], }, - // 813 - InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; + // 816 - InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; Production { - lhs: 330, - production: &[ParseType::N(499), ParseType::N(331), ParseType::N(347)], + lhs: 334, + production: &[ParseType::N(503), ParseType::N(335), ParseType::N(351)], }, - // 814 - InterfaceGroupGroupList: InterfaceGroup InterfaceGroupGroupList; + // 817 - InterfaceGroupGroupList: InterfaceGroup InterfaceGroupGroupList; Production { - lhs: 331, - production: &[ParseType::N(331), ParseType::N(329)], + lhs: 335, + production: &[ParseType::N(335), ParseType::N(333)], }, - // 815 - InterfaceGroupGroupList: ; + // 818 - InterfaceGroupGroupList: ; Production { - lhs: 331, + lhs: 335, production: &[], }, - // 816 - InterfaceGroupGroup: InterfaceItem; + // 819 - InterfaceGroupGroup: InterfaceItem; Production { - lhs: 330, - production: &[ParseType::N(336)], + lhs: 334, + production: &[ParseType::N(340)], }, - // 817 - InterfaceGroupList: Attribute InterfaceGroupList; + // 820 - InterfaceGroupList: Attribute InterfaceGroupList; Production { - lhs: 332, - production: &[ParseType::N(332), ParseType::N(53)], + lhs: 336, + production: &[ParseType::N(336), ParseType::N(53)], }, - // 818 - InterfaceGroupList: ; + // 821 - InterfaceGroupList: ; Production { - lhs: 332, + lhs: 336, production: &[], }, - // 819 - InterfaceItem: LetDeclaration; + // 822 - InterfaceItem: LetDeclaration; Production { - lhs: 336, - production: &[ParseType::N(357)], + lhs: 340, + production: &[ParseType::N(361)], }, - // 820 - InterfaceItem: VarDeclaration; + // 823 - InterfaceItem: VarDeclaration; Production { - lhs: 336, - production: &[ParseType::N(596)], + lhs: 340, + production: &[ParseType::N(600)], }, - // 821 - InterfaceItem: LocalDeclaration; + // 824 - InterfaceItem: LocalDeclaration; Production { - lhs: 336, - production: &[ParseType::N(362)], + lhs: 340, + production: &[ParseType::N(366)], }, - // 822 - InterfaceItem: ModportDeclaration; + // 825 - InterfaceItem: ModportDeclaration; Production { - lhs: 336, - production: &[ParseType::N(379)], + lhs: 340, + production: &[ParseType::N(383)], }, - // 823 - InterfaceItem: InterfaceIfDeclaration; + // 826 - InterfaceItem: InterfaceIfDeclaration; Production { - lhs: 336, - production: &[ParseType::N(333)], + lhs: 340, + production: &[ParseType::N(337)], }, - // 824 - InterfaceItem: InterfaceForDeclaration; + // 827 - InterfaceItem: InterfaceForDeclaration; Production { - lhs: 336, - production: &[ParseType::N(327)], + lhs: 340, + production: &[ParseType::N(331)], }, - // 825 - InterfaceItem: TypeDefDeclaration; + // 828 - InterfaceItem: TypeDefDeclaration; Production { - lhs: 336, - production: &[ParseType::N(578)], + lhs: 340, + production: &[ParseType::N(582)], }, - // 826 - InterfaceItem: EnumDeclaration; + // 829 - InterfaceItem: EnumDeclaration; Production { - lhs: 336, + lhs: 340, production: &[ParseType::N(138)], }, - // 827 - InterfaceItem: StructUnionDeclaration; + // 830 - InterfaceItem: StructUnionDeclaration; Production { - lhs: 336, - production: &[ParseType::N(560)], + lhs: 340, + production: &[ParseType::N(564)], }, - // 828 - InterfaceItem: InterfaceNamedBlock; + // 831 - InterfaceItem: InterfaceNamedBlock; Production { - lhs: 336, - production: &[ParseType::N(337)], + lhs: 340, + production: &[ParseType::N(341)], }, - // 829 - InterfaceItem: FunctionDeclaration; + // 832 - InterfaceItem: FunctionDeclaration; Production { - lhs: 336, + lhs: 340, production: &[ParseType::N(226)], }, - // 830 - InterfaceItem: ImportDeclaration; + // 833 - InterfaceItem: ImportDeclaration; Production { - lhs: 336, + lhs: 340, production: &[ParseType::N(273)], }, - // 831 - InterfaceItem: InitialDeclaration; + // 834 - InterfaceItem: InitialDeclaration; Production { - lhs: 336, - production: &[ParseType::N(281)], + lhs: 340, + production: &[ParseType::N(285)], }, - // 832 - InterfaceItem: FinalDeclaration; + // 835 - InterfaceItem: FinalDeclaration; Production { - lhs: 336, + lhs: 340, production: &[ParseType::N(209)], }, - // 833 - PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier LBrace PackageDeclarationList /* Vec */ RBrace; + // 836 - PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier LBrace PackageDeclarationList /* Vec */ RBrace; Production { - lhs: 460, + lhs: 464, production: &[ - ParseType::N(499), - ParseType::N(461), - ParseType::N(347), + ParseType::N(503), + ParseType::N(465), + ParseType::N(351), ParseType::N(247), - ParseType::N(459), - ParseType::N(462), + ParseType::N(463), + ParseType::N(466), ], }, - // 834 - PackageDeclarationList: PackageGroup PackageDeclarationList; + // 837 - PackageDeclarationList: PackageGroup PackageDeclarationList; Production { - lhs: 461, - production: &[ParseType::N(461), ParseType::N(463)], + lhs: 465, + production: &[ParseType::N(465), ParseType::N(467)], }, - // 835 - PackageDeclarationList: ; + // 838 - PackageDeclarationList: ; Production { - lhs: 461, + lhs: 465, production: &[], }, - // 836 - PackageDeclarationOpt: Pub; + // 839 - PackageDeclarationOpt: Pub; Production { - lhs: 462, - production: &[ParseType::N(490)], + lhs: 466, + production: &[ParseType::N(494)], }, - // 837 - PackageDeclarationOpt: ; + // 840 - PackageDeclarationOpt: ; Production { - lhs: 462, + lhs: 466, production: &[], }, - // 838 - PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; + // 841 - PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; Production { - lhs: 463, - production: &[ParseType::N(464), ParseType::N(466)], + lhs: 467, + production: &[ParseType::N(468), ParseType::N(470)], }, - // 839 - PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; + // 842 - PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; Production { - lhs: 464, - production: &[ParseType::N(499), ParseType::N(465), ParseType::N(347)], + lhs: 468, + production: &[ParseType::N(503), ParseType::N(469), ParseType::N(351)], }, - // 840 - PackageGroupGroupList: PackageGroup PackageGroupGroupList; + // 843 - PackageGroupGroupList: PackageGroup PackageGroupGroupList; Production { - lhs: 465, - production: &[ParseType::N(465), ParseType::N(463)], + lhs: 469, + production: &[ParseType::N(469), ParseType::N(467)], }, - // 841 - PackageGroupGroupList: ; + // 844 - PackageGroupGroupList: ; Production { - lhs: 465, + lhs: 469, production: &[], }, - // 842 - PackageGroupGroup: PackageItem; + // 845 - PackageGroupGroup: PackageItem; Production { - lhs: 464, - production: &[ParseType::N(467)], + lhs: 468, + production: &[ParseType::N(471)], }, - // 843 - PackageGroupList: Attribute PackageGroupList; + // 846 - PackageGroupList: Attribute PackageGroupList; Production { - lhs: 466, - production: &[ParseType::N(466), ParseType::N(53)], + lhs: 470, + production: &[ParseType::N(470), ParseType::N(53)], }, - // 844 - PackageGroupList: ; + // 847 - PackageGroupList: ; Production { - lhs: 466, + lhs: 470, production: &[], }, - // 845 - PackageItem: VarDeclaration; + // 848 - PackageItem: VarDeclaration; Production { - lhs: 467, - production: &[ParseType::N(596)], + lhs: 471, + production: &[ParseType::N(600)], }, - // 846 - PackageItem: LocalDeclaration; + // 849 - PackageItem: LocalDeclaration; Production { - lhs: 467, - production: &[ParseType::N(362)], + lhs: 471, + production: &[ParseType::N(366)], }, - // 847 - PackageItem: TypeDefDeclaration; + // 850 - PackageItem: TypeDefDeclaration; Production { - lhs: 467, - production: &[ParseType::N(578)], + lhs: 471, + production: &[ParseType::N(582)], }, - // 848 - PackageItem: EnumDeclaration; + // 851 - PackageItem: EnumDeclaration; Production { - lhs: 467, + lhs: 471, production: &[ParseType::N(138)], }, - // 849 - PackageItem: StructUnionDeclaration; + // 852 - PackageItem: StructUnionDeclaration; Production { - lhs: 467, - production: &[ParseType::N(560)], + lhs: 471, + production: &[ParseType::N(564)], }, - // 850 - PackageItem: FunctionDeclaration; + // 853 - PackageItem: FunctionDeclaration; Production { - lhs: 467, + lhs: 471, production: &[ParseType::N(226)], }, - // 851 - PackageItem: ImportDeclaration; + // 854 - PackageItem: ImportDeclaration; Production { - lhs: 467, + lhs: 471, production: &[ParseType::N(273)], }, - // 852 - PackageItem: ExportDeclaration; + // 855 - PackageItem: ExportDeclaration; Production { - lhs: 467, + lhs: 471, production: &[ParseType::N(156)], }, - // 853 - PackageItem: InitialDeclaration; + // 856 - PackageItem: InitialDeclaration; Production { - lhs: 467, - production: &[ParseType::N(281)], + lhs: 471, + production: &[ParseType::N(285)], }, - // 854 - PackageItem: FinalDeclaration; + // 857 - PackageItem: FinalDeclaration; Production { - lhs: 467, + lhs: 471, production: &[ParseType::N(209)], }, - // 855 - EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; + // 858 - EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; Production { lhs: 132, production: &[ ParseType::N(129), ParseType::N(247), - ParseType::N(505), + ParseType::N(509), ParseType::N(247), - ParseType::N(353), + ParseType::N(357), ParseType::N(128), ], }, - // 856 - EmbedContent: EmbedContentToken : crate::veryl_token::VerylToken ; + // 859 - EmbedContent: EmbedContentToken : crate::veryl_token::VerylToken ; Production { lhs: 129, production: &[ParseType::N(130)], }, - // 857 - EmbedContentToken: LBraceTerm Push(1) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm Pop; + // 860 - EmbedContentToken: LBraceTerm Push(1) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm Pop; Production { lhs: 130, production: &[ ParseType::Pop, - ParseType::N(500), - ParseType::N(500), - ParseType::N(500), + ParseType::N(504), + ParseType::N(504), + ParseType::N(504), ParseType::N(131), - ParseType::N(348), - ParseType::N(348), + ParseType::N(352), + ParseType::N(352), ParseType::Push(1), - ParseType::N(348), + ParseType::N(352), ], }, - // 858 - EmbedContentTokenList: EmbedItem EmbedContentTokenList; + // 861 - EmbedContentTokenList: EmbedItem EmbedContentTokenList; Production { lhs: 131, production: &[ParseType::N(131), ParseType::N(133)], }, - // 859 - EmbedContentTokenList: ; + // 862 - EmbedContentTokenList: ; Production { lhs: 131, production: &[], }, - // 860 - EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; + // 863 - EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; Production { lhs: 133, - production: &[ParseType::N(500), ParseType::N(134), ParseType::N(348)], + production: &[ParseType::N(504), ParseType::N(134), ParseType::N(352)], }, - // 861 - EmbedItemList: EmbedItem EmbedItemList; + // 864 - EmbedItemList: EmbedItem EmbedItemList; Production { lhs: 134, production: &[ParseType::N(134), ParseType::N(133)], }, - // 862 - EmbedItemList: ; + // 865 - EmbedItemList: ; Production { lhs: 134, production: &[], }, - // 863 - EmbedItem: AnyTerm; + // 866 - EmbedItem: AnyTerm; Production { lhs: 133, production: &[ParseType::N(20)], }, - // 864 - DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; + // 867 - IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; + Production { + lhs: 281, + production: &[ + ParseType::N(539), + ParseType::N(509), + ParseType::N(555), + ParseType::N(93), + ParseType::N(247), + ParseType::N(357), + ParseType::N(280), + ], + }, + // 868 - DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; Production { lhs: 107, production: &[ParseType::N(108), ParseType::N(110)], }, - // 865 - DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; + // 869 - DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; Production { lhs: 108, - production: &[ParseType::N(499), ParseType::N(109), ParseType::N(347)], + production: &[ParseType::N(503), ParseType::N(109), ParseType::N(351)], }, - // 866 - DescriptionGroupGroupList: DescriptionGroup DescriptionGroupGroupList; + // 870 - DescriptionGroupGroupList: DescriptionGroup DescriptionGroupGroupList; Production { lhs: 109, production: &[ParseType::N(109), ParseType::N(107)], }, - // 867 - DescriptionGroupGroupList: ; + // 871 - DescriptionGroupGroupList: ; Production { lhs: 109, production: &[], }, - // 868 - DescriptionGroupGroup: DescriptionItem; + // 872 - DescriptionGroupGroup: DescriptionItem; Production { lhs: 108, production: &[ParseType::N(111)], }, - // 869 - DescriptionGroupList: Attribute DescriptionGroupList; + // 873 - DescriptionGroupList: Attribute DescriptionGroupList; Production { lhs: 110, production: &[ParseType::N(110), ParseType::N(53)], }, - // 870 - DescriptionGroupList: ; + // 874 - DescriptionGroupList: ; Production { lhs: 110, production: &[], }, - // 871 - DescriptionItem: ModuleDeclaration; + // 875 - DescriptionItem: ModuleDeclaration; Production { lhs: 111, - production: &[ParseType::N(390)], + production: &[ParseType::N(394)], }, - // 872 - DescriptionItem: InterfaceDeclaration; + // 876 - DescriptionItem: InterfaceDeclaration; Production { lhs: 111, - production: &[ParseType::N(323)], + production: &[ParseType::N(327)], }, - // 873 - DescriptionItem: PackageDeclaration; + // 877 - DescriptionItem: PackageDeclaration; Production { lhs: 111, - production: &[ParseType::N(460)], + production: &[ParseType::N(464)], }, - // 874 - DescriptionItem: ImportDeclaration; + // 878 - DescriptionItem: ImportDeclaration; Production { lhs: 111, production: &[ParseType::N(273)], }, - // 875 - DescriptionItem: EmbedDeclaration; + // 879 - DescriptionItem: EmbedDeclaration; Production { lhs: 111, production: &[ParseType::N(132)], }, - // 876 - Veryl: Start VerylList /* Vec */; + // 880 - DescriptionItem: IncludeDeclaration; Production { - lhs: 602, - production: &[ParseType::N(603), ParseType::N(544)], + lhs: 111, + production: &[ParseType::N(281)], }, - // 877 - VerylList: DescriptionGroup VerylList; + // 881 - Veryl: Start VerylList /* Vec */; Production { - lhs: 603, - production: &[ParseType::N(603), ParseType::N(107)], + lhs: 606, + production: &[ParseType::N(607), ParseType::N(548)], }, - // 878 - VerylList: ; + // 882 - VerylList: DescriptionGroup VerylList; Production { - lhs: 603, + lhs: 607, + production: &[ParseType::N(607), ParseType::N(107)], + }, + // 883 - VerylList: ; + Production { + lhs: 607, production: &[], }, ]; @@ -19336,7 +19430,7 @@ where T: AsRef, { let mut llk_parser = LLKParser::new( - 602, + 606, LOOKAHEAD_AUTOMATA, PRODUCTIONS, TERMINAL_NAMES, diff --git a/crates/parser/src/veryl_token.rs b/crates/parser/src/veryl_token.rs index 4f7d8540..64d6845d 100644 --- a/crates/parser/src/veryl_token.rs +++ b/crates/parser/src/veryl_token.rs @@ -551,6 +551,7 @@ token_with_comments!(I64); token_with_comments!(If); token_with_comments!(IfReset); token_with_comments!(Import); +token_with_comments!(Include); token_with_comments!(Initial); token_with_comments!(Inout); token_with_comments!(Input); diff --git a/crates/parser/src/veryl_walker.rs b/crates/parser/src/veryl_walker.rs index 9c80dd39..7f0356ba 100644 --- a/crates/parser/src/veryl_walker.rs +++ b/crates/parser/src/veryl_walker.rs @@ -489,6 +489,13 @@ pub trait VerylWalker { after!(self, r#in, arg); } + /// Semantic action for non-terminal 'Include' + fn include(&mut self, arg: &Include) { + before!(self, include, arg); + self.veryl_token(&arg.include_token); + after!(self, include, arg); + } + /// Semantic action for non-terminal 'Initial' fn initial(&mut self, arg: &Initial) { before!(self, initial, arg); @@ -2661,6 +2668,19 @@ pub trait VerylWalker { after!(self, embed_content, arg); } + /// Semantic action for non-terminal 'IncludeDeclaration' + fn include_declaration(&mut self, arg: &IncludeDeclaration) { + before!(self, include_declaration, arg); + self.include(&arg.include); + self.l_paren(&arg.l_paren); + self.identifier(&arg.identifier); + self.comma(&arg.comma); + self.string_literal(&arg.string_literal); + self.r_paren(&arg.r_paren); + self.semicolon(&arg.semicolon); + after!(self, include_declaration, arg); + } + /// Semantic action for non-terminal 'DescriptionGroup' fn description_group(&mut self, arg: &DescriptionGroup) { before!(self, description_group, arg); @@ -2693,6 +2713,9 @@ pub trait VerylWalker { } DescriptionItem::ImportDeclaration(x) => self.import_declaration(&x.import_declaration), DescriptionItem::EmbedDeclaration(x) => self.embed_declaration(&x.embed_declaration), + DescriptionItem::IncludeDeclaration(x) => { + self.include_declaration(&x.include_declaration) + } }; after!(self, description_item, arg); } diff --git a/crates/parser/veryl.par b/crates/parser/veryl.par index 795d3c9b..ecf03b9d 100644 --- a/crates/parser/veryl.par +++ b/crates/parser/veryl.par @@ -83,6 +83,7 @@ I64Term : /(?-u:\b)i64(?-u:\b)/ IfResetTerm : /(?-u:\b)if_reset(?-u:\b)/ : Token; IfTerm : /(?-u:\b)if(?-u:\b)/ : Token; ImportTerm : /(?-u:\b)import(?-u:\b)/ : Token; +IncludeTerm : /(?-u:\b)include(?-u:\b)/ : Token; InitialTerm : /(?-u:\b)initial(?-u:\b)/ : Token; InoutTerm : /(?-u:\b)inout(?-u:\b)/ : Token; InputTerm : /(?-u:\b)input(?-u:\b)/ : Token; @@ -200,6 +201,7 @@ I64Token : I64Term : Token Comments; IfResetToken : IfResetTerm : Token Comments; IfToken : IfTerm : Token Comments; ImportToken : ImportTerm : Token Comments; +IncludeToken : IncludeTerm : Token Comments; InitialToken : InitialTerm : Token Comments; InoutToken : InoutTerm : Token Comments; InputToken : InputTerm : Token Comments; @@ -323,6 +325,7 @@ If : IfToken : VerylToken; IfReset : IfResetToken : VerylToken; Import : ImportToken : VerylToken; In : InToken : VerylToken; +Include : IncludeToken : VerylToken; Initial : InitialToken : VerylToken; Inout : InoutToken : VerylToken; Input : InputToken : VerylToken; @@ -755,6 +758,12 @@ EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } R EmbedItem: LBraceTerm { EmbedItem } RBraceTerm | AnyTerm; +// ---------------------------------------------------------------------------- +// Include +// ---------------------------------------------------------------------------- + +IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; + // ---------------------------------------------------------------------------- // Description // ---------------------------------------------------------------------------- @@ -766,6 +775,7 @@ DescriptionItem: ModuleDeclaration | PackageDeclaration | ImportDeclaration | EmbedDeclaration + | IncludeDeclaration ; // ---------------------------------------------------------------------------- diff --git a/crates/tests/build.rs b/crates/tests/build.rs index 4344fc19..b6006cb3 100644 --- a/crates/tests/build.rs +++ b/crates/tests/build.rs @@ -16,19 +16,23 @@ fn main() { for entry in WalkDir::new("../../testcases/veryl") { let entry = entry.unwrap(); if entry.file_type().is_file() { - testcases.push( - entry - .path() - .canonicalize() - .unwrap() - .to_string_lossy() - .into_owned(), - ); - let file = entry.path().file_stem().unwrap().to_string_lossy(); - let _ = writeln!(out_test, "#[test]"); - let _ = writeln!(out_test, "fn test_{file}() {{"); - let _ = writeln!(out_test, " test(\"{file}\");"); - let _ = writeln!(out_test, "}}"); + if let Some(x) = entry.path().extension() { + if x == "veryl" { + testcases.push( + entry + .path() + .canonicalize() + .unwrap() + .to_string_lossy() + .into_owned(), + ); + let file = entry.path().file_stem().unwrap().to_string_lossy(); + let _ = writeln!(out_test, "#[test]"); + let _ = writeln!(out_test, "fn test_{file}() {{"); + let _ = writeln!(out_test, " test(\"{file}\");"); + let _ = writeln!(out_test, "}}"); + } + } } } diff --git a/support/highlightjs/src/languages/veryl.js b/support/highlightjs/src/languages/veryl.js index c01b33a9..54624970 100644 --- a/support/highlightjs/src/languages/veryl.js +++ b/support/highlightjs/src/languages/veryl.js @@ -13,7 +13,7 @@ module.exports = function (hljs) case_insensitive: false, keywords: { - keyword: 'module interface function modport package enum struct param local posedge negedge async_high async_low sync_high sync_low always_ff always_comb assign return as var inst import export logic bit tri signed u32 u64 i32 i64 f32 f64 input output inout ref if if_reset else for in case for in step repeat initial final inside outside default pub let break embed', + keyword: 'module interface function modport package enum struct param local posedge negedge async_high async_low sync_high sync_low always_ff always_comb assign return as var inst import export logic bit tri signed u32 u64 i32 i64 f32 f64 input output inout ref if if_reset else for in case for in step repeat initial final inside outside default pub let break embed include', literal: '' }, contains: diff --git a/support/vim b/support/vim index 5c0b1dab..eab7fe03 160000 --- a/support/vim +++ b/support/vim @@ -1 +1 @@ -Subproject commit 5c0b1dab3da112a139227494b8cfe96491aef6b5 +Subproject commit eab7fe03df64687394b1281a5fef833f82fa1efc diff --git a/support/vscode/syntaxes/veryl.tmLanguage.json b/support/vscode/syntaxes/veryl.tmLanguage.json index ccccbfe3..6ef9a42b 100644 --- a/support/vscode/syntaxes/veryl.tmLanguage.json +++ b/support/vscode/syntaxes/veryl.tmLanguage.json @@ -30,7 +30,7 @@ }, { "name": "keyword.other.veryl", - "match": "\\b(module|interface|function|modport|package|param|local|posedge|negedge|async_high|async_low|sync_high|sync_low|always_ff|always_comb|assign|return|break|var|inst|import|export|as|initial|final|pub|let|embed)\\b" + "match": "\\b(module|interface|function|modport|package|param|local|posedge|negedge|async_high|async_low|sync_high|sync_low|always_ff|always_comb|assign|return|break|var|inst|import|export|as|initial|final|pub|let|embed|include)\\b" } ] }, diff --git a/testcases/sv/52_include.sv b/testcases/sv/52_include.sv new file mode 100644 index 00000000..201b1a96 --- /dev/null +++ b/testcases/sv/52_include.sv @@ -0,0 +1,8 @@ +module veryl_testcase_Module52; +endmodule +module test; + initial begin + $display("hello"); + end +endmodule + diff --git a/testcases/veryl/52_include.sv b/testcases/veryl/52_include.sv new file mode 100644 index 00000000..3cb00288 --- /dev/null +++ b/testcases/veryl/52_include.sv @@ -0,0 +1,5 @@ +module test; + initial begin + $display("hello"); + end +endmodule diff --git a/testcases/veryl/52_include.veryl b/testcases/veryl/52_include.veryl new file mode 100644 index 00000000..27ac1e8d --- /dev/null +++ b/testcases/veryl/52_include.veryl @@ -0,0 +1,3 @@ +module Module52 {} + +include (inline, "52_include.sv");