jonathan@Jonathans-iMac sv2v % make test (cd test && ./run-all.sh) -------------------- SUITE: basic -------------------- test_assign_delay test_attr test_clip_add test_clip_mul test_delay_real test_div test_duplicate_genvar_shadow test_else_prec test_empty_task test_escaped_identifier test_event_xor test_expr_attr test_flatten test_flatten_three test_force test_fork_join test_function_reorder_resolve test_gate test_gen_case test_genblk_implicit test_generate_else_branch test_inout test_multipack_prec test_mutual_recursion test_nblk_asgn_prec test_packed_array_shadow test_port_decl_simplify test_red_wrap test_sense_star test_shift test_simplify_arg_shadow test_simplify_binop test_simplify_genvar_shadow test_simplify_localparam_shadow test_simplify_scope test_simplify_type test_stmt_task test_string_param_plain test_task_inout test_typeof_op test_unnamed_genblk test_unnamed_genblk_cascade test_wait Ran 43 tests. OK -------------------- SUITE: core -------------------- test_always_attr test_always_comb test_always_latch test_always_prefix test_always_sense test_always_spin test_ambiguous_tore test_array test_array_in_package test_asgn_expr test_asgn_sense_lhs test_assert test_bit test_bits_scope test_case_inside_cast test_case_violation_checks test_cast test_cast_conflict test_cast_literal ASSERT:VE/CS simulation outputs differ: 318,351c318,351 < 2'('sb1) = 11 < 3'('sb1) = 111 < 4'('sb1) = 1111 < 5'('sb1) = 11111 < 6'('sb1) = 111111 < 7'('sb1) = 1111111 < 8'('sb1) = 11111111 < 9'('sb1) = 111111111 < 10'('sb1) = 1111111111 < 11'('sb1) = 11111111111 < 12'('sb1) = 111111111111 < 13'('sb1) = 1111111111111 < 14'('sb1) = 11111111111111 < 15'('sb1) = 111111111111111 < 16'('sb1) = 1111111111111111 < 17'('sb1) = 11111111111111111 < 18'('sb1) = 111111111111111111 < 19'('sb1) = 1111111111111111111 < 20'('sb1) = 11111111111111111111 < 21'('sb1) = 111111111111111111111 < 22'('sb1) = 1111111111111111111111 < 23'('sb1) = 11111111111111111111111 < 24'('sb1) = 111111111111111111111111 < 25'('sb1) = 1111111111111111111111111 < 26'('sb1) = 11111111111111111111111111 < 27'('sb1) = 111111111111111111111111111 < 28'('sb1) = 1111111111111111111111111111 < 29'('sb1) = 11111111111111111111111111111 < 30'('sb1) = 111111111111111111111111111111 < 31'('sb1) = 1111111111111111111111111111111 < 32'('sb1) = 11111111111111111111111111111111 < 33'('sb1) = 111111111111111111111111111111111 < 34'('sb1) = 1111111111111111111111111111111111 < 35'('sb1) = 11111111111111111111111111111111111 --- > 2'('sb1) = 01 > 3'('sb1) = 001 > 4'('sb1) = 0001 > 5'('sb1) = 00001 > 6'('sb1) = 000001 > 7'('sb1) = 0000001 > 8'('sb1) = 00000001 > 9'('sb1) = 000000001 > 10'('sb1) = 0000000001 > 11'('sb1) = 00000000001 > 12'('sb1) = 000000000001 > 13'('sb1) = 0000000000001 > 14'('sb1) = 00000000000001 > 15'('sb1) = 000000000000001 > 16'('sb1) = 0000000000000001 > 17'('sb1) = 00000000000000001 > 18'('sb1) = 000000000000000001 > 19'('sb1) = 0000000000000000001 > 20'('sb1) = 00000000000000000001 > 21'('sb1) = 000000000000000000001 > 22'('sb1) = 0000000000000000000001 > 23'('sb1) = 00000000000000000000001 > 24'('sb1) = 000000000000000000000001 > 25'('sb1) = 0000000000000000000000001 > 26'('sb1) = 00000000000000000000000001 > 27'('sb1) = 000000000000000000000000001 > 28'('sb1) = 0000000000000000000000000001 > 29'('sb1) = 00000000000000000000000000001 > 30'('sb1) = 000000000000000000000000000001 > 31'('sb1) = 0000000000000000000000000000001 > 32'('sb1) = 00000000000000000000000000000001 > 33'('sb1) = 000000000000000000000000000000001 > 34'('sb1) = 0000000000000000000000000000000001 > 35'('sb1) = 00000000000000000000000000000000001 389,421c389,421 < 3'('sb10) = 110 < 4'('sb10) = 1110 < 5'('sb10) = 11110 < 6'('sb10) = 111110 < 7'('sb10) = 1111110 < 8'('sb10) = 11111110 < 9'('sb10) = 111111110 < 10'('sb10) = 1111111110 < 11'('sb10) = 11111111110 < 12'('sb10) = 111111111110 < 13'('sb10) = 1111111111110 < 14'('sb10) = 11111111111110 < 15'('sb10) = 111111111111110 < 16'('sb10) = 1111111111111110 < 17'('sb10) = 11111111111111110 < 18'('sb10) = 111111111111111110 < 19'('sb10) = 1111111111111111110 < 20'('sb10) = 11111111111111111110 < 21'('sb10) = 111111111111111111110 < 22'('sb10) = 1111111111111111111110 < 23'('sb10) = 11111111111111111111110 < 24'('sb10) = 111111111111111111111110 < 25'('sb10) = 1111111111111111111111110 < 26'('sb10) = 11111111111111111111111110 < 27'('sb10) = 111111111111111111111111110 < 28'('sb10) = 1111111111111111111111111110 < 29'('sb10) = 11111111111111111111111111110 < 30'('sb10) = 111111111111111111111111111110 < 31'('sb10) = 1111111111111111111111111111110 < 32'('sb10) = 11111111111111111111111111111110 < 33'('sb10) = 111111111111111111111111111111110 < 34'('sb10) = 1111111111111111111111111111111110 < 35'('sb10) = 11111111111111111111111111111111110 --- > 3'('sb10) = 010 > 4'('sb10) = 0010 > 5'('sb10) = 00010 > 6'('sb10) = 000010 > 7'('sb10) = 0000010 > 8'('sb10) = 00000010 > 9'('sb10) = 000000010 > 10'('sb10) = 0000000010 > 11'('sb10) = 00000000010 > 12'('sb10) = 000000000010 > 13'('sb10) = 0000000000010 > 14'('sb10) = 00000000000010 > 15'('sb10) = 000000000000010 > 16'('sb10) = 0000000000000010 > 17'('sb10) = 00000000000000010 > 18'('sb10) = 000000000000000010 > 19'('sb10) = 0000000000000000010 > 20'('sb10) = 00000000000000000010 > 21'('sb10) = 000000000000000000010 > 22'('sb10) = 0000000000000000000010 > 23'('sb10) = 00000000000000000000010 > 24'('sb10) = 000000000000000000000010 > 25'('sb10) = 0000000000000000000000010 > 26'('sb10) = 00000000000000000000000010 > 27'('sb10) = 000000000000000000000000010 > 28'('sb10) = 0000000000000000000000000010 > 29'('sb10) = 00000000000000000000000000010 > 30'('sb10) = 000000000000000000000000000010 > 31'('sb10) = 0000000000000000000000000000010 > 32'('sb10) = 00000000000000000000000000000010 > 33'('sb10) = 000000000000000000000000000000010 > 34'('sb10) = 0000000000000000000000000000000010 > 35'('sb10) = 00000000000000000000000000000000010 1335,1366c1335,1366 < 4'('so7) = 1111 < 5'('so7) = 11111 < 6'('so7) = 111111 < 7'('so7) = 1111111 < 8'('so7) = 11111111 < 9'('so7) = 111111111 < 10'('so7) = 1111111111 < 11'('so7) = 11111111111 < 12'('so7) = 111111111111 < 13'('so7) = 1111111111111 < 14'('so7) = 11111111111111 < 15'('so7) = 111111111111111 < 16'('so7) = 1111111111111111 < 17'('so7) = 11111111111111111 < 18'('so7) = 111111111111111111 < 19'('so7) = 1111111111111111111 < 20'('so7) = 11111111111111111111 < 21'('so7) = 111111111111111111111 < 22'('so7) = 1111111111111111111111 < 23'('so7) = 11111111111111111111111 < 24'('so7) = 111111111111111111111111 < 25'('so7) = 1111111111111111111111111 < 26'('so7) = 11111111111111111111111111 < 27'('so7) = 111111111111111111111111111 < 28'('so7) = 1111111111111111111111111111 < 29'('so7) = 11111111111111111111111111111 < 30'('so7) = 111111111111111111111111111111 < 31'('so7) = 1111111111111111111111111111111 < 32'('so7) = 11111111111111111111111111111111 < 33'('so7) = 111111111111111111111111111111111 < 34'('so7) = 1111111111111111111111111111111111 < 35'('so7) = 11111111111111111111111111111111111 --- > 4'('so7) = 0111 > 5'('so7) = 00111 > 6'('so7) = 000111 > 7'('so7) = 0000111 > 8'('so7) = 00000111 > 9'('so7) = 000000111 > 10'('so7) = 0000000111 > 11'('so7) = 00000000111 > 12'('so7) = 000000000111 > 13'('so7) = 0000000000111 > 14'('so7) = 00000000000111 > 15'('so7) = 000000000000111 > 16'('so7) = 0000000000000111 > 17'('so7) = 00000000000000111 > 18'('so7) = 000000000000000111 > 19'('so7) = 0000000000000000111 > 20'('so7) = 00000000000000000111 > 21'('so7) = 000000000000000000111 > 22'('so7) = 0000000000000000000111 > 23'('so7) = 00000000000000000000111 > 24'('so7) = 000000000000000000000111 > 25'('so7) = 0000000000000000000000111 > 26'('so7) = 00000000000000000000000111 > 27'('so7) = 000000000000000000000000111 > 28'('so7) = 0000000000000000000000000111 > 29'('so7) = 00000000000000000000000000111 > 30'('so7) = 000000000000000000000000000111 > 31'('so7) = 0000000000000000000000000000111 > 32'('so7) = 00000000000000000000000000000111 > 33'('so7) = 000000000000000000000000000000111 > 34'('so7) = 0000000000000000000000000000000111 > 35'('so7) = 00000000000000000000000000000000111 1408,1436c1408,1436 < 7'('so47) = 1100111 < 8'('so47) = 11100111 < 9'('so47) = 111100111 < 10'('so47) = 1111100111 < 11'('so47) = 11111100111 < 12'('so47) = 111111100111 < 13'('so47) = 1111111100111 < 14'('so47) = 11111111100111 < 15'('so47) = 111111111100111 < 16'('so47) = 1111111111100111 < 17'('so47) = 11111111111100111 < 18'('so47) = 111111111111100111 < 19'('so47) = 1111111111111100111 < 20'('so47) = 11111111111111100111 < 21'('so47) = 111111111111111100111 < 22'('so47) = 1111111111111111100111 < 23'('so47) = 11111111111111111100111 < 24'('so47) = 111111111111111111100111 < 25'('so47) = 1111111111111111111100111 < 26'('so47) = 11111111111111111111100111 < 27'('so47) = 111111111111111111111100111 < 28'('so47) = 1111111111111111111111100111 < 29'('so47) = 11111111111111111111111100111 < 30'('so47) = 111111111111111111111111100111 < 31'('so47) = 1111111111111111111111111100111 < 32'('so47) = 11111111111111111111111111100111 < 33'('so47) = 111111111111111111111111111100111 < 34'('so47) = 1111111111111111111111111111100111 < 35'('so47) = 11111111111111111111111111111100111 --- > 7'('so47) = 0100111 > 8'('so47) = 00100111 > 9'('so47) = 000100111 > 10'('so47) = 0000100111 > 11'('so47) = 00000100111 > 12'('so47) = 000000100111 > 13'('so47) = 0000000100111 > 14'('so47) = 00000000100111 > 15'('so47) = 000000000100111 > 16'('so47) = 0000000000100111 > 17'('so47) = 00000000000100111 > 18'('so47) = 000000000000100111 > 19'('so47) = 0000000000000100111 > 20'('so47) = 00000000000000100111 > 21'('so47) = 000000000000000100111 > 22'('so47) = 0000000000000000100111 > 23'('so47) = 00000000000000000100111 > 24'('so47) = 000000000000000000100111 > 25'('so47) = 0000000000000000000100111 > 26'('so47) = 00000000000000000000100111 > 27'('so47) = 000000000000000000000100111 > 28'('so47) = 0000000000000000000000100111 > 29'('so47) = 00000000000000000000000100111 > 30'('so47) = 000000000000000000000000100111 > 31'('so47) = 0000000000000000000000000100111 > 32'('so47) = 00000000000000000000000000100111 > 33'('so47) = 000000000000000000000000000100111 > 34'('so47) = 0000000000000000000000000000100111 > 35'('so47) = 00000000000000000000000000000100111 1443,1471c1443,1471 < 7'('so57) = 1101111 < 8'('so57) = 11101111 < 9'('so57) = 111101111 < 10'('so57) = 1111101111 < 11'('so57) = 11111101111 < 12'('so57) = 111111101111 < 13'('so57) = 1111111101111 < 14'('so57) = 11111111101111 < 15'('so57) = 111111111101111 < 16'('so57) = 1111111111101111 < 17'('so57) = 11111111111101111 < 18'('so57) = 111111111111101111 < 19'('so57) = 1111111111111101111 < 20'('so57) = 11111111111111101111 < 21'('so57) = 111111111111111101111 < 22'('so57) = 1111111111111111101111 < 23'('so57) = 11111111111111111101111 < 24'('so57) = 111111111111111111101111 < 25'('so57) = 1111111111111111111101111 < 26'('so57) = 11111111111111111111101111 < 27'('so57) = 111111111111111111111101111 < 28'('so57) = 1111111111111111111111101111 < 29'('so57) = 11111111111111111111111101111 < 30'('so57) = 111111111111111111111111101111 < 31'('so57) = 1111111111111111111111111101111 < 32'('so57) = 11111111111111111111111111101111 < 33'('so57) = 111111111111111111111111111101111 < 34'('so57) = 1111111111111111111111111111101111 < 35'('so57) = 11111111111111111111111111111101111 --- > 7'('so57) = 0101111 > 8'('so57) = 00101111 > 9'('so57) = 000101111 > 10'('so57) = 0000101111 > 11'('so57) = 00000101111 > 12'('so57) = 000000101111 > 13'('so57) = 0000000101111 > 14'('so57) = 00000000101111 > 15'('so57) = 000000000101111 > 16'('so57) = 0000000000101111 > 17'('so57) = 00000000000101111 > 18'('so57) = 000000000000101111 > 19'('so57) = 0000000000000101111 > 20'('so57) = 00000000000000101111 > 21'('so57) = 000000000000000101111 > 22'('so57) = 0000000000000000101111 > 23'('so57) = 00000000000000000101111 > 24'('so57) = 000000000000000000101111 > 25'('so57) = 0000000000000000000101111 > 26'('so57) = 00000000000000000000101111 > 27'('so57) = 000000000000000000000101111 > 28'('so57) = 0000000000000000000000101111 > 29'('so57) = 00000000000000000000000101111 > 30'('so57) = 000000000000000000000000101111 > 31'('so57) = 0000000000000000000000000101111 > 32'('so57) = 00000000000000000000000000101111 > 33'('so57) = 000000000000000000000000000101111 > 34'('so57) = 0000000000000000000000000000101111 > 35'('so57) = 00000000000000000000000000000101111 2036,2066c2036,2066 < 5'('shf) = 11111 < 6'('shf) = 111111 < 7'('shf) = 1111111 < 8'('shf) = 11111111 < 9'('shf) = 111111111 < 10'('shf) = 1111111111 < 11'('shf) = 11111111111 < 12'('shf) = 111111111111 < 13'('shf) = 1111111111111 < 14'('shf) = 11111111111111 < 15'('shf) = 111111111111111 < 16'('shf) = 1111111111111111 < 17'('shf) = 11111111111111111 < 18'('shf) = 111111111111111111 < 19'('shf) = 1111111111111111111 < 20'('shf) = 11111111111111111111 < 21'('shf) = 111111111111111111111 < 22'('shf) = 1111111111111111111111 < 23'('shf) = 11111111111111111111111 < 24'('shf) = 111111111111111111111111 < 25'('shf) = 1111111111111111111111111 < 26'('shf) = 11111111111111111111111111 < 27'('shf) = 111111111111111111111111111 < 28'('shf) = 1111111111111111111111111111 < 29'('shf) = 11111111111111111111111111111 < 30'('shf) = 111111111111111111111111111111 < 31'('shf) = 1111111111111111111111111111111 < 32'('shf) = 11111111111111111111111111111111 < 33'('shf) = 111111111111111111111111111111111 < 34'('shf) = 1111111111111111111111111111111111 < 35'('shf) = 11111111111111111111111111111111111 --- > 5'('shf) = 01111 > 6'('shf) = 001111 > 7'('shf) = 0001111 > 8'('shf) = 00001111 > 9'('shf) = 000001111 > 10'('shf) = 0000001111 > 11'('shf) = 00000001111 > 12'('shf) = 000000001111 > 13'('shf) = 0000000001111 > 14'('shf) = 00000000001111 > 15'('shf) = 000000000001111 > 16'('shf) = 0000000000001111 > 17'('shf) = 00000000000001111 > 18'('shf) = 000000000000001111 > 19'('shf) = 0000000000000001111 > 20'('shf) = 00000000000000001111 > 21'('shf) = 000000000000000001111 > 22'('shf) = 0000000000000000001111 > 23'('shf) = 00000000000000000001111 > 24'('shf) = 000000000000000000001111 > 25'('shf) = 0000000000000000000001111 > 26'('shf) = 00000000000000000000001111 > 27'('shf) = 000000000000000000000001111 > 28'('shf) = 0000000000000000000000001111 > 29'('shf) = 00000000000000000000000001111 > 30'('shf) = 000000000000000000000000001111 > 31'('shf) = 0000000000000000000000000001111 > 32'('shf) = 00000000000000000000000000001111 > 33'('shf) = 000000000000000000000000000001111 > 34'('shf) = 0000000000000000000000000000001111 > 35'('shf) = 00000000000000000000000000000001111 2145,2171c2145,2171 < 9'('sh8f) = 110001111 < 10'('sh8f) = 1110001111 < 11'('sh8f) = 11110001111 < 12'('sh8f) = 111110001111 < 13'('sh8f) = 1111110001111 < 14'('sh8f) = 11111110001111 < 15'('sh8f) = 111111110001111 < 16'('sh8f) = 1111111110001111 < 17'('sh8f) = 11111111110001111 < 18'('sh8f) = 111111111110001111 < 19'('sh8f) = 1111111111110001111 < 20'('sh8f) = 11111111111110001111 < 21'('sh8f) = 111111111111110001111 < 22'('sh8f) = 1111111111111110001111 < 23'('sh8f) = 11111111111111110001111 < 24'('sh8f) = 111111111111111110001111 < 25'('sh8f) = 1111111111111111110001111 < 26'('sh8f) = 11111111111111111110001111 < 27'('sh8f) = 111111111111111111110001111 < 28'('sh8f) = 1111111111111111111110001111 < 29'('sh8f) = 11111111111111111111110001111 < 30'('sh8f) = 111111111111111111111110001111 < 31'('sh8f) = 1111111111111111111111110001111 < 32'('sh8f) = 11111111111111111111111110001111 < 33'('sh8f) = 111111111111111111111111110001111 < 34'('sh8f) = 1111111111111111111111111110001111 < 35'('sh8f) = 11111111111111111111111111110001111 --- > 9'('sh8f) = 010001111 > 10'('sh8f) = 0010001111 > 11'('sh8f) = 00010001111 > 12'('sh8f) = 000010001111 > 13'('sh8f) = 0000010001111 > 14'('sh8f) = 00000010001111 > 15'('sh8f) = 000000010001111 > 16'('sh8f) = 0000000010001111 > 17'('sh8f) = 00000000010001111 > 18'('sh8f) = 000000000010001111 > 19'('sh8f) = 0000000000010001111 > 20'('sh8f) = 00000000000010001111 > 21'('sh8f) = 000000000000010001111 > 22'('sh8f) = 0000000000000010001111 > 23'('sh8f) = 00000000000000010001111 > 24'('sh8f) = 000000000000000010001111 > 25'('sh8f) = 0000000000000000010001111 > 26'('sh8f) = 00000000000000000010001111 > 27'('sh8f) = 000000000000000000010001111 > 28'('sh8f) = 0000000000000000000010001111 > 29'('sh8f) = 00000000000000000000010001111 > 30'('sh8f) = 000000000000000000000010001111 > 31'('sh8f) = 0000000000000000000000010001111 > 32'('sh8f) = 00000000000000000000000010001111 > 33'('sh8f) = 000000000000000000000000010001111 > 34'('sh8f) = 0000000000000000000000000010001111 > 35'('sh8f) = 00000000000000000000000000010001111 2180,2206c2180,2206 < 9'('sh9f) = 110011111 < 10'('sh9f) = 1110011111 < 11'('sh9f) = 11110011111 < 12'('sh9f) = 111110011111 < 13'('sh9f) = 1111110011111 < 14'('sh9f) = 11111110011111 < 15'('sh9f) = 111111110011111 < 16'('sh9f) = 1111111110011111 < 17'('sh9f) = 11111111110011111 < 18'('sh9f) = 111111111110011111 < 19'('sh9f) = 1111111111110011111 < 20'('sh9f) = 11111111111110011111 < 21'('sh9f) = 111111111111110011111 < 22'('sh9f) = 1111111111111110011111 < 23'('sh9f) = 11111111111111110011111 < 24'('sh9f) = 111111111111111110011111 < 25'('sh9f) = 1111111111111111110011111 < 26'('sh9f) = 11111111111111111110011111 < 27'('sh9f) = 111111111111111111110011111 < 28'('sh9f) = 1111111111111111111110011111 < 29'('sh9f) = 11111111111111111111110011111 < 30'('sh9f) = 111111111111111111111110011111 < 31'('sh9f) = 1111111111111111111111110011111 < 32'('sh9f) = 11111111111111111111111110011111 < 33'('sh9f) = 111111111111111111111111110011111 < 34'('sh9f) = 1111111111111111111111111110011111 < 35'('sh9f) = 11111111111111111111111111110011111 --- > 9'('sh9f) = 010011111 > 10'('sh9f) = 0010011111 > 11'('sh9f) = 00010011111 > 12'('sh9f) = 000010011111 > 13'('sh9f) = 0000010011111 > 14'('sh9f) = 00000010011111 > 15'('sh9f) = 000000010011111 > 16'('sh9f) = 0000000010011111 > 17'('sh9f) = 00000000010011111 > 18'('sh9f) = 000000000010011111 > 19'('sh9f) = 0000000000010011111 > 20'('sh9f) = 00000000000010011111 > 21'('sh9f) = 000000000000010011111 > 22'('sh9f) = 0000000000000010011111 > 23'('sh9f) = 00000000000000010011111 > 24'('sh9f) = 000000000000000010011111 > 25'('sh9f) = 0000000000000000010011111 > 26'('sh9f) = 00000000000000000010011111 > 27'('sh9f) = 000000000000000000010011111 > 28'('sh9f) = 0000000000000000000010011111 > 29'('sh9f) = 00000000000000000000010011111 > 30'('sh9f) = 000000000000000000000010011111 > 31'('sh9f) = 0000000000000000000000010011111 > 32'('sh9f) = 00000000000000000000000010011111 > 33'('sh9f) = 000000000000000000000000010011111 > 34'('sh9f) = 0000000000000000000000000010011111 > 35'('sh9f) = 00000000000000000000000000010011111 test_cast_nest test_cast_nettype test_cast_procedure test_cast_struct_nested test_cast_top_item test_class_ident test_class_param_nest test_const test_constexpr test_dangling_else test_data_lifetime test_decl_scope test_default_nettype test_delay test_dimensions test_do_while test_duplicate_cast test_edge test_empty_args test_empty_args_hier test_empty_constructs test_end_labels test_enum test_enum_dupe test_enum_int test_enum_scope test_enum_typedef_keep test_for_decl test_for_incrs test_for_loop_inits test_foreach test_func_no_asgn test_function_implicit_dir test_function_range_cast test_function_ret_unpacked test_function_void test_generate_if_scope test_header_import test_implicit_net test_import_no_reorder test_inc test_input_int test_input_reg test_inside_exhaust test_inside_expr test_instance_array test_integer_array test_interface_array test_interface_array_indirect test_interface_array_multi test_interface_array_output test_interface_array_single test_interface_array_slice test_interface_based_typedef test_interface_based_typedef_delay test_interface_bundle test_interface_check_extra test_interface_check_same test_interface_delay_1 test_interface_delay_2 test_interface_func test_interface_generate test_interface_generic test_interface_genvar_shadow test_interface_infer test_interface_modport test_interface_module test_interface_name_shadow test_interface_nested test_interface_nested_array test_interface_param test_interface_shadow test_interface_star test_interface_struct test_interface_struct_label test_interface_struct_param test_interface_task test_interface_type_param test_interface_typeof test_interface_unbound test_jump test_kwargs test_large_mux test_local_cast test_localparamtype test_log_op test_logic_cond test_logic_struct_select test_logic_tf test_multi_array_decl test_multipack test_multipack_delayed test_multipack_expr_in_lhs test_multipack_ident_scope test_multipack_inline test_multipack_port test_multipack_struct_cast test_named_block test_named_genblk_cascade test_nest_order test_net_base_type test_net_or_var test_no_default_param test_non_ansi_port_decl test_non_ansi_port_decl_order test_non_ansi_port_decl_tf test_number_concat test_output_bound_reg test_output_implicit test_package test_package_constrain test_package_decl_init test_package_decl_reorder test_package_enum_1 test_package_enum_2 test_package_enum_3 test_package_enum_4 test_package_enum_5 test_package_export_first test_package_export_nothing test_package_export_wildcard test_package_function_cast Assertion failed: (val.size() == wid), function of_RET_VEC4, file vthread.cc, line 5471. ../lib/functions.sh: line 7: 75231 Abort trap: 6 $sim_prog -no-date > $sim_log ASSERT:simulating package_function_cast.v failed ASSERT:VE/CS VCDs are different: 40a41,43 > #8 > b10000000 ! > b111 " ASSERT:VE/CS simulation outputs differ: 9a10 > 8, p=111 q=10000000 test_package_global test_package_ident test_package_implied test_package_order test_package_param test_package_pattern test_package_scope test_package_self_reference test_package_self_reference_import test_package_self_reference_shadow test_package_shadow test_package_typedef test_package_typedef_nested test_package_unique test_packed_array test_param_list test_param_list_unpacked test_param_shorthand test_param_typeof test_parameterized_data_types test_paramtype test_paramtype_bits test_paramtype_deep_nest test_paramtype_delay test_paramtype_expr test_paramtype_hier test_paramtype_param test_paramtype_param_default test_paramtype_recurse test_paramtype_stagger test_paramtype_struct_default test_part_select test_part_select_bits test_pattern test_pattern_resolve test_pattern_revised test_port_typename test_reorder test_reorder_shadow test_shadow_recurse test_sign_cast test_simple_loop_jump test_simplify_func test_size_cast test_stream Assertion failed: (val.size() == wid), function of_RET_VEC4, file vthread.cc, line 5471. ../lib/functions.sh: line 7: 84425 Abort trap: 6 $sim_prog -no-date > $sim_log ASSERT:simulating stream.v failed ASSERT:VE/CS VCDs are different: 28a29,90 > $enddefinitions $end > $comment Show the parameter values. $end > $dumpall > $end > #0 > $dumpvars > bx 4 > bx 3 > bx 2 > b1100000011100000000000 1 > b11100000011100000000000 0 > bx / > b101100000011100000000000 . > b111100000011100000000000 - > b100000011110000000000 , > b110100000011100000000000 + > x* > b100100000011100000000000 ) > b10100000011100000000000 ( > bx ' > bx & > bx % > bx $ > bx # > bx " > bx ! > $end > #1 > b100011000000 $ > b11 % > b100 & > b0 ' > 0* > b11000100000000000000000000 4 > b10000111000000110000000000000 3 > b0 2 > b1100000011100001000 / > #2 > b10101110110001000000001100000000 4 > b10101110110001000000001100000000 2 > b100000000110 $ > b110001 % > b10 & > b11 ' > 1* > b11000000001000110111010100000000 3 > b110000000010001101110101 / > #3 > b111001011000 $ > b10001 % > b100 & > 0* > b11010011100000000000000000000 4 > b11101110001110100100000000000 3 > b0 2 > b100101110001110111000 / > #13 > b0 # > #23 > b11101110001110100100000000000 " > #33 > b11010011100000000000000000000 ! ASSERT:VE/CS simulation outputs differ: 7a8,49 > 060708 > 1038c0 > 607080 > 103980 > 070800 > 103800 > 070800 > 103800 > printer(070800, 103800) > 0 00 001 100000 011100001000 > 0 00 010 000000 011100000110 > 0 00 001 100000 011100001000 > 0 00 100 001110 000001100000 > 0 00 001 100000 011100001000 > 0 00 100 000011 100011000000 > 1 10 000 000010 001101110101 > 0 11 101 010010 001111000000 > 1 10 000 000010 001101110101 > 1 01 011 101100 010000000011 > 1 10 000 000010 001101110101 > 1 11 010 110001 100000000110 > 0 00 100 101110 001110111000 > 1 01 110 001110 001100010010 > 0 00 100 101110 001110111000 > 0 00 111 011100 011101001000 > 0 00 100 101110 001110111000 > 0 11 100 010001 111001011000 > xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx > 001100000011100000000000 > 010100000011100000000000 > 011100000011100000000000 > 100100000011100000000000 > 101100000011100000000000 > 110100000011100000000000 > 111100000011100000000000 > 000100000011110000000000 > xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 00000000000000000000000000000000 00010000111000000110000000000000 00000011000100000000000000000000 > xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 10101110110001000000001100000000 11000000001000110111010100000000 10101110110001000000001100000000 > xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 00000000000000000000000000000000 00011101110001110100100000000000 00011010011100000000000000000000 > 00000000000000000000000000000000 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 00000000000000000000000000000000 00011101110001110100100000000000 00011010011100000000000000000000 > 00000000000000000000000000000000 00011101110001110100100000000000 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 00000000000000000000000000000000 00011101110001110100100000000000 00011010011100000000000000000000 > 00000000000000000000000000000000 00011101110001110100100000000000 00011010011100000000000000000000 00000000000000000000000000000000 00011101110001110100100000000000 00011010011100000000000000000000 test_stream_exhaust test_stream_unit test_string test_string_byte_order ASSERT:VE/CS simulation outputs differ: Binary files /var/folders/x0/0fsj_gld6md52_c0f2rdmkx80000gn/T/shunit.XXXXXX.OB9q1sQkB5/tmp/ref.log and /var/folders/x0/0fsj_gld6md52_c0f2rdmkx80000gn/T/shunit.XXXXXX.OB9q1sQkB5/tmp/cvs.log differ test_string_cast test_string_param test_string_type test_struct_array test_struct_array_field test_struct_array_inline test_struct_array_param test_struct_bit_struct test_struct_const test_struct_default test_struct_hier_bit test_struct_hier_nocast test_struct_ident_scope test_struct_integer test_struct_nested_cast test_struct_param test_struct_part_select test_struct_part_select_param test_struct_pattern_cast test_struct_scope test_struct_shadow test_struct_tern test_struct_unit_array test_subroutine test_task_implicit_dir test_tf_block test_tf_unpacked_input test_time test_top_tf test_trailing_commas test_typedef_ident_scope test_typedef_scope test_typename_cast test_typename_deep test_typeof test_typeof_alias test_typeof_port test_typeof_scope test_typeof_signed test_unbased_unsized test_unbased_unsized_default test_unbased_unsized_function test_unbound_port test_union test_uniop_prec test_unnamed_block_shadow test_unnamed_genblk_zeroes test_unneeded_scope test_unpacked_array_depth test_unpacked_localparam test_unsigned test_unused_imports test_wire_reg Ran 243 tests. FAILED (failures=8) -------------------- SUITE: define -------------------- test_main Ran 1 test. OK -------------------- SUITE: dump -------------------- test_dump_prefix Ran 1 test. OK -------------------- SUITE: error -------------------- test_asgn_expr_non_lhs test_assert_deferred_nonzero test_auto_dim_int test_binding_mix_param test_binding_mix_port test_binding_mix_port_trail test_binding_not_found_class test_binding_not_found_overflow test_binding_not_found_param test_binding_not_found_port test_binding_overflow_class test_binding_overflow_param test_binding_overflow_port test_block_comment_eof test_block_start_1 test_block_start_2 test_block_start_3 test_block_start_4 test_break_inside_fork test_break_outside_loop test_byte_packed test_case_multiple_defaults test_casex_inside test_casez_inside test_charge_strength_non_trireg test_class_missing_item test_class_not_specialized test_class_parameter_missing_1 test_class_parameter_missing_2 test_class_parameter_not_expr test_class_parameter_not_type test_const_const test_continue_inside_fork test_continue_outside_loop test_dangling_stmt test_decl_after_stmt test_decl_bare test_decl_binop_asgn test_decl_const_var_uninit test_decl_const_wire test_decl_delay_asgn test_decl_delay_asgn_init test_decl_delay_asgn_package test_decl_delay_asgn_port test_decl_missing_comma test_decl_non_blocking_asgn test_decl_ranged_implicit test_decl_signed_implicit test_decl_trailing_comma test_decl_wire_var test_default_nettype_invalid test_default_nettype_none test_double_backtick test_drive_strength_uninit test_elab_task_stray_after_args test_elab_task_stray_before_args test_elab_task_stray_no_args test_end_label_block_only test_end_label_block_wrong test_end_label_class_wrong test_end_label_function_wrong test_end_label_gen_block_only test_end_label_gen_block_wrong test_end_label_interface_wrong test_end_label_module_wrong test_end_label_package_wrong test_end_label_task_wrong test_enum_conflict test_enum_post_signed test_enum_range_neg test_enum_range_x test_enum_range_zero test_export_outside_package_1 test_export_outside_package_2 test_export_outside_package_3 test_for_loop_decl_no_init test_for_loop_init_bare test_for_loop_init_delay test_for_loop_init_nblk test_for_loop_init_stray test_generate_case_multiple_defaults test_highz0_highz1 test_include_apos test_include_filename_eof test_include_loop_1 test_include_loop_2 test_instantiation_extra_comma test_instantiation_missing_ports test_instantiation_no_label test_instantiation_no_module test_instantiation_not_ports test_instantiation_not_range test_instantiation_trailing_comma test_interface_bad_expr test_interface_bad_expr_arr test_interface_bad_expr_genvar test_interface_bad_expr_module test_interface_excess_ports test_interface_mismatch_1 test_interface_mismatch_2 test_interface_mismatch_3 test_interface_mismatch_4 test_interface_mismatch_5 test_interface_mismatch_6 test_interface_missing_direction test_interface_modport_missing test_interface_modport_unlisted test_interface_name_func test_interface_name_var test_interface_non_lhs test_interface_param_mismatch_expr test_interface_param_mismatch_type test_interface_unbound_modport test_interface_unbound_modports test_interface_unknown test_lhs_expr test_lhs_pattern test_line_char1 test_line_char2 test_line_eof test_line_level test_localparam_no_default test_localparam_type_no_default test_macro_arg_bad_eq test_macro_arg_bad_name test_macro_args_empty test_macro_illegal_name test_macro_overapplied test_macro_unapplied test_macro_unapplied_eof test_macro_underapplied test_missing_class test_missing_end test_missing_endfunction test_missing_endgenerate test_missing_endinterface_1 test_missing_endinterface_2 test_missing_endmodule_1 test_missing_endmodule_2 test_missing_endpackage test_missing_endtask test_missing_include test_missing_join test_module_import_missing_package test_module_import_missing_package_item test_module_param_mismatch_expr test_module_param_mismatch_type test_package_export_export_1 test_package_export_export_2 test_package_export_missing test_package_export_wrong_1 test_package_export_wrong_2 test_package_import_missing_package test_package_import_missing_package_item test_package_loop_1 test_package_loop_2 test_package_scope_conflict_1 test_package_scope_conflict_2 test_package_scope_conflict_3 test_package_scope_conflict_4 test_package_scope_conflict_5 test_package_scope_conflict_6 test_package_scope_conflict_7 test_package_scope_conflict_8 test_package_self_export test_package_self_import test_package_self_reference_early test_package_self_reference_loop test_parameter_list_not_type test_parameter_no_default_1 test_parameter_no_default_2 test_parameter_no_default_3 test_port_init_early test_port_list_incomplete test_port_not_in_header test_port_packed_first test_port_packed_second test_port_redeclare test_port_unpacked_first test_port_unpacked_second test_return_inside_fork test_return_outside_tf test_return_task test_return_void_func test_run_on_decl_item test_run_on_decl_package test_run_on_decl_stmt test_size_cast_neg_lit_1 test_size_cast_neg_lit_2 test_size_cast_neg_var_1 test_size_cast_neg_var_2 test_size_cast_x_lit test_size_cast_x_var test_size_cast_xpr_lit test_size_cast_xpr_var test_size_cast_zero_lit test_size_cast_zero_var test_stray_escaped_vendor_comment test_string_directive test_string_literal_backtick_eof test_string_literal_eof test_string_packed test_string_signed test_struct_extra_named_field test_struct_extra_unnamed_field test_struct_invalid_key test_struct_logic_bit test_struct_logic_part_range test_struct_logic_part_select test_struct_missing_field test_struct_non_integer test_struct_out_of_bounds test_struct_out_of_bounds_neg test_struct_unknown_field test_typedef_missing test_typedef_not_type_localparam test_typedef_not_type_net test_typedef_not_type_var test_typedef_ref_not_type test_typeof_atom_bit test_typeof_atom_range test_typeof_packed test_typeof_signed test_undefined_macro test_unmatched_else test_unmatched_else_end test_unmatched_elsif test_unmatched_elsif_end test_unmatched_endif test_unmatched_ifdef test_unmatched_ifndef test_var_var Ran 232 tests. OK -------------------- SUITE: help -------------------- test_help Ran 1 test. OK -------------------- SUITE: keyword -------------------- 1_1364-1995_always 1_1364-1995_and 1_1364-1995_assign 1_1364-1995_begin 1_1364-1995_buf 1_1364-1995_bufif0 1_1364-1995_bufif1 1_1364-1995_case 1_1364-1995_casex 1_1364-1995_casez 1_1364-1995_cmos 1_1364-1995_deassign 1_1364-1995_default 1_1364-1995_defparam 1_1364-1995_disable 1_1364-1995_edge 1_1364-1995_else 1_1364-1995_end 1_1364-1995_endcase 1_1364-1995_endfunction 1_1364-1995_endmodule 1_1364-1995_endprimitive 1_1364-1995_endspecify 1_1364-1995_endtable 1_1364-1995_endtask 1_1364-1995_event 1_1364-1995_for 1_1364-1995_force 1_1364-1995_forever 1_1364-1995_fork 1_1364-1995_function 1_1364-1995_highz0 1_1364-1995_highz1 1_1364-1995_if 1_1364-1995_ifnone 1_1364-1995_initial 1_1364-1995_inout 1_1364-1995_input 1_1364-1995_integer 1_1364-1995_join 1_1364-1995_large 1_1364-1995_macromodule 1_1364-1995_medium 1_1364-1995_module 1_1364-1995_nand 1_1364-1995_negedge 1_1364-1995_nmos 1_1364-1995_nor 1_1364-1995_not 1_1364-1995_notif0 1_1364-1995_notif1 1_1364-1995_or 1_1364-1995_output 1_1364-1995_parameter 1_1364-1995_pmos 1_1364-1995_posedge 1_1364-1995_primitive 1_1364-1995_pull0 1_1364-1995_pull1 1_1364-1995_pulldown 1_1364-1995_pullup 1_1364-1995_rcmos 1_1364-1995_real 1_1364-1995_realtime 1_1364-1995_reg 1_1364-1995_release 1_1364-1995_repeat 1_1364-1995_rnmos 1_1364-1995_rpmos 1_1364-1995_rtran 1_1364-1995_rtranif0 1_1364-1995_rtranif1 1_1364-1995_scalared 1_1364-1995_small 1_1364-1995_specify 1_1364-1995_specparam 1_1364-1995_strong0 1_1364-1995_strong1 1_1364-1995_supply0 1_1364-1995_supply1 1_1364-1995_table 1_1364-1995_task 1_1364-1995_time 1_1364-1995_tran 1_1364-1995_tranif0 1_1364-1995_tranif1 1_1364-1995_tri 1_1364-1995_tri0 1_1364-1995_tri1 1_1364-1995_triand 1_1364-1995_trior 1_1364-1995_trireg 1_1364-1995_vectored 1_1364-1995_wait 1_1364-1995_wand 1_1364-1995_weak0 1_1364-1995_weak1 1_1364-1995_while 1_1364-1995_wire 1_1364-1995_wor 1_1364-1995_xnor 1_1364-1995_xor 0_1364-1995_automatic 1_1364-2001-noconfig_automatic 0_1364-1995_endgenerate 1_1364-2001-noconfig_endgenerate 0_1364-1995_generate 1_1364-2001-noconfig_generate 0_1364-1995_genvar 1_1364-2001-noconfig_genvar 0_1364-1995_localparam 1_1364-2001-noconfig_localparam 0_1364-1995_noshowcancelled 1_1364-2001-noconfig_noshowcancelled 0_1364-1995_pulsestyle_ondetect 1_1364-2001-noconfig_pulsestyle_ondetect 0_1364-1995_pulsestyle_onevent 1_1364-2001-noconfig_pulsestyle_onevent 0_1364-1995_showcancelled 1_1364-2001-noconfig_showcancelled 0_1364-1995_signed 1_1364-2001-noconfig_signed 0_1364-1995_unsigned 1_1364-2001-noconfig_unsigned 0_1364-2001-noconfig_cell 1_1364-2001_cell 0_1364-2001-noconfig_config 1_1364-2001_config 0_1364-2001-noconfig_design 1_1364-2001_design 0_1364-2001-noconfig_endconfig 1_1364-2001_endconfig 0_1364-2001-noconfig_incdir 1_1364-2001_incdir 0_1364-2001-noconfig_include 1_1364-2001_include 0_1364-2001-noconfig_instance 1_1364-2001_instance 0_1364-2001-noconfig_liblist 1_1364-2001_liblist 0_1364-2001-noconfig_library 1_1364-2001_library 0_1364-2001-noconfig_use 1_1364-2001_use 0_1364-2001_uwire 1_1364-2005_uwire 0_1364-2005_alias 1_1800-2005_alias 0_1364-2005_always_comb 1_1800-2005_always_comb 0_1364-2005_always_ff 1_1800-2005_always_ff 0_1364-2005_always_latch 1_1800-2005_always_latch 0_1364-2005_assert 1_1800-2005_assert 0_1364-2005_assume 1_1800-2005_assume 0_1364-2005_before 1_1800-2005_before 0_1364-2005_bind 1_1800-2005_bind 0_1364-2005_bins 1_1800-2005_bins 0_1364-2005_binsof 1_1800-2005_binsof 0_1364-2005_bit 1_1800-2005_bit 0_1364-2005_break 1_1800-2005_break 0_1364-2005_byte 1_1800-2005_byte 0_1364-2005_chandle 1_1800-2005_chandle 0_1364-2005_class 1_1800-2005_class 0_1364-2005_clocking 1_1800-2005_clocking 0_1364-2005_const 1_1800-2005_const 0_1364-2005_constraint 1_1800-2005_constraint 0_1364-2005_context 1_1800-2005_context 0_1364-2005_continue 1_1800-2005_continue 0_1364-2005_cover 1_1800-2005_cover 0_1364-2005_covergroup 1_1800-2005_covergroup 0_1364-2005_coverpoint 1_1800-2005_coverpoint 0_1364-2005_cross 1_1800-2005_cross 0_1364-2005_dist 1_1800-2005_dist 0_1364-2005_do 1_1800-2005_do 0_1364-2005_endclass 1_1800-2005_endclass 0_1364-2005_endclocking 1_1800-2005_endclocking 0_1364-2005_endgroup 1_1800-2005_endgroup 0_1364-2005_endinterface 1_1800-2005_endinterface 0_1364-2005_endpackage 1_1800-2005_endpackage 0_1364-2005_endprogram 1_1800-2005_endprogram 0_1364-2005_endproperty 1_1800-2005_endproperty 0_1364-2005_endsequence 1_1800-2005_endsequence 0_1364-2005_enum 1_1800-2005_enum 0_1364-2005_expect 1_1800-2005_expect 0_1364-2005_export 1_1800-2005_export 0_1364-2005_extends 1_1800-2005_extends 0_1364-2005_extern 1_1800-2005_extern 0_1364-2005_final 1_1800-2005_final 0_1364-2005_first_match 1_1800-2005_first_match 0_1364-2005_foreach 1_1800-2005_foreach 0_1364-2005_forkjoin 1_1800-2005_forkjoin 0_1364-2005_iff 1_1800-2005_iff 0_1364-2005_ignore_bins 1_1800-2005_ignore_bins 0_1364-2005_illegal_bins 1_1800-2005_illegal_bins 0_1364-2005_import 1_1800-2005_import 0_1364-2005_inside 1_1800-2005_inside 0_1364-2005_int 1_1800-2005_int 0_1364-2005_interface 1_1800-2005_interface 0_1364-2005_intersect 1_1800-2005_intersect 0_1364-2005_join_any 1_1800-2005_join_any 0_1364-2005_join_none 1_1800-2005_join_none 0_1364-2005_local 1_1800-2005_local 0_1364-2005_logic 1_1800-2005_logic 0_1364-2005_longint 1_1800-2005_longint 0_1364-2005_matches 1_1800-2005_matches 0_1364-2005_modport 1_1800-2005_modport 0_1364-2005_new 1_1800-2005_new 0_1364-2005_null 1_1800-2005_null 0_1364-2005_package 1_1800-2005_package 0_1364-2005_packed 1_1800-2005_packed 0_1364-2005_priority 1_1800-2005_priority 0_1364-2005_program 1_1800-2005_program 0_1364-2005_property 1_1800-2005_property 0_1364-2005_protected 1_1800-2005_protected 0_1364-2005_pure 1_1800-2005_pure 0_1364-2005_rand 1_1800-2005_rand 0_1364-2005_randc 1_1800-2005_randc 0_1364-2005_randcase 1_1800-2005_randcase 0_1364-2005_randsequence 1_1800-2005_randsequence 0_1364-2005_ref 1_1800-2005_ref 0_1364-2005_return 1_1800-2005_return 0_1364-2005_sequence 1_1800-2005_sequence 0_1364-2005_shortint 1_1800-2005_shortint 0_1364-2005_shortreal 1_1800-2005_shortreal 0_1364-2005_solve 1_1800-2005_solve 0_1364-2005_static 1_1800-2005_static 0_1364-2005_string 1_1800-2005_string 0_1364-2005_struct 1_1800-2005_struct 0_1364-2005_super 1_1800-2005_super 0_1364-2005_tagged 1_1800-2005_tagged 0_1364-2005_this 1_1800-2005_this 0_1364-2005_throughout 1_1800-2005_throughout 0_1364-2005_timeprecision 1_1800-2005_timeprecision 0_1364-2005_timeunit 1_1800-2005_timeunit 0_1364-2005_type 1_1800-2005_type 0_1364-2005_typedef 1_1800-2005_typedef 0_1364-2005_union 1_1800-2005_union 0_1364-2005_unique 1_1800-2005_unique 0_1364-2005_var 1_1800-2005_var 0_1364-2005_virtual 1_1800-2005_virtual 0_1364-2005_void 1_1800-2005_void 0_1364-2005_wait_order 1_1800-2005_wait_order 0_1364-2005_wildcard 1_1800-2005_wildcard 0_1364-2005_with 1_1800-2005_with 0_1364-2005_within 1_1800-2005_within 0_1800-2005_accept_on 1_1800-2009_accept_on 0_1800-2005_checker 1_1800-2009_checker 0_1800-2005_endchecker 1_1800-2009_endchecker 0_1800-2005_eventually 1_1800-2009_eventually 0_1800-2005_global 1_1800-2009_global 0_1800-2005_implies 1_1800-2009_implies 0_1800-2005_let 1_1800-2009_let 0_1800-2005_nexttime 1_1800-2009_nexttime 0_1800-2005_reject_on 1_1800-2009_reject_on 0_1800-2005_restrict 1_1800-2009_restrict 0_1800-2005_s_always 1_1800-2009_s_always 0_1800-2005_s_eventually 1_1800-2009_s_eventually 0_1800-2005_s_nexttime 1_1800-2009_s_nexttime 0_1800-2005_s_until 1_1800-2009_s_until 0_1800-2005_s_until_with 1_1800-2009_s_until_with 0_1800-2005_strong 1_1800-2009_strong 0_1800-2005_sync_accept_on 1_1800-2009_sync_accept_on 0_1800-2005_sync_reject_on 1_1800-2009_sync_reject_on 0_1800-2005_unique0 1_1800-2009_unique0 0_1800-2005_until 1_1800-2009_until 0_1800-2005_until_with 1_1800-2009_until_with 0_1800-2005_untyped 1_1800-2009_untyped 0_1800-2005_weak 1_1800-2009_weak 0_1800-2009_implements 1_1800-2012_implements 0_1800-2009_interconnect 1_1800-2012_interconnect 0_1800-2009_nettype 1_1800-2012_nettype 0_1800-2009_soft 1_1800-2012_soft Ran 394 tests. OK -------------------- SUITE: lex -------------------- test_begin_keywords test_block_comment test_comment_no_space test_cond test_file_line test_include_self test_latin1 test_line test_macro test_macro_arg_comment test_macro_arg_escape test_macro_arg_invoke test_macro_boundary test_macro_ifdef test_macro_iv test_macro_macro test_macro_string test_macro_vendor_comment test_macro_whitespace test_no_newline test_number ASSERT:VE/CS simulation outputs differ: 172c172 < 'sb1 -> -1 11111111111111111111111111111111 --- > 'sb1 -> 1 00000000000000000000000000000001 176c176 < 'sb10 -> -2 11111111111111111111111111111110 --- > 'sb10 -> 2 00000000000000000000000000000010 180c180 < 'sb11 -> -1 11111111111111111111111111111111 --- > 'sb11 -> 3 00000000000000000000000000000011 190c190 < 'sb100 -> -4 11111111111111111111111111111100 --- > 'sb100 -> 4 00000000000000000000000000000100 192c192 < 'sb101 -> -3 11111111111111111111111111111101 --- > 'sb101 -> 5 00000000000000000000000000000101 194c194 < 'sb110 -> -2 11111111111111111111111111111110 --- > 'sb110 -> 6 00000000000000000000000000000110 196c196 < 'sb111 -> -1 11111111111111111111111111111111 --- > 'sb111 -> 7 00000000000000000000000000000111 test_number_literal_whitespace test_string_macro test_undefineall test_utf8 Ran 25 tests. FAILED (failures=1) -------------------- SUITE: nosim -------------------- test_assert test_dpi test_drive_strength test_elab_task test_extern test_final test_func_output test_min_typ_max test_net_type test_non_integer_type test_real test_time test_trireg_charge_strength test_unsupported_default_nettype Ran 14 tests. OK -------------------- SUITE: number -------------------- test_binary ASSERT:VE/CS simulation outputs differ: 7c7 < 'sb1 => 11111111111111111111111111111111 => 32 --- > 'sb1 => 00000000000000000000000000000001 => 32 35c35 < 'sb10 => 11111111111111111111111111111110 => 32 --- > 'sb10 => 00000000000000000000000000000010 => 32 39c39 < 'sb11 => 11111111111111111111111111111111 => 32 --- > 'sb11 => 00000000000000000000000000000011 => 32 43c43 < 'sb1x => 1111111111111111111111111111111x => 32 --- > 'sb1x => 0000000000000000000000000000001x => 32 47c47 < 'sb1z => 1111111111111111111111111111111z => 32 --- > 'sb1z => 0000000000000000000000000000001z => 32 147c147 < 'sb100 => 11111111111111111111111111111100 => 32 --- > 'sb100 => 00000000000000000000000000000100 => 32 151c151 < 'sb101 => 11111111111111111111111111111101 => 32 --- > 'sb101 => 00000000000000000000000000000101 => 32 155c155 < 'sb10x => 1111111111111111111111111111110x => 32 --- > 'sb10x => 0000000000000000000000000000010x => 32 159c159 < 'sb10z => 1111111111111111111111111111110z => 32 --- > 'sb10z => 0000000000000000000000000000010z => 32 163c163 < 'sb110 => 11111111111111111111111111111110 => 32 --- > 'sb110 => 00000000000000000000000000000110 => 32 167c167 < 'sb111 => 11111111111111111111111111111111 => 32 --- > 'sb111 => 00000000000000000000000000000111 => 32 171c171 < 'sb11x => 1111111111111111111111111111111x => 32 --- > 'sb11x => 0000000000000000000000000000011x => 32 175c175 < 'sb11z => 1111111111111111111111111111111z => 32 --- > 'sb11z => 0000000000000000000000000000011z => 32 179c179 < 'sb1x0 => 111111111111111111111111111111x0 => 32 --- > 'sb1x0 => 000000000000000000000000000001x0 => 32 183c183 < 'sb1x1 => 111111111111111111111111111111x1 => 32 --- > 'sb1x1 => 000000000000000000000000000001x1 => 32 187c187 < 'sb1xx => 111111111111111111111111111111xx => 32 --- > 'sb1xx => 000000000000000000000000000001xx => 32 191c191 < 'sb1xz => 111111111111111111111111111111xz => 32 --- > 'sb1xz => 000000000000000000000000000001xz => 32 195c195 < 'sb1z0 => 111111111111111111111111111111z0 => 32 --- > 'sb1z0 => 000000000000000000000000000001z0 => 32 199c199 < 'sb1z1 => 111111111111111111111111111111z1 => 32 --- > 'sb1z1 => 000000000000000000000000000001z1 => 32 203c203 < 'sb1zx => 111111111111111111111111111111zx => 32 --- > 'sb1zx => 000000000000000000000000000001zx => 32 207c207 < 'sb1zz => 111111111111111111111111111111zz => 32 --- > 'sb1zz => 000000000000000000000000000001zz => 32 595c595 < 'sb1000 => 11111111111111111111111111111000 => 32 --- > 'sb1000 => 00000000000000000000000000001000 => 32 599c599 < 'sb1001 => 11111111111111111111111111111001 => 32 --- > 'sb1001 => 00000000000000000000000000001001 => 32 603c603 < 'sb100x => 1111111111111111111111111111100x => 32 --- > 'sb100x => 0000000000000000000000000000100x => 32 607c607 < 'sb100z => 1111111111111111111111111111100z => 32 --- > 'sb100z => 0000000000000000000000000000100z => 32 611c611 < 'sb1010 => 11111111111111111111111111111010 => 32 --- > 'sb1010 => 00000000000000000000000000001010 => 32 615c615 < 'sb1011 => 11111111111111111111111111111011 => 32 --- > 'sb1011 => 00000000000000000000000000001011 => 32 619c619 < 'sb101x => 1111111111111111111111111111101x => 32 --- > 'sb101x => 0000000000000000000000000000101x => 32 623c623 < 'sb101z => 1111111111111111111111111111101z => 32 --- > 'sb101z => 0000000000000000000000000000101z => 32 627c627 < 'sb10x0 => 111111111111111111111111111110x0 => 32 --- > 'sb10x0 => 000000000000000000000000000010x0 => 32 631c631 < 'sb10x1 => 111111111111111111111111111110x1 => 32 --- > 'sb10x1 => 000000000000000000000000000010x1 => 32 635c635 < 'sb10xx => 111111111111111111111111111110xx => 32 --- > 'sb10xx => 000000000000000000000000000010xx => 32 639c639 < 'sb10xz => 111111111111111111111111111110xz => 32 --- > 'sb10xz => 000000000000000000000000000010xz => 32 643c643 < 'sb10z0 => 111111111111111111111111111110z0 => 32 --- > 'sb10z0 => 000000000000000000000000000010z0 => 32 647c647 < 'sb10z1 => 111111111111111111111111111110z1 => 32 --- > 'sb10z1 => 000000000000000000000000000010z1 => 32 651c651 < 'sb10zx => 111111111111111111111111111110zx => 32 --- > 'sb10zx => 000000000000000000000000000010zx => 32 655c655 < 'sb10zz => 111111111111111111111111111110zz => 32 --- > 'sb10zz => 000000000000000000000000000010zz => 32 659c659 < 'sb1100 => 11111111111111111111111111111100 => 32 --- > 'sb1100 => 00000000000000000000000000001100 => 32 663c663 < 'sb1101 => 11111111111111111111111111111101 => 32 --- > 'sb1101 => 00000000000000000000000000001101 => 32 667c667 < 'sb110x => 1111111111111111111111111111110x => 32 --- > 'sb110x => 0000000000000000000000000000110x => 32 671c671 < 'sb110z => 1111111111111111111111111111110z => 32 --- > 'sb110z => 0000000000000000000000000000110z => 32 675c675 < 'sb1110 => 11111111111111111111111111111110 => 32 --- > 'sb1110 => 00000000000000000000000000001110 => 32 679c679 < 'sb1111 => 11111111111111111111111111111111 => 32 --- > 'sb1111 => 00000000000000000000000000001111 => 32 683c683 < 'sb111x => 1111111111111111111111111111111x => 32 --- > 'sb111x => 0000000000000000000000000000111x => 32 687c687 < 'sb111z => 1111111111111111111111111111111z => 32 --- > 'sb111z => 0000000000000000000000000000111z => 32 691c691 < 'sb11x0 => 111111111111111111111111111111x0 => 32 --- > 'sb11x0 => 000000000000000000000000000011x0 => 32 695c695 < 'sb11x1 => 111111111111111111111111111111x1 => 32 --- > 'sb11x1 => 000000000000000000000000000011x1 => 32 699c699 < 'sb11xx => 111111111111111111111111111111xx => 32 --- > 'sb11xx => 000000000000000000000000000011xx => 32 703c703 < 'sb11xz => 111111111111111111111111111111xz => 32 --- > 'sb11xz => 000000000000000000000000000011xz => 32 707c707 < 'sb11z0 => 111111111111111111111111111111z0 => 32 --- > 'sb11z0 => 000000000000000000000000000011z0 => 32 711c711 < 'sb11z1 => 111111111111111111111111111111z1 => 32 --- > 'sb11z1 => 000000000000000000000000000011z1 => 32 715c715 < 'sb11zx => 111111111111111111111111111111zx => 32 --- > 'sb11zx => 000000000000000000000000000011zx => 32 719c719 < 'sb11zz => 111111111111111111111111111111zz => 32 --- > 'sb11zz => 000000000000000000000000000011zz => 32 723c723 < 'sb1x00 => 11111111111111111111111111111x00 => 32 --- > 'sb1x00 => 00000000000000000000000000001x00 => 32 727c727 < 'sb1x01 => 11111111111111111111111111111x01 => 32 --- > 'sb1x01 => 00000000000000000000000000001x01 => 32 731c731 < 'sb1x0x => 11111111111111111111111111111x0x => 32 --- > 'sb1x0x => 00000000000000000000000000001x0x => 32 735c735 < 'sb1x0z => 11111111111111111111111111111x0z => 32 --- > 'sb1x0z => 00000000000000000000000000001x0z => 32 739c739 < 'sb1x10 => 11111111111111111111111111111x10 => 32 --- > 'sb1x10 => 00000000000000000000000000001x10 => 32 743c743 < 'sb1x11 => 11111111111111111111111111111x11 => 32 --- > 'sb1x11 => 00000000000000000000000000001x11 => 32 747c747 < 'sb1x1x => 11111111111111111111111111111x1x => 32 --- > 'sb1x1x => 00000000000000000000000000001x1x => 32 751c751 < 'sb1x1z => 11111111111111111111111111111x1z => 32 --- > 'sb1x1z => 00000000000000000000000000001x1z => 32 755c755 < 'sb1xx0 => 11111111111111111111111111111xx0 => 32 --- > 'sb1xx0 => 00000000000000000000000000001xx0 => 32 759c759 < 'sb1xx1 => 11111111111111111111111111111xx1 => 32 --- > 'sb1xx1 => 00000000000000000000000000001xx1 => 32 763c763 < 'sb1xxx => 11111111111111111111111111111xxx => 32 --- > 'sb1xxx => 00000000000000000000000000001xxx => 32 767c767 < 'sb1xxz => 11111111111111111111111111111xxz => 32 --- > 'sb1xxz => 00000000000000000000000000001xxz => 32 771c771 < 'sb1xz0 => 11111111111111111111111111111xz0 => 32 --- > 'sb1xz0 => 00000000000000000000000000001xz0 => 32 775c775 < 'sb1xz1 => 11111111111111111111111111111xz1 => 32 --- > 'sb1xz1 => 00000000000000000000000000001xz1 => 32 779c779 < 'sb1xzx => 11111111111111111111111111111xzx => 32 --- > 'sb1xzx => 00000000000000000000000000001xzx => 32 783c783 < 'sb1xzz => 11111111111111111111111111111xzz => 32 --- > 'sb1xzz => 00000000000000000000000000001xzz => 32 787c787 < 'sb1z00 => 11111111111111111111111111111z00 => 32 --- > 'sb1z00 => 00000000000000000000000000001z00 => 32 791c791 < 'sb1z01 => 11111111111111111111111111111z01 => 32 --- > 'sb1z01 => 00000000000000000000000000001z01 => 32 795c795 < 'sb1z0x => 11111111111111111111111111111z0x => 32 --- > 'sb1z0x => 00000000000000000000000000001z0x => 32 799c799 < 'sb1z0z => 11111111111111111111111111111z0z => 32 --- > 'sb1z0z => 00000000000000000000000000001z0z => 32 803c803 < 'sb1z10 => 11111111111111111111111111111z10 => 32 --- > 'sb1z10 => 00000000000000000000000000001z10 => 32 807c807 < 'sb1z11 => 11111111111111111111111111111z11 => 32 --- > 'sb1z11 => 00000000000000000000000000001z11 => 32 811c811 < 'sb1z1x => 11111111111111111111111111111z1x => 32 --- > 'sb1z1x => 00000000000000000000000000001z1x => 32 815c815 < 'sb1z1z => 11111111111111111111111111111z1z => 32 --- > 'sb1z1z => 00000000000000000000000000001z1z => 32 819c819 < 'sb1zx0 => 11111111111111111111111111111zx0 => 32 --- > 'sb1zx0 => 00000000000000000000000000001zx0 => 32 823c823 < 'sb1zx1 => 11111111111111111111111111111zx1 => 32 --- > 'sb1zx1 => 00000000000000000000000000001zx1 => 32 827c827 < 'sb1zxx => 11111111111111111111111111111zxx => 32 --- > 'sb1zxx => 00000000000000000000000000001zxx => 32 831c831 < 'sb1zxz => 11111111111111111111111111111zxz => 32 --- > 'sb1zxz => 00000000000000000000000000001zxz => 32 835c835 < 'sb1zz0 => 11111111111111111111111111111zz0 => 32 --- > 'sb1zz0 => 00000000000000000000000000001zz0 => 32 839c839 < 'sb1zz1 => 11111111111111111111111111111zz1 => 32 --- > 'sb1zz1 => 00000000000000000000000000001zz1 => 32 843c843 < 'sb1zzx => 11111111111111111111111111111zzx => 32 --- > 'sb1zzx => 00000000000000000000000000001zzx => 32 847c847 < 'sb1zzz => 11111111111111111111111111111zzz => 32 --- > 'sb1zzz => 00000000000000000000000000001zzz => 32 test_decimal test_hex ASSERT:VE/CS simulation outputs differ: 63c63 < 'sh8 => 11111111111111111111111111111000 => 32 --- > 'sh8 => 00000000000000000000000000001000 => 32 67c67 < 'sh9 => 11111111111111111111111111111001 => 32 --- > 'sh9 => 00000000000000000000000000001001 => 32 71c71 < 'sha => 11111111111111111111111111111010 => 32 --- > 'sha => 00000000000000000000000000001010 => 32 75c75 < 'shb => 11111111111111111111111111111011 => 32 --- > 'shb => 00000000000000000000000000001011 => 32 79c79 < 'shc => 11111111111111111111111111111100 => 32 --- > 'shc => 00000000000000000000000000001100 => 32 83c83 < 'shd => 11111111111111111111111111111101 => 32 --- > 'shd => 00000000000000000000000000001101 => 32 87c87 < 'she => 11111111111111111111111111111110 => 32 --- > 'she => 00000000000000000000000000001110 => 32 91c91 < 'shf => 11111111111111111111111111111111 => 32 --- > 'shf => 00000000000000000000000000001111 => 32 1195c1195 < 'sh80 => 11111111111111111111111110000000 => 32 --- > 'sh80 => 00000000000000000000000010000000 => 32 1199c1199 < 'sh81 => 11111111111111111111111110000001 => 32 --- > 'sh81 => 00000000000000000000000010000001 => 32 1203c1203 < 'sh82 => 11111111111111111111111110000010 => 32 --- > 'sh82 => 00000000000000000000000010000010 => 32 1207c1207 < 'sh83 => 11111111111111111111111110000011 => 32 --- > 'sh83 => 00000000000000000000000010000011 => 32 1211c1211 < 'sh84 => 11111111111111111111111110000100 => 32 --- > 'sh84 => 00000000000000000000000010000100 => 32 1215c1215 < 'sh85 => 11111111111111111111111110000101 => 32 --- > 'sh85 => 00000000000000000000000010000101 => 32 1219c1219 < 'sh86 => 11111111111111111111111110000110 => 32 --- > 'sh86 => 00000000000000000000000010000110 => 32 1223c1223 < 'sh87 => 11111111111111111111111110000111 => 32 --- > 'sh87 => 00000000000000000000000010000111 => 32 1227c1227 < 'sh88 => 11111111111111111111111110001000 => 32 --- > 'sh88 => 00000000000000000000000010001000 => 32 1231c1231 < 'sh89 => 11111111111111111111111110001001 => 32 --- > 'sh89 => 00000000000000000000000010001001 => 32 1235c1235 < 'sh8a => 11111111111111111111111110001010 => 32 --- > 'sh8a => 00000000000000000000000010001010 => 32 1239c1239 < 'sh8b => 11111111111111111111111110001011 => 32 --- > 'sh8b => 00000000000000000000000010001011 => 32 1243c1243 < 'sh8c => 11111111111111111111111110001100 => 32 --- > 'sh8c => 00000000000000000000000010001100 => 32 1247c1247 < 'sh8d => 11111111111111111111111110001101 => 32 --- > 'sh8d => 00000000000000000000000010001101 => 32 1251c1251 < 'sh8e => 11111111111111111111111110001110 => 32 --- > 'sh8e => 00000000000000000000000010001110 => 32 1255c1255 < 'sh8f => 11111111111111111111111110001111 => 32 --- > 'sh8f => 00000000000000000000000010001111 => 32 1259c1259 < 'sh8x => 1111111111111111111111111000xxxx => 32 --- > 'sh8x => 0000000000000000000000001000xxxx => 32 1263c1263 < 'sh8z => 1111111111111111111111111000zzzz => 32 --- > 'sh8z => 0000000000000000000000001000zzzz => 32 1267c1267 < 'sh90 => 11111111111111111111111110010000 => 32 --- > 'sh90 => 00000000000000000000000010010000 => 32 1271c1271 < 'sh91 => 11111111111111111111111110010001 => 32 --- > 'sh91 => 00000000000000000000000010010001 => 32 1275c1275 < 'sh92 => 11111111111111111111111110010010 => 32 --- > 'sh92 => 00000000000000000000000010010010 => 32 1279c1279 < 'sh93 => 11111111111111111111111110010011 => 32 --- > 'sh93 => 00000000000000000000000010010011 => 32 1283c1283 < 'sh94 => 11111111111111111111111110010100 => 32 --- > 'sh94 => 00000000000000000000000010010100 => 32 1287c1287 < 'sh95 => 11111111111111111111111110010101 => 32 --- > 'sh95 => 00000000000000000000000010010101 => 32 1291c1291 < 'sh96 => 11111111111111111111111110010110 => 32 --- > 'sh96 => 00000000000000000000000010010110 => 32 1295c1295 < 'sh97 => 11111111111111111111111110010111 => 32 --- > 'sh97 => 00000000000000000000000010010111 => 32 1299c1299 < 'sh98 => 11111111111111111111111110011000 => 32 --- > 'sh98 => 00000000000000000000000010011000 => 32 1303c1303 < 'sh99 => 11111111111111111111111110011001 => 32 --- > 'sh99 => 00000000000000000000000010011001 => 32 1307c1307 < 'sh9a => 11111111111111111111111110011010 => 32 --- > 'sh9a => 00000000000000000000000010011010 => 32 1311c1311 < 'sh9b => 11111111111111111111111110011011 => 32 --- > 'sh9b => 00000000000000000000000010011011 => 32 1315c1315 < 'sh9c => 11111111111111111111111110011100 => 32 --- > 'sh9c => 00000000000000000000000010011100 => 32 1319c1319 < 'sh9d => 11111111111111111111111110011101 => 32 --- > 'sh9d => 00000000000000000000000010011101 => 32 1323c1323 < 'sh9e => 11111111111111111111111110011110 => 32 --- > 'sh9e => 00000000000000000000000010011110 => 32 1327c1327 < 'sh9f => 11111111111111111111111110011111 => 32 --- > 'sh9f => 00000000000000000000000010011111 => 32 1331c1331 < 'sh9x => 1111111111111111111111111001xxxx => 32 --- > 'sh9x => 0000000000000000000000001001xxxx => 32 1335c1335 < 'sh9z => 1111111111111111111111111001zzzz => 32 --- > 'sh9z => 0000000000000000000000001001zzzz => 32 1339c1339 < 'sha0 => 11111111111111111111111110100000 => 32 --- > 'sha0 => 00000000000000000000000010100000 => 32 1343c1343 < 'sha1 => 11111111111111111111111110100001 => 32 --- > 'sha1 => 00000000000000000000000010100001 => 32 1347c1347 < 'sha2 => 11111111111111111111111110100010 => 32 --- > 'sha2 => 00000000000000000000000010100010 => 32 1351c1351 < 'sha3 => 11111111111111111111111110100011 => 32 --- > 'sha3 => 00000000000000000000000010100011 => 32 1355c1355 < 'sha4 => 11111111111111111111111110100100 => 32 --- > 'sha4 => 00000000000000000000000010100100 => 32 1359c1359 < 'sha5 => 11111111111111111111111110100101 => 32 --- > 'sha5 => 00000000000000000000000010100101 => 32 1363c1363 < 'sha6 => 11111111111111111111111110100110 => 32 --- > 'sha6 => 00000000000000000000000010100110 => 32 1367c1367 < 'sha7 => 11111111111111111111111110100111 => 32 --- > 'sha7 => 00000000000000000000000010100111 => 32 1371c1371 < 'sha8 => 11111111111111111111111110101000 => 32 --- > 'sha8 => 00000000000000000000000010101000 => 32 1375c1375 < 'sha9 => 11111111111111111111111110101001 => 32 --- > 'sha9 => 00000000000000000000000010101001 => 32 1379c1379 < 'shaa => 11111111111111111111111110101010 => 32 --- > 'shaa => 00000000000000000000000010101010 => 32 1383c1383 < 'shab => 11111111111111111111111110101011 => 32 --- > 'shab => 00000000000000000000000010101011 => 32 1387c1387 < 'shac => 11111111111111111111111110101100 => 32 --- > 'shac => 00000000000000000000000010101100 => 32 1391c1391 < 'shad => 11111111111111111111111110101101 => 32 --- > 'shad => 00000000000000000000000010101101 => 32 1395c1395 < 'shae => 11111111111111111111111110101110 => 32 --- > 'shae => 00000000000000000000000010101110 => 32 1399c1399 < 'shaf => 11111111111111111111111110101111 => 32 --- > 'shaf => 00000000000000000000000010101111 => 32 1403c1403 < 'shax => 1111111111111111111111111010xxxx => 32 --- > 'shax => 0000000000000000000000001010xxxx => 32 1407c1407 < 'shaz => 1111111111111111111111111010zzzz => 32 --- > 'shaz => 0000000000000000000000001010zzzz => 32 1411c1411 < 'shb0 => 11111111111111111111111110110000 => 32 --- > 'shb0 => 00000000000000000000000010110000 => 32 1415c1415 < 'shb1 => 11111111111111111111111110110001 => 32 --- > 'shb1 => 00000000000000000000000010110001 => 32 1419c1419 < 'shb2 => 11111111111111111111111110110010 => 32 --- > 'shb2 => 00000000000000000000000010110010 => 32 1423c1423 < 'shb3 => 11111111111111111111111110110011 => 32 --- > 'shb3 => 00000000000000000000000010110011 => 32 1427c1427 < 'shb4 => 11111111111111111111111110110100 => 32 --- > 'shb4 => 00000000000000000000000010110100 => 32 1431c1431 < 'shb5 => 11111111111111111111111110110101 => 32 --- > 'shb5 => 00000000000000000000000010110101 => 32 1435c1435 < 'shb6 => 11111111111111111111111110110110 => 32 --- > 'shb6 => 00000000000000000000000010110110 => 32 1439c1439 < 'shb7 => 11111111111111111111111110110111 => 32 --- > 'shb7 => 00000000000000000000000010110111 => 32 1443c1443 < 'shb8 => 11111111111111111111111110111000 => 32 --- > 'shb8 => 00000000000000000000000010111000 => 32 1447c1447 < 'shb9 => 11111111111111111111111110111001 => 32 --- > 'shb9 => 00000000000000000000000010111001 => 32 1451c1451 < 'shba => 11111111111111111111111110111010 => 32 --- > 'shba => 00000000000000000000000010111010 => 32 1455c1455 < 'shbb => 11111111111111111111111110111011 => 32 --- > 'shbb => 00000000000000000000000010111011 => 32 1459c1459 < 'shbc => 11111111111111111111111110111100 => 32 --- > 'shbc => 00000000000000000000000010111100 => 32 1463c1463 < 'shbd => 11111111111111111111111110111101 => 32 --- > 'shbd => 00000000000000000000000010111101 => 32 1467c1467 < 'shbe => 11111111111111111111111110111110 => 32 --- > 'shbe => 00000000000000000000000010111110 => 32 1471c1471 < 'shbf => 11111111111111111111111110111111 => 32 --- > 'shbf => 00000000000000000000000010111111 => 32 1475c1475 < 'shbx => 1111111111111111111111111011xxxx => 32 --- > 'shbx => 0000000000000000000000001011xxxx => 32 1479c1479 < 'shbz => 1111111111111111111111111011zzzz => 32 --- > 'shbz => 0000000000000000000000001011zzzz => 32 1483c1483 < 'shc0 => 11111111111111111111111111000000 => 32 --- > 'shc0 => 00000000000000000000000011000000 => 32 1487c1487 < 'shc1 => 11111111111111111111111111000001 => 32 --- > 'shc1 => 00000000000000000000000011000001 => 32 1491c1491 < 'shc2 => 11111111111111111111111111000010 => 32 --- > 'shc2 => 00000000000000000000000011000010 => 32 1495c1495 < 'shc3 => 11111111111111111111111111000011 => 32 --- > 'shc3 => 00000000000000000000000011000011 => 32 1499c1499 < 'shc4 => 11111111111111111111111111000100 => 32 --- > 'shc4 => 00000000000000000000000011000100 => 32 1503c1503 < 'shc5 => 11111111111111111111111111000101 => 32 --- > 'shc5 => 00000000000000000000000011000101 => 32 1507c1507 < 'shc6 => 11111111111111111111111111000110 => 32 --- > 'shc6 => 00000000000000000000000011000110 => 32 1511c1511 < 'shc7 => 11111111111111111111111111000111 => 32 --- > 'shc7 => 00000000000000000000000011000111 => 32 1515c1515 < 'shc8 => 11111111111111111111111111001000 => 32 --- > 'shc8 => 00000000000000000000000011001000 => 32 1519c1519 < 'shc9 => 11111111111111111111111111001001 => 32 --- > 'shc9 => 00000000000000000000000011001001 => 32 1523c1523 < 'shca => 11111111111111111111111111001010 => 32 --- > 'shca => 00000000000000000000000011001010 => 32 1527c1527 < 'shcb => 11111111111111111111111111001011 => 32 --- > 'shcb => 00000000000000000000000011001011 => 32 1531c1531 < 'shcc => 11111111111111111111111111001100 => 32 --- > 'shcc => 00000000000000000000000011001100 => 32 1535c1535 < 'shcd => 11111111111111111111111111001101 => 32 --- > 'shcd => 00000000000000000000000011001101 => 32 1539c1539 < 'shce => 11111111111111111111111111001110 => 32 --- > 'shce => 00000000000000000000000011001110 => 32 1543c1543 < 'shcf => 11111111111111111111111111001111 => 32 --- > 'shcf => 00000000000000000000000011001111 => 32 1547c1547 < 'shcx => 1111111111111111111111111100xxxx => 32 --- > 'shcx => 0000000000000000000000001100xxxx => 32 1551c1551 < 'shcz => 1111111111111111111111111100zzzz => 32 --- > 'shcz => 0000000000000000000000001100zzzz => 32 1555c1555 < 'shd0 => 11111111111111111111111111010000 => 32 --- > 'shd0 => 00000000000000000000000011010000 => 32 1559c1559 < 'shd1 => 11111111111111111111111111010001 => 32 --- > 'shd1 => 00000000000000000000000011010001 => 32 1563c1563 < 'shd2 => 11111111111111111111111111010010 => 32 --- > 'shd2 => 00000000000000000000000011010010 => 32 1567c1567 < 'shd3 => 11111111111111111111111111010011 => 32 --- > 'shd3 => 00000000000000000000000011010011 => 32 1571c1571 < 'shd4 => 11111111111111111111111111010100 => 32 --- > 'shd4 => 00000000000000000000000011010100 => 32 1575c1575 < 'shd5 => 11111111111111111111111111010101 => 32 --- > 'shd5 => 00000000000000000000000011010101 => 32 1579c1579 < 'shd6 => 11111111111111111111111111010110 => 32 --- > 'shd6 => 00000000000000000000000011010110 => 32 1583c1583 < 'shd7 => 11111111111111111111111111010111 => 32 --- > 'shd7 => 00000000000000000000000011010111 => 32 1587c1587 < 'shd8 => 11111111111111111111111111011000 => 32 --- > 'shd8 => 00000000000000000000000011011000 => 32 1591c1591 < 'shd9 => 11111111111111111111111111011001 => 32 --- > 'shd9 => 00000000000000000000000011011001 => 32 1595c1595 < 'shda => 11111111111111111111111111011010 => 32 --- > 'shda => 00000000000000000000000011011010 => 32 1599c1599 < 'shdb => 11111111111111111111111111011011 => 32 --- > 'shdb => 00000000000000000000000011011011 => 32 1603c1603 < 'shdc => 11111111111111111111111111011100 => 32 --- > 'shdc => 00000000000000000000000011011100 => 32 1607c1607 < 'shdd => 11111111111111111111111111011101 => 32 --- > 'shdd => 00000000000000000000000011011101 => 32 1611c1611 < 'shde => 11111111111111111111111111011110 => 32 --- > 'shde => 00000000000000000000000011011110 => 32 1615c1615 < 'shdf => 11111111111111111111111111011111 => 32 --- > 'shdf => 00000000000000000000000011011111 => 32 1619c1619 < 'shdx => 1111111111111111111111111101xxxx => 32 --- > 'shdx => 0000000000000000000000001101xxxx => 32 1623c1623 < 'shdz => 1111111111111111111111111101zzzz => 32 --- > 'shdz => 0000000000000000000000001101zzzz => 32 1627c1627 < 'she0 => 11111111111111111111111111100000 => 32 --- > 'she0 => 00000000000000000000000011100000 => 32 1631c1631 < 'she1 => 11111111111111111111111111100001 => 32 --- > 'she1 => 00000000000000000000000011100001 => 32 1635c1635 < 'she2 => 11111111111111111111111111100010 => 32 --- > 'she2 => 00000000000000000000000011100010 => 32 1639c1639 < 'she3 => 11111111111111111111111111100011 => 32 --- > 'she3 => 00000000000000000000000011100011 => 32 1643c1643 < 'she4 => 11111111111111111111111111100100 => 32 --- > 'she4 => 00000000000000000000000011100100 => 32 1647c1647 < 'she5 => 11111111111111111111111111100101 => 32 --- > 'she5 => 00000000000000000000000011100101 => 32 1651c1651 < 'she6 => 11111111111111111111111111100110 => 32 --- > 'she6 => 00000000000000000000000011100110 => 32 1655c1655 < 'she7 => 11111111111111111111111111100111 => 32 --- > 'she7 => 00000000000000000000000011100111 => 32 1659c1659 < 'she8 => 11111111111111111111111111101000 => 32 --- > 'she8 => 00000000000000000000000011101000 => 32 1663c1663 < 'she9 => 11111111111111111111111111101001 => 32 --- > 'she9 => 00000000000000000000000011101001 => 32 1667c1667 < 'shea => 11111111111111111111111111101010 => 32 --- > 'shea => 00000000000000000000000011101010 => 32 1671c1671 < 'sheb => 11111111111111111111111111101011 => 32 --- > 'sheb => 00000000000000000000000011101011 => 32 1675c1675 < 'shec => 11111111111111111111111111101100 => 32 --- > 'shec => 00000000000000000000000011101100 => 32 1679c1679 < 'shed => 11111111111111111111111111101101 => 32 --- > 'shed => 00000000000000000000000011101101 => 32 1683c1683 < 'shee => 11111111111111111111111111101110 => 32 --- > 'shee => 00000000000000000000000011101110 => 32 1687c1687 < 'shef => 11111111111111111111111111101111 => 32 --- > 'shef => 00000000000000000000000011101111 => 32 1691c1691 < 'shex => 1111111111111111111111111110xxxx => 32 --- > 'shex => 0000000000000000000000001110xxxx => 32 1695c1695 < 'shez => 1111111111111111111111111110zzzz => 32 --- > 'shez => 0000000000000000000000001110zzzz => 32 1699c1699 < 'shf0 => 11111111111111111111111111110000 => 32 --- > 'shf0 => 00000000000000000000000011110000 => 32 1703c1703 < 'shf1 => 11111111111111111111111111110001 => 32 --- > 'shf1 => 00000000000000000000000011110001 => 32 1707c1707 < 'shf2 => 11111111111111111111111111110010 => 32 --- > 'shf2 => 00000000000000000000000011110010 => 32 1711c1711 < 'shf3 => 11111111111111111111111111110011 => 32 --- > 'shf3 => 00000000000000000000000011110011 => 32 1715c1715 < 'shf4 => 11111111111111111111111111110100 => 32 --- > 'shf4 => 00000000000000000000000011110100 => 32 1719c1719 < 'shf5 => 11111111111111111111111111110101 => 32 --- > 'shf5 => 00000000000000000000000011110101 => 32 1723c1723 < 'shf6 => 11111111111111111111111111110110 => 32 --- > 'shf6 => 00000000000000000000000011110110 => 32 1727c1727 < 'shf7 => 11111111111111111111111111110111 => 32 --- > 'shf7 => 00000000000000000000000011110111 => 32 1731c1731 < 'shf8 => 11111111111111111111111111111000 => 32 --- > 'shf8 => 00000000000000000000000011111000 => 32 1735c1735 < 'shf9 => 11111111111111111111111111111001 => 32 --- > 'shf9 => 00000000000000000000000011111001 => 32 1739c1739 < 'shfa => 11111111111111111111111111111010 => 32 --- > 'shfa => 00000000000000000000000011111010 => 32 1743c1743 < 'shfb => 11111111111111111111111111111011 => 32 --- > 'shfb => 00000000000000000000000011111011 => 32 1747c1747 < 'shfc => 11111111111111111111111111111100 => 32 --- > 'shfc => 00000000000000000000000011111100 => 32 1751c1751 < 'shfd => 11111111111111111111111111111101 => 32 --- > 'shfd => 00000000000000000000000011111101 => 32 1755c1755 < 'shfe => 11111111111111111111111111111110 => 32 --- > 'shfe => 00000000000000000000000011111110 => 32 1759c1759 < 'shff => 11111111111111111111111111111111 => 32 --- > 'shff => 00000000000000000000000011111111 => 32 1763c1763 < 'shfx => 1111111111111111111111111111xxxx => 32 --- > 'shfx => 0000000000000000000000001111xxxx => 32 1767c1767 < 'shfz => 1111111111111111111111111111zzzz => 32 --- > 'shfz => 0000000000000000000000001111zzzz => 32 test_octal ASSERT:VE/CS simulation outputs differ: 31c31 < 'so4 => 11111111111111111111111111111100 => 32 --- > 'so4 => 00000000000000000000000000000100 => 32 35c35 < 'so5 => 11111111111111111111111111111101 => 32 --- > 'so5 => 00000000000000000000000000000101 => 32 39c39 < 'so6 => 11111111111111111111111111111110 => 32 --- > 'so6 => 00000000000000000000000000000110 => 32 43c43 < 'so7 => 11111111111111111111111111111111 => 32 --- > 'so7 => 00000000000000000000000000000111 => 32 343c343 < 'so40 => 11111111111111111111111111100000 => 32 --- > 'so40 => 00000000000000000000000000100000 => 32 347c347 < 'so41 => 11111111111111111111111111100001 => 32 --- > 'so41 => 00000000000000000000000000100001 => 32 351c351 < 'so42 => 11111111111111111111111111100010 => 32 --- > 'so42 => 00000000000000000000000000100010 => 32 355c355 < 'so43 => 11111111111111111111111111100011 => 32 --- > 'so43 => 00000000000000000000000000100011 => 32 359c359 < 'so44 => 11111111111111111111111111100100 => 32 --- > 'so44 => 00000000000000000000000000100100 => 32 363c363 < 'so45 => 11111111111111111111111111100101 => 32 --- > 'so45 => 00000000000000000000000000100101 => 32 367c367 < 'so46 => 11111111111111111111111111100110 => 32 --- > 'so46 => 00000000000000000000000000100110 => 32 371c371 < 'so47 => 11111111111111111111111111100111 => 32 --- > 'so47 => 00000000000000000000000000100111 => 32 375c375 < 'so4x => 11111111111111111111111111100xxx => 32 --- > 'so4x => 00000000000000000000000000100xxx => 32 379c379 < 'so4z => 11111111111111111111111111100zzz => 32 --- > 'so4z => 00000000000000000000000000100zzz => 32 383c383 < 'so50 => 11111111111111111111111111101000 => 32 --- > 'so50 => 00000000000000000000000000101000 => 32 387c387 < 'so51 => 11111111111111111111111111101001 => 32 --- > 'so51 => 00000000000000000000000000101001 => 32 391c391 < 'so52 => 11111111111111111111111111101010 => 32 --- > 'so52 => 00000000000000000000000000101010 => 32 395c395 < 'so53 => 11111111111111111111111111101011 => 32 --- > 'so53 => 00000000000000000000000000101011 => 32 399c399 < 'so54 => 11111111111111111111111111101100 => 32 --- > 'so54 => 00000000000000000000000000101100 => 32 403c403 < 'so55 => 11111111111111111111111111101101 => 32 --- > 'so55 => 00000000000000000000000000101101 => 32 407c407 < 'so56 => 11111111111111111111111111101110 => 32 --- > 'so56 => 00000000000000000000000000101110 => 32 411c411 < 'so57 => 11111111111111111111111111101111 => 32 --- > 'so57 => 00000000000000000000000000101111 => 32 415c415 < 'so5x => 11111111111111111111111111101xxx => 32 --- > 'so5x => 00000000000000000000000000101xxx => 32 419c419 < 'so5z => 11111111111111111111111111101zzz => 32 --- > 'so5z => 00000000000000000000000000101zzz => 32 423c423 < 'so60 => 11111111111111111111111111110000 => 32 --- > 'so60 => 00000000000000000000000000110000 => 32 427c427 < 'so61 => 11111111111111111111111111110001 => 32 --- > 'so61 => 00000000000000000000000000110001 => 32 431c431 < 'so62 => 11111111111111111111111111110010 => 32 --- > 'so62 => 00000000000000000000000000110010 => 32 435c435 < 'so63 => 11111111111111111111111111110011 => 32 --- > 'so63 => 00000000000000000000000000110011 => 32 439c439 < 'so64 => 11111111111111111111111111110100 => 32 --- > 'so64 => 00000000000000000000000000110100 => 32 443c443 < 'so65 => 11111111111111111111111111110101 => 32 --- > 'so65 => 00000000000000000000000000110101 => 32 447c447 < 'so66 => 11111111111111111111111111110110 => 32 --- > 'so66 => 00000000000000000000000000110110 => 32 451c451 < 'so67 => 11111111111111111111111111110111 => 32 --- > 'so67 => 00000000000000000000000000110111 => 32 455c455 < 'so6x => 11111111111111111111111111110xxx => 32 --- > 'so6x => 00000000000000000000000000110xxx => 32 459c459 < 'so6z => 11111111111111111111111111110zzz => 32 --- > 'so6z => 00000000000000000000000000110zzz => 32 463c463 < 'so70 => 11111111111111111111111111111000 => 32 --- > 'so70 => 00000000000000000000000000111000 => 32 467c467 < 'so71 => 11111111111111111111111111111001 => 32 --- > 'so71 => 00000000000000000000000000111001 => 32 471c471 < 'so72 => 11111111111111111111111111111010 => 32 --- > 'so72 => 00000000000000000000000000111010 => 32 475c475 < 'so73 => 11111111111111111111111111111011 => 32 --- > 'so73 => 00000000000000000000000000111011 => 32 479c479 < 'so74 => 11111111111111111111111111111100 => 32 --- > 'so74 => 00000000000000000000000000111100 => 32 483c483 < 'so75 => 11111111111111111111111111111101 => 32 --- > 'so75 => 00000000000000000000000000111101 => 32 487c487 < 'so76 => 11111111111111111111111111111110 => 32 --- > 'so76 => 00000000000000000000000000111110 => 32 491c491 < 'so77 => 11111111111111111111111111111111 => 32 --- > 'so77 => 00000000000000000000000000111111 => 32 495c495 < 'so7x => 11111111111111111111111111111xxx => 32 --- > 'so7x => 00000000000000000000000000111xxx => 32 499c499 < 'so7z => 11111111111111111111111111111zzz => 32 --- > 'so7z => 00000000000000000000000000111zzz => 32 Ran 4 tests. FAILED (failures=3) -------------------- SUITE: relong -------------------- test_alu test_array test_cache_request test_case test_complex_interface test_double_clock test_enum test_fsm test_functions test_gen_struct test_inline_concat test_module_struct test_port_connections test_simple_interface test_split_ports test_split_struct test_struct test_typedef Ran 18 tests. OK -------------------- SUITE: search -------------------- test_found test_not_found_default test_not_found_missing test_misdirect test_found_write_adjacent Ran 5 tests. OK -------------------- SUITE: siloed -------------------- test_default test_siloed Ran 2 tests. OK -------------------- SUITE: top -------------------- test_default test_tops_a test_tops_b test_tops_mod test_tops_a_b test_tops_a_d test_tops_b_d_mod test_error_no_default test_error_is_an_interface test_error_has_interface_ports test_error_not_found Ran 11 tests. OK -------------------- SUITE: truncate -------------------- no_trunc__dX no_trunc__dZ no_trunc__sdX no_trunc__sdZ no_trunc__b0 no_trunc__b1 no_trunc__bx no_trunc__bz no_trunc__sb0 no_trunc__sb1 ASSERT:number literals differ: 2c2 < 32 11111111111111111111111111111111 1111111111111111111111111111111111111111111111111111111111111111 --- > 32 00000000000000000000000000000001 0000000000000000000000000000000000000000000000000000000000000001 ASSERT:number literals differ: 2c2 < 32 11111111111111111111111111111111 1111111111111111111111111111111111111111111111111111111111111111 --- > 32 00000000000000000000000000000001 0000000000000000000000000000000000000000000000000000000000000001 shunit2:ERROR no_trunc__sb1() returned non-zero return code. no_trunc__sbx no_trunc__sbz no_trunc_1_b0 no_trunc_1_b1 no_trunc_1_bx no_trunc_1_bz no_trunc_1_sb0 no_trunc_1_sb1 no_trunc_1_sbx no_trunc_1_sbz no_trunc_1_hX no_trunc_1_hZ no_trunc_2_hX no_trunc_2_hZ no_trunc_3_hX no_trunc_3_hZ no_trunc_4_hX no_trunc_4_hZ no_trunc_5_hX no_trunc_5_hZ no_trunc_1_oX no_trunc_1_oZ no_trunc_2_oX no_trunc_2_oZ no_trunc_3_oX no_trunc_3_oZ no_trunc_4_oX no_trunc_4_oZ no_trunc_1_sd1 no_trunc_2_sd2 no_trunc_2_sd3 no_trunc_32_sd4294967294 no_trunc__o1xz01xz01xz no_trunc__o2xz01xz01xz no_trunc_32_o1xz01xz01xz no_trunc_32_o2xz01xz01xz trunc_ivl_warns_1_b01 trunc_ivl_warns_1_b001 trunc_ivl_warns_1_bxx trunc_ivl_warns_1_b0x trunc_ivl_warns_1_d2 trunc_ivl_warns_1_sd2 trunc_ivl_warns_1_d3 trunc_ivl_warns_1_sd3 trunc_ivl_warns_3_sd8 trunc_ivl_warns__b101xz01xz01xz01xz01xz01xz01xz01xz trunc_ivl_warns__bxz01xz01xz01xz01xz01xz01xz01xz01xz trunc_ivl_warns__b1xz01xz01xz01xz01xz01xz01xz01xz01xz trunc_ivl_warns_32_bz01xz01xz01xz01xz01xz01xz01xz01xz trunc_ivl_warns_32_bxz01xz01xz01xz01xz01xz01xz01xz01xz trunc_ivl_warns_32_b1xz01xz01xz01xz01xz01xz01xz01xz01xz trunc_ivl_warns_2_o4 trunc_ivl_warns_2_o7 trunc_ivl_warns__h1_FFFF_FFFF trunc_ivl_warns__hF_FFFF_FFFF trunc_ivl_warns__o4xz01xz01xz trunc_ivl_warns__oz1xz01xz01xz trunc_ivl_warns__h101xz01xz trunc_ivl_warns__hxz01xz01xz trunc_ivl_warns__h0xz01xz01xz trunc_ivl_warns__d4294967296 trunc_ivl_warns__d4294967297 trunc_ivl_warns__d04294967296 trunc_ivl_warns__d04294967297 trunc_ivl_warns__d8589934590 trunc_ivl_warns__d8589934591 trunc_ivl_warns__d8589934592 trunc_ivl_warns__d8589934593 trunc_ivl_warns_4294967296 trunc_ivl_warns_4294967297 trunc_ivl_warns_8589934590 trunc_ivl_warns_8589934591 trunc_ivl_warns_8589934592 trunc_ivl_warns_8589934593 trunc_ivl_warns_1_d111 trunc_ivl_warns_1_b111 trunc_ivl_warns_1_o111 trunc_ivl_warns_1_h111 trunc_ivl_warns_2_d111 trunc_ivl_warns_2_b111 trunc_ivl_warns_2_o111 trunc_ivl_warns_2_h111 trunc_ivl_silent__h0_FFFF_FFFF trunc_ivl_silent__o00xz01xz01xz trunc_ivl_silent__o01xz01xz01xz trunc_ivl_silent__bz01xz01xz01xz01xz01xz01xz01xz01xz trunc_ivl_silent__hZ_ZZZZ_ZZZZ trunc_ivl_silent__hz01xz01xz trunc_ivl_silent_4294967294 trunc_ivl_silent_4294967295 Ran 100 tests. FAILED (failures=3) -------------------- SUITE: warning -------------------- test_default test_no_files test_port_conn_attr test_only_interface test_only_package test_only_class test_only_function test_only_task test_only_localparam Ran 9 tests. OK -------------------- SUITE: write -------------------- test_prereq test_default test_stdout test_adjacent test_adjacent_exist test_adjacent_extension test_file test_directory test_unknown Ran 9 tests. OK 4 test suite(s) failed make: *** [test] Error 1 jonathan@Jonathans-iMac sv2v %