Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Enums with shared literal names can cause VHDL syntax errors #168

Open
JulianKemmerer opened this issue May 27, 2023 · 0 comments
Open

Enums with shared literal names can cause VHDL syntax errors #168

JulianKemmerer opened this issue May 27, 2023 · 0 comments
Labels
bug Something isn't working

Comments

@JulianKemmerer
Copy link
Owner

typedef enum enum_a_t 
{
  REQ,
  RESP
}enum_a_t;
typedef enum enum_b_t
{
  REQ,
  RESP
}enum_b_t;

Tool will fail to resolve VHDL instances of the literal 'REQ' and 'RESP' to which enum type it belongs to. Tried working around with type'() casting and type'val() type'pos() stuff - didnt help.

Needs to be worked around to something like

typedef enum enum_a_t 
{
  A_REQ,
  A_RESP
}enum_a_t;
typedef enum enum_b_t
{
  B_REQ,
  B_RESP
}enum_b_t;
@JulianKemmerer JulianKemmerer added the bug Something isn't working label May 27, 2023
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
bug Something isn't working
Projects
None yet
Development

No branches or pull requests

1 participant