Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Schematic viewer out put:[error] Yosys failed. #590

Open
internet96 opened this issue Mar 11, 2024 · 7 comments
Open

Schematic viewer out put:[error] Yosys failed. #590

internet96 opened this issue Mar 11, 2024 · 7 comments
Labels
bug Something isn't working schematic

Comments

@internet96
Copy link

Describe the bug
When I click to the Schematic viewer button, the out put is :

2024-03-11 12:53:09.710 [error] Yosys failed.
2024-03-11 12:53:09.716 [info] yowasp-yosys -p "read_verilog -sv Control_Unit.v; ; proc; ; write_json teroshdl_yosys_output.json; stat"

2024-03-11 12:53:09.729 [info] 'yowasp-yosys' �����ڲ����ⲿ���Ҳ���ǿ����еij���
���������ļ���

image

I install anaconda-python3.11,make for windowsm,and all python packages it requires, please help me solve the problem.
To Reproduce
Code to reproduce the error.

Code
Code to reproduce the error.

Please complete the following information:

  • OS: [e.g.Ubuntu 18.04]
  • VSCode version version [e.g. 22]

Screenshots
If applicable, add screenshots to help explain your problem. Share the code as text, not as a screenshots!

Additional context
Add any other context about the problem here.

@internet96 internet96 added the bug Something isn't working label Mar 11, 2024
@arretxe
Copy link

arretxe commented Mar 13, 2024

I get the same problem in windows but I was assuming I was doing someting wrong until I saw this, now I don't know what to think anymore... :-S

@arretxe
Copy link

arretxe commented Mar 13, 2024

I get the same problem in windows but I was assuming I was doing someting wrong until I saw this, now I don't know what to think anymore... :-S

2024-03-13 08:15:14.166 [info] C:\Users\60146\Downloads\oss-cad-suite\bin\yosys.exe -m ghdl -p "ghdl --std=08 -fsynopsys c:\Users\60146\Documents\CAF\Vega\Sandbox\kkp\S.24.53.9999\Vega_HPL\FCPUD\SAFE_STATE\src\safe_state.vhd -e; ; proc; ; write_json teroshdl_yosys_output.json; stat"

2024-03-13 08:15:14.486 [error] Yosys failed.

@arretxe
Copy link

arretxe commented Mar 14, 2024

got it working on windows, just had to select the backend HDL+Yosys (instead of GHDL(module)+Yosys as suggested in the documentation.
However I noticed that it only works for vhd files which don't rely on packages

@qarlosalberto
Copy link
Contributor

Could you share how did you install/download ghdl?

@arretxe
Copy link

arretxe commented Mar 14, 2024

Could you share how did you install/download ghdl?

I used MSYS2 MINGW64 and installed from the package group mingw-w64-x86_64-eda package the mingw_w64-x86_64-ghdl-llvm and mingw-w64-x86_64-yosys

@internet96
Copy link
Author

internet96 commented Mar 15, 2024

Could you share how did you install/download ghdl?

I just use Verilog but not VHDL,and I do it like that:
Uploading image.png…,
Uploading image.png…
should I instll GHDL?
And if I need to install mingw-w64-x86_64-eda, my os is windows11 but not linux,waht should I do?

Now, I intrdouce you what I do when I use TerosHDL to write Verilog(don't use HDL language):

  1. Install TerosHDL plugin in Vscode.
  2. Install make for windows.
  3. pip install TerosHDL (I found that someone said When display "Schematic viewer out put:[error] Yosys failed. ", I should sudo pip install teroshdl, [https://soc.ustc.edu.cn/Digital/lab1/verilog_coding/], but my environment is Windows,I tried in superuer cmd pip install teroshdl, but it didn't work.)
  4. check for dependencies(all is well ).
  5. Configure Schematic viewer: General,Select the backend: YoWASP. Configure Tools: General:Select a tool, framework, simulator... :Icarus

@BOXin0405
Copy link

I meet the same problem, and have a try of adding the path of yowasp-yosys.exe to the system path.
(for example, C:\Users\xxx\AppData\Local\Packages\PythonSoftwareFoundation.Python.3.7_qbz5n2kfra8p0\LocalCache\local-packages\Python37\Scripts)

It finally worked.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
bug Something isn't working schematic
Projects
None yet
Development

No branches or pull requests

4 participants