Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Documentation for VHDL record types #596

Open
james-ziegler opened this issue Apr 1, 2024 · 0 comments
Open

Documentation for VHDL record types #596

james-ziegler opened this issue Apr 1, 2024 · 0 comments
Labels
documenter enhancement New feature or request

Comments

@james-ziegler
Copy link

Is your feature request related to a problem? Please describe.
Looking to automatically generate documentation for my code, I would like record types to have information about their elements extracted to the generated documentation.

Describe the solution you'd like
Many elements of VHDL code support adding comments to create a description of that element, such as signals, constants, processes, functions, etc. Currently there is no way to generate the same types of documentation for the elements in a record, only one for the record itself. Being able to describe the purpose of each element could be helpful in explaining the parts of a complex record type.

{
--! @title sample package
--! @author James Ziegler
--! @date 4/1/2024
--! @brief Sample package to demonstrate issues
--!
--! This is just a sample

library ieee;
  use ieee.std_logic_1164.all;
 package sample_package is

  --! Sample record type
  type sample_record is record
    single_bit : std_logic;                      --! Can this be used for a description of the element?
    byte_data  : std_logic_vector (7 downto 0);  --! this element is a single byte of data
  end record sample_record;

end package sample_package;
}

I would like to see the comments after each element included in the table in a more readable fashion. I'd suggest adding an extra column for records and listing each record element in a separate row along with the description for that element.

Describe alternatives you've considered
Using descriptive names, but sometimes additional information is still helpful. Adding comments will give the needed information, but the type column in the Types documentation becomes difficult to read, the formatting can be very hard to read with comments included.

Additional context
package
This screenshot shows poor formatting when comments are added.

@james-ziegler james-ziegler added the enhancement New feature or request label Apr 1, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
documenter enhancement New feature or request
Projects
None yet
Development

No branches or pull requests

2 participants