Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

VHDL code completion improvement (?) #607

Open
ungultig1 opened this issue Apr 15, 2024 · 0 comments
Open

VHDL code completion improvement (?) #607

ungultig1 opened this issue Apr 15, 2024 · 0 comments
Labels
enhancement New feature or request

Comments

@ungultig1
Copy link

Hi there,

One of your best features is the support for vhdl code completion.

However, it could be improved in terms of suggestions that pop up while coding.

I.e.:
Signals defined within a record structure could only be suggested when the record is used,
as at the moment every declaration pops up:

image

At the moment, TerosHDL is able to distinguish between the declared signals and to whom they belong, as seen for the "u_count (record)" signal.

But, could be even improved to suggest only the mentioned record signal when typing "myRec." record.

Thank you.

PS: Here is the complete code:

library ieee;
use ieee.std_logic_1164.all; 
use ieee.numeric_std.all;

entity examp is 
	port(
        i_sl_cnt                  : in std_logic;
        i_sl_reset                  : in std_logic 
	);
end entity examp;

architecture behaviour of examp is   
	type t_myRec is record
        u_count                                 : unsigned(5 downto 0);
	end record;

	signal myRec:	t_myRec;
begin		 

	process(all) begin

        if i_sl_reset then
            myRec.u_count   <= 6d"0";
        end if;

        if i_sl_cnt then
            myRec.u_count   <= myRec.u_count+1;
        end if;

        myRec.

    end process;

end architecture;
@ungultig1 ungultig1 added the enhancement New feature or request label Apr 15, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
enhancement New feature or request
Projects
None yet
Development

No branches or pull requests

1 participant