Skip to content
View schnommus's full-sized avatar

Organizations

@apfelaudio
Block or Report

Block or report schnommus

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse

Pinned

  1. apfelaudio/eurorack-pmod apfelaudio/eurorack-pmod Public

    A eurorack-friendly audio frontend compatible with many FPGA boards.

    SystemVerilog 159 7

  2. apfelaudio/eurorack-pmod-usb-soundcard apfelaudio/eurorack-pmod-usb-soundcard Public

    Example of using a eurorack-pmod as an 8-channel (4in + 4out) USB sound card, based on the LUNA project.

    Python 2

  3. apfelaudio/eurorack-pmod-litex apfelaudio/eurorack-pmod-litex Public

    Audio DSP on an FPGA using eurorack-pmod + LiteX with firmware in Rust.

    Python 6 2

  4. apfelaudio/verilog-vcvrack apfelaudio/verilog-vcvrack Public

    An example of simulating Verilog / FPGA gateware inside a VCV Rack plugin.

    C++ 35 2

  5. formant_vco_prototype formant_vco_prototype Public archive

    KiCAD EDA files for an analog voltage-controlled oscillator compatible with modular Eurorack synthesizers.

    AGS Script 34 7

  6. libsigrok-cypress-fx3-test libsigrok-cypress-fx3-test Public archive

    Experiments getting a Cypress FX3 SuperSpeed USB3 dev kit to behave as a logic analyzer.

    C 25 2