Skip to content

Issues: YosysHQ/yosys

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

Inout port not working with array replication operator pending-verification This issue is pending verification and/or reproduction
#4371 opened May 3, 2024 by alchitry
Inout can't be read with constant value pending-verification This issue is pending verification and/or reproduction
#4370 opened May 3, 2024 by alchitry
Inconsistent simulation before and after yosys synthesis pending-verification This issue is pending verification and/or reproduction
#4369 opened May 3, 2024 by WeneneW
write_smt2: "-wires" option leads to inequivalent descriptions pending-verification This issue is pending verification and/or reproduction
#4361 opened Apr 27, 2024 by YikeZhou
Should -nomx8 be the default for the GateMate? pending-verification This issue is pending verification and/or reproduction
#4355 opened Apr 23, 2024 by spth
Crash in yosys-abc pending-verification This issue is pending verification and/or reproduction
#4352 opened Apr 22, 2024 by maliberty
Another out-of-memory problem with for loop pending-verification This issue is pending verification and/or reproduction
#4345 opened Apr 19, 2024 by YikeZhou
Assertion Failure in AST Processing: node->bits == v at frontends/ast/ast.cc:855 pending-verification This issue is pending verification and/or reproduction
#4335 opened Apr 14, 2024 by 1353369570
Latch inferred for x signal bug
#4325 opened Apr 8, 2024 by spth
Parameters in other packages SystemVerilog Issues and questions related to SystemVerilog wontfix
#4318 opened Apr 4, 2024 by pentin-as
Assertion Failure in genrtlil.cc When Handling Signedness Issue Description: pending-verification This issue is pending verification and/or reproduction
#4307 opened Apr 1, 2024 by 1353369570
Performance regression in Yosys 0.39 pending-verification This issue is pending verification and/or reproduction
#4280 opened Mar 13, 2024 by whitequark
opt_merge merges $assert cells discuss to be discussed at next dev jour fixe (see #devel-discuss at https://yosyshq.slack.com/)
#4278 opened Mar 12, 2024 by KrystalDelusion
Keep attribute not working as expected pending-verification This issue is pending verification and/or reproduction
#4272 opened Mar 10, 2024 by hpretl
ProTip! Find all open issues with in progress development work with linked:pr.