Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[proposed Label] Question #3

Open
josefoelias opened this issue Feb 11, 2016 · 1 comment
Open

[proposed Label] Question #3

josefoelias opened this issue Feb 11, 2016 · 1 comment

Comments

@josefoelias
Copy link

Hi,
I am trying to get your code work with my VHDL project (AXI MASTER), but I'm not familiar with Verilog.
I'm working in Vivado on school project and I need validate that my IP core works before I am testing it on HW.

I need one AXI slave (with memory if its possible) and test write read with my core.

If I understand it, I need to generate BFM with Phyton and then declare+instantiate it in my vhdl. But which files I need to generate ?

Thanks, Josef

@funningboy
Copy link
Owner

Hi,
uvm_axi is a quickly basic AXI protocol checker which is following the UVM standard flow contains( driver, sequencer, monitor, scoreboard). it's a good start point to know what's UVM and how to use it "http://www.testbench.in/UT_00_INDEX.html".
by default, we use run.py as Makefile to setup our test env, all uvm tests must be registered to run.py

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants