Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support $past function in formal mode #410

Open
Darksecond opened this issue Nov 29, 2022 · 0 comments
Open

Support $past function in formal mode #410

Darksecond opened this issue Nov 29, 2022 · 0 comments

Comments

@Darksecond
Copy link

Currently read_uhdm (and by extension read_systemverilog) ignore the $past function that yosys read_verilog currently supports. This makes it fairly hard to write formal test benches as they tend to use the $past function.

mglb pushed a commit to antmicro/yosys-f4pga-plugins that referenced this issue Apr 3, 2023
…les/sv2v-96cfe18

Bump sv2v from `eb42042` to `96cfe18`
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant