Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Not supported SystemVerilog bit vector functions #548

Open
iv2nl0b9v opened this issue Jan 23, 2024 · 1 comment
Open

Not supported SystemVerilog bit vector functions #548

iv2nl0b9v opened this issue Jan 23, 2024 · 1 comment

Comments

@iv2nl0b9v
Copy link

Repro

module count_ones (
  input  logic [4:0] in,
  output logic [4:0] out
);
assign out = $countones(in);
endmodule : count_ones

UHDM converter doesn't seem to support SV bit vector functions: https://circuitcove.com/system-tasks-vector/
Not sure if it's intended.

@kgugala
Copy link
Member

kgugala commented Jan 23, 2024

hi @iv2nl0b9v the systemverilog plugin has been moved to https://github.com/chipsalliance/synlig repository. Can you check if the issue you're reporting is present there? If, please report this problem there

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants