Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

ghdl with mcode backend crashes on if generate when .ghw output is enabled #2640

Open
1 task done
Jogt opened this issue Apr 5, 2024 · 5 comments
Open
1 task done

Comments

@Jogt
Copy link

Jogt commented Apr 5, 2024

Description
GHDL with the mcode backend crashes while elab-running the MWE, if ghw output is enable with the --wave=wave.ghw option.
I could not observe the same crash without the --wave option, or with the llvm or gcc backends.

It also crashes with the --vcd=wave.vcd option set

Expected behaviour
No crash

How to reproduce?

MWE:

entity ent1 is
  generic (
    FOO : integer range 2 to 14 := 11
  );
end entity;

architecture structural of ent1 is
begin
  x: if FOO < 9 generate
  else generate
  end generate;
end architecture structural;
#!/bin/sh
ghdl -a --std=08 mwe.vhd
ghdl --elab-run --std=08 ent1 --wave=wave.ghw

Context
Please, provide the following information:

  • OS: Arch-Linux
  • Origin:
    • Package manager: aur: ghdl-mcode-git 5.0.0dev.r9345.g14b411c57-1
      This builds ghdl from source. Commit hash 14b411c

If a GHDL Bug occurred block is shown in the log, please paste it here:

******************** GHDL Bug occurred ***************************
Please report this bug on https://github.com/ghdl/ghdl/issues
GHDL release: 5.0.0-dev (4.0.0.r24.g14b411c57) [Dunoon edition]
Compiled with GNAT Version: 13.2.1 20230801
Target: x86_64-pc-linux-gnu
/home/kadel/workspace/ghdl_bug_report/
Command line:
ghdl --elab-run --std=08 ent1 --wave=wave.ghw
Exception CONSTRAINT_ERROR raised
Exception information:
raised CONSTRAINT_ERROR : grt-avhpi.adb:593 access check failed
******************************************************************

Additional context
ghdl --version

GHDL 5.0.0-dev (4.0.0.r24.g14b411c57) [Dunoon edition]
 Compiled with GNAT Version: 13.2.1 20230801
 static elaboration, mcode JIT code generator
Written by Tristan Gingold.

Copyright (C) 2003 - 2024 Tristan Gingold.
GHDL is free software, covered by the GNU General Public License.  There is NO
warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE
@tgingold
Copy link
Member

tgingold commented Apr 7, 2024

I haven't checked, but I am pretty sure it works ok with --fst=.

@Jogt
Copy link
Author

Jogt commented Apr 8, 2024

Yes, there is no crash if --fst export is used

@tgingold
Copy link
Member

tgingold commented Apr 9, 2024

I am closing this ticket, I think the issue was already reported.

@tgingold tgingold closed this as completed Apr 9, 2024
@Xiretza
Copy link
Contributor

Xiretza commented Apr 9, 2024

I can't find any related existing issues.

@tgingold
Copy link
Member

tgingold commented Apr 9, 2024

Yep, sorry.

@tgingold tgingold reopened this Apr 9, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants