Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Implementation failed with Vivado 2020.2 #1

Open
githubxup opened this issue Dec 24, 2020 · 0 comments
Open

Implementation failed with Vivado 2020.2 #1

githubxup opened this issue Dec 24, 2020 · 0 comments

Comments

@githubxup
Copy link

I cannot pass Implementation successfully using Vivado 2020.2 because it showed "Failed Timing!" with red text WNS value -13.672, TNS value -2568.891 and TPWS -0.155.
When I opened the project, I was asked to upgrade project and IPs and I did upgrade both.
In the IP Catalog, a User Repository "D:/Olivier/Xilinx_Vitis/Vitis_VM/Shared/sobelfilter.prj 20200708/sol1/impl/ip" was not there so I remove. Is this an required IP?

Here are the part of logs.
INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
CRITICAL WARNING: [Timing 38-249] Generated clock system_i/rgb2dvi_0/U0/SerialClk has no logical paths from master clock clk_out1_system_clk_wiz_1_0.
Resolution: Review the path between the master clock and the generated clock with the schematic viewer and correct the -source option. If it is correct and the master clock does not have a timing path to the generated clock, define the generated clock as a primary clock by using create_clock.
INFO: [Common 17-206] Exiting Vivado at Thu Dec 24 17:22:21 2020...

James

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant