Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Passing Verilog string parameters with quotes #430

Open
meiniKi opened this issue Apr 29, 2024 · 0 comments
Open

Passing Verilog string parameters with quotes #430

meiniKi opened this issue Apr 29, 2024 · 0 comments

Comments

@meiniKi
Copy link

meiniKi commented Apr 29, 2024

I have fallen into this trap and wonder if this might be a helpful change:

If a Verilog string parameter is passed in the fusesoc core file with quotation marks, e.g.,

parameters: [CHUNKSIZE=4, RFTYPE="BRAM_DP_BP", ICOBOARD=True]

it gets surrounded by another set of quotes, leading to the following erroneous statement in the edalize_yosys_procs.tcl script

chparam -set RFTYPE {""BRAM_DP_BP""} top_ardutiny}

Suggested change: 45ad9f9 (Is there any loss of generality when stripping unescaped quotation marks?)

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant