/
transcript
149 lines (149 loc) · 9.79 KB
/
transcript
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
# // ModelSim SE 6.6d Nov 1 2010
# //
# // Copyright 1991-2010 Mentor Graphics Corporation
# // All Rights Reserved.
# //
# // THIS WORK CONTAINS TRADE SECRET AND
# // PROPRIETARY INFORMATION WHICH IS THE PROPERTY
# // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
# // AND IS SUBJECT TO LICENSE TERMS.
# //
# vsim -do \{do \{tb_toplevel.fdo\}\}
# ** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
# File in use by: Hostname: ProcessID: 2
# Attempting to use alternate WLF file "./wlftfm0td8".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
# Using alternate file: ./wlftfm0td8
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: (vopt-19) Failed to access library 'work.{tb_toplevel' at "work.{tb_toplevel".
# No such file or directory. (errno = ENOENT)
# ** Error: Library work.{tb_toplevel not found.
# Error loading design
do tb_toplevel.fdo
# ** Warning: (vlib-34) Library already exists at "work".
# Model Technology ModelSim SE vcom 6.6d Compiler 2010.11 Nov 1 2010
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity tb_toplevel
# -- Compiling architecture behavior of tb_toplevel
# vsim -lib work -voptargs=\"+acc\" -t 1ps work.tb_toplevel
# ** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
# File in use by: Hostname: ProcessID: 2
# Attempting to use alternate WLF file "./wlft6d8v6v".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
# Using alternate file: ./wlft6d8v6v
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt11/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt12/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt15/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt18/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt3/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt4/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt5/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt6/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt7/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt8/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt9/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Note: (vsim-3812) Design is being optimized...
# ** Warning: (vopt-2009) At least one design unit was compiled with optimization level -O0 or -O1.
# Use vdir -l command to find such design units.
# ** Note: (vopt-143) Recognized 1 FSM in architecture body "com(behavioral)".
# ** Note: (vopt-143) Recognized 1 FSM in architecture body "processor(behavioral)".
# Loading std.standard
# Loading ieee.std_logic_1164(body)
# Loading work.tb_toplevel(behavior)#1
# Loading ieee.numeric_std(body)
# Loading work.mips_constant_pkg
# Loading work.toplevel(behavioral)#1
# Loading ieee.std_logic_arith(body)
# Loading ieee.std_logic_unsigned(body)
# Loading work.com(behavioral)#1
# Loading work.memory(behavioral)#1
# Loading work.processor(behavioral)#1
# Loading work.control_unit(behavioral)#1
# Loading work.alu(behavioral)#1
# Loading work.alu_1bit(behavioral)#1
# Loading work.full_adder(behavioral)#1
# Loading work.alu_control(behavioral)#1
# Loading work.pc(behavioral)#1
# Loading work.pc_handle(behavioral)#1
# Loading work.adder(behavioral)#1
# Loading work.shift_two(behavioral)#1
# Loading work.adder(behavioral)#2
# Loading work.register_file(behavioral)#1
# Loading work.sign_extend(behavioral)#1
# .main_pane.wave.interior.cs.body.pw.wf
# .main_pane.structure.interior.cs.body.struct
# .main_pane.objects.interior.cs.body.tree
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 0 Instance: /tb_toplevel/uut/mips_sc_processor/inst_register_file
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 0 Instance: /tb_toplevel/uut/mips_sc_processor/inst_register_file
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 0 Instance: /tb_toplevel/uut/inst_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 0 Instance: /tb_toplevel/uut/data_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 1 Instance: /tb_toplevel/uut/inst_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 2 Instance: /tb_toplevel/uut/inst_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 20 ns Iteration: 1 Instance: /tb_toplevel/uut/data_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 20 ns Iteration: 1 Instance: /tb_toplevel/uut/inst_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 20 ns Iteration: 3 Instance: /tb_toplevel/uut/data_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 20 ns Iteration: 4 Instance: /tb_toplevel/uut/data_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 40 ns Iteration: 1 Instance: /tb_toplevel/uut/data_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 60 ns Iteration: 1 Instance: /tb_toplevel/uut/data_mem
do C:/Users/chribru/Desktop/GitHub/tdt4255/oving1/toplevel.do
restart -f; run 5us
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt11/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt12/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt15/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: (vsim-56) Problem with optimized design dependency file "C:\Users\chribru\Desktop\GitHub\tdt4255\oving1\work/@_opt18/_deps" - file open failed.
# No such file or directory. (errno = ENOENT)
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 0 Instance: /tb_toplevel/uut/mips_sc_processor/inst_register_file
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 0 Instance: /tb_toplevel/uut/mips_sc_processor/inst_register_file
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 0 Instance: /tb_toplevel/uut/inst_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 0 Instance: /tb_toplevel/uut/data_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 1 Instance: /tb_toplevel/uut/inst_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 0 ps Iteration: 2 Instance: /tb_toplevel/uut/inst_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 20 ns Iteration: 1 Instance: /tb_toplevel/uut/data_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 20 ns Iteration: 1 Instance: /tb_toplevel/uut/inst_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 20 ns Iteration: 3 Instance: /tb_toplevel/uut/data_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 20 ns Iteration: 4 Instance: /tb_toplevel/uut/data_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 40 ns Iteration: 1 Instance: /tb_toplevel/uut/data_mem
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
# Time: 60 ns Iteration: 1 Instance: /tb_toplevel/uut/data_mem