Skip to content

Releases: Digilent/Zybo-Z7-20-pcam-5c

Zybo Z7-20 Pcam 5C Demo Vivado 2019.1-1

24 Jun 18:44
Compare
Choose a tag to compare

Introducing a new project format supported by the digilent-vivado-scripts. All the instructions are covered in the Readme.

Zybo Z7-20 Pcam 5C Demo Vivado 2018.2-2

15 Oct 13:54
Compare
Choose a tag to compare

Fixed documentation errors related to SDK project creation

Zybo Z7-20 Pcam 5C Demo Vivado 2018.2

01 Mar 16:08
Compare
Choose a tag to compare

Introducing the new project format supported by digilent-vivado-scripts. Instructions in the Readme.

Zybo Z7-20 Pcam 5C Demo Vivado 2017.4

25 Jun 08:54
Compare
Choose a tag to compare

This release includes a ZIP containing source, hardware definition file, and SDK projects, intended for use with the download and launch instructions found on the project wiki page.

When downloading the archive associated with this release, make sure that it is the Zybo-Z7-20-pcam-5c-2017.4-1.zip file, NOT the one of the source code archives.

Zybo Z7-20 PCam 5C Demo Vivado 2016.4

02 Feb 18:41
Compare
Choose a tag to compare

This release includes a ZIP containing source, hardware definition file, and SDK projects, intended for use with the download and launch instructions found on the project wiki page.

When downloading the archive associated with this release, make sure that it is the Zybo-Z7-20-pcam-5c-2016.4-1.zip file, NOT the one of the source code archives.

v1.0

08 Jan 14:04
Compare
Choose a tag to compare

First release of the Pcam 5C Demo Project.
For more information, please visit:
https://reference.digilentinc.com/learn/programmable-logic/tutorials/zybo-z7-pcam-5c-demo/start