Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Error libusb_open en debian 9 tarjeta Alhambra II #318

Closed
avlixa opened this issue Apr 19, 2019 · 6 comments
Closed

Error libusb_open en debian 9 tarjeta Alhambra II #318

avlixa opened this issue Apr 19, 2019 · 6 comments

Comments

@avlixa
Copy link

avlixa commented Apr 19, 2019

Hola,
He instalado icestudio en debian 9 y al intentar cargar un código de prueba me da el error
ftdi_usb_get_strings failed: - 4 ( libusb_open() failed)

He visto este error documentado para windows 10 pero no encuentro nada para debian. En windows 10 la placa me funciona bien.

También me he dado cuenta que al actualizar la toolchain siempre me dice que no coincide, desconozco si tiene algo que ver.

Saludos.
Screenshot_20190419_101254
Screenshot_20190419_095328

@JuanMiguelTorre
Copy link

JuanMiguelTorre commented Feb 21, 2020

Hola, a mi me ocurre lo mismo lo único que con la iCEstick Evaluation Kit.

image

El problema creo que radica en la instalación de toolchain. Agradecería mucho la ayuda para poder avanzar ya que recientemente acabo de iniciarme con las FPGAs y este obstáculo aún no lo he podido librar.

La versión de Icestudio es la 0.5.1 - nigthly

Saludos!

(Edito) Problema solucionado, ha sido un error garrafal mio al cargar el driver en otro periférico :(

@myzinsky
Copy link

myzinsky commented Jan 3, 2021

same problem on macOS

@LBertrandDC
Copy link

Hello, I just noticed the same on Windows 10 Enterprise (what my college installs on staff computers).
Using 0.7.1w202108130708

Running the lsftdi.exe binary directly from PowerShell:

PS C:\Users\Louis\.icestudio\apio\packages\tools-oss-cad-suite\bin> .\lsftdi.exe
Number of FTDI devices found: 1
Checking device: 0
ftdi_usb_get_strings failed: -4 (libusb_open() failed)

The problem might caused by pilot error. When the Zadig driver utility appears, there are several choices of USB devices and the user (me!) did not select "Lattice FTUSB Interface Cable (Interface 0)". I can't remember because I wasn't paying attention to that detail.
I ran

apio drivers --ftdi-enable

from the venv under .icestudio in the home directory/folder and that seems to have corrected the problem.
Also, it helps if you unplug as many USB devices as possible to shorten the list of devices.

@Obijuan
Copy link
Member

Obijuan commented May 2, 2022

Could you please try the lates Icestudio wip? You can download it from here:

https://downloads.icestudio.io/

I am cleaning old issues. I will close this issue. Please, feel free to open a new one with updated information if the error remains
Thanks for the feedback

@Obijuan Obijuan closed this as completed May 2, 2022
@LBertrandDC
Copy link

Hi @Obijuan, I can program the Lattice iCEstick, no problems, using either WIP snapshot from today 0.9.2w20220502{0505|0905}
Also, random fact if that helps, I tried (from the Zadig utility) the WinUSB driver and it also worked.

@Obijuan
Copy link
Member

Obijuan commented May 2, 2022

Great! Thanks for the feedback 🙂️

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

6 participants