Skip to content
This repository has been archived by the owner on Apr 24, 2019. It is now read-only.

FPGA IP with a blank name should not be loaded #3

Open
BarrettStephen opened this issue Jan 23, 2015 · 0 comments
Open

FPGA IP with a blank name should not be loaded #3

BarrettStephen opened this issue Jan 23, 2015 · 0 comments

Comments

@BarrettStephen
Copy link

If an event capture indicator is detected with no name it will sow up in the list of available captures to add under a measurement. This causes all sorts of issues. Overall this IP is named incorrectly and should not be listed in system explorer.

Example good name: capture.FI 1.Event1
Example bad name: capture.FI 1

Sign up for free to subscribe to this conversation on GitHub. Already have an account? Sign in.
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant