Skip to content

Commit

Permalink
Expected output
Browse files Browse the repository at this point in the history
  • Loading branch information
sjoelund authored and OpenModelica-Hudson committed Oct 18, 2017
1 parent 3655c8e commit 5831af0
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions simulation/modelica/records/TestComplexSum1.mos
Expand Up @@ -17,7 +17,7 @@ simulate(TestComplexSum1,simflags="-output sum1.re,sum1.im,sum2.re,sum2.im");get
// stdout | info | The simulation finished successfully.
// "
// end SimulationResult;
// "[simulation/modelica/records/TestComplexSum.mo:11:3-11:36:writable] Notification: Moving binding to equation section and setting fixed attribute of sum1.re to false. This is done to work around a compiler design flaw (there is no good way to represent bindings that span multiple variables).
// [simulation/modelica/records/TestComplexSum.mo:11:3-11:36:writable] Notification: Moving binding to equation section and setting fixed attribute of sum1.im to false. This is done to work around a compiler design flaw (there is no good way to represent bindings that span multiple variables).
// "[simulation/modelica/records/TestComplexSum.mo:11:3-11:36:writable] Notification: Moving binding to initial equation section and setting fixed attribute of sum1.re to false.
// [simulation/modelica/records/TestComplexSum.mo:11:3-11:36:writable] Notification: Moving binding to initial equation section and setting fixed attribute of sum1.im to false.
// "
// endResult

0 comments on commit 5831af0

Please sign in to comment.