Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

YAML configuration file definition #6

Open
rodrigomelo9 opened this issue Mar 15, 2021 · 0 comments
Open

YAML configuration file definition #6

rodrigomelo9 opened this issue Mar 15, 2021 · 0 comments

Comments

@rodrigomelo9
Copy link
Contributor

PyFPGA currently uses:

openflow:
    oci:
        engine:
            command: docker
            volumes: ["$HOME:$HOME"]
            work: $PWD
        containers:
            ghdl: "ghdl/synth:beta"
            yosys: "ghdl/synth:beta"
            nextpnr-ice40: "ghdl/synth:nextpnr-ice40"
            icetime: "ghdl/synth:icestorm"
            icepack: "ghdl/synth:icestorm"
            iceprog: "--device /dev/bus/usb ghdl/synth:prog"
            nextpnr-ecp5: "ghdl/synth:nextpnr-ecp5"
            ecppack: "ghdl/synth:trellis"
            openocd: "--device /dev/bus/usb ghdl/synth:prog"
    tools:
        ghdl: ghdl
        yosys: yosys
        nextpnr-ice40: nextpnr-ice40
        icetime: icetime
        icepack: icepack
        iceprog: iceprog
        nextpnr-ecp5: nextpnr-ecp5
        ecppack: ecppack
        openocd: openocd

I am thinking in a simplification for openflow:

engine:
  command: docker
  volumes: ["$HOME:$HOME"]
  work: $PWD
containers:
  ghdl: "ghdl/synth:beta"
  yosys: "ghdl/synth:beta"
  nextpnr-ice40: "ghdl/synth:nextpnr-ice40"
  icetime: "ghdl/synth:icestorm"
  icepack: "ghdl/synth:icestorm"
  iceprog: "--device /dev/bus/usb ghdl/synth:prog"
  nextpnr-ecp5: "ghdl/synth:nextpnr-ecp5"
  ecppack: "ghdl/synth:trellis"
  openocd: "--device /dev/bus/usb ghdl/synth:prog"
tools:
  ghdl: ghdl
  yosys: yosys
  nextpnr-ice40: nextpnr-ice40
  icetime: icetime
  icepack: icepack
  iceprog: iceprog
  nextpnr-ecp5: nextpnr-ecp5
  ecppack: ecppack
  openocd: openocd

@umarcor @olofk @carlosedp as suggested in olofk/edalize#221 could be a good idea to define a shared format :-D

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant