Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

How to quantify the model #571

Open
FisherDom opened this issue May 20, 2024 · 0 comments
Open

How to quantify the model #571

FisherDom opened this issue May 20, 2024 · 0 comments

Comments

@FisherDom
Copy link

I would like to quantify an 8-bit DF model to reduce the use of RAM. Can you provide some ideas? Wishing you a smooth work @Rikorose

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant