/
sm.con
64 lines (51 loc) · 1.98 KB
/
sm.con
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
# Reset all constraints
reset_design
#---------------- SET AREA CONSTRAINT ----------------
set_max_area 0
#---------------- SET TIMING CONSTRAINTS ----------------
# 2.1 Set clock
create_clock -period 1 [get_ports clk]
set_clock_uncertainty 0.1 [get_clocks clk]
set_clock_transition 0.1 [get_clocks clk]
set_dont_touch_network [get_clocks clk]
######################## YBR ######################
set_clock_latency -source 3 [get_clocks clk]
set_clock_latency 1 [get_clocks clk]
######################## YBR ######################
# 2.2
set_input_delay -max 4 -clock clk [remove_from_collection [all_inputs] [get_ports clk]]
# 2.3
set_output_delay -max 4 -clock clk [all_outputs]
#---------------- SET ENVIRONMENTAL ATTIBUTES ----------------
# 3.1 Set interconnect parasitic RCs
# Set wire Load Model
# set_wire_load_mode segmented
set auto_wire_load_selection false
# set_wire_load_model -name smic18_wl10
set_wire_load_mode top
set_wire_load_model -name smic18_wl10 -library slow
# Or use topographic mode
# 3.2 Set input drivers and transition times
# set_driving_cell -lib_cell PO2W -pin PAD [remove_from_collection [all_inputs] [get_ports clk]]
set_driving_cell -lib_cell PO8W -pin PAD [all_inputs]
# set_drive 0 clk
# 3.3 Set output capacitive loads
set_load [load_of SP018W_V1p5_max/PIW/PAD] [all_outputs]
# 3.4 Set PVT operating conditions or ‘corners’
# set target_library
#---------------- SET DESIGN RULE CONSTRAINTS ----------------
# 4
# Limit the input load
# set all_in_ex_clk [remove_from_collection [all_inputs] [get_ports Clk]]
# set MAX_INPUT_LOAD [expr [load_of ssc_core_slow/and2a1/A] * 10]
# set_max_capacitance $MAX_INPUT_LOAD $all_in_ex_clk
# Specifies a maximum transition on ports or designs
# set_max_transition 3.0 [current_design]
# Sets maximum fanout for input ports or designs
# set_max_fanout 32 [current_design]
#---------------- OTHER ----------------
#
# Reset network
set_ideal_network {reset}
set_ideal_network {clk}
set_fix_multiple_port_nets -all -buffer_constants