Skip to content

Commit

Permalink
Merge pull request #36 from antmicro/Apache-2.0
Browse files Browse the repository at this point in the history
Relicense to Apache-2.0
  • Loading branch information
kgugala committed Feb 8, 2022
2 parents bd446a5 + 30c4c24 commit c550b03
Show file tree
Hide file tree
Showing 385 changed files with 5,155 additions and 1,931 deletions.
19 changes: 13 additions & 6 deletions .github/check_license.sh
@@ -1,12 +1,19 @@
#!/usr/bin/env bash
# Copyright (C) 2017-2020 The Project X-Ray Authors.
# Copyright (C) 2020 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

echo
echo "==========================="
Expand Down
19 changes: 13 additions & 6 deletions .github/check_python_scripts.sh
@@ -1,12 +1,19 @@
#!/usr/bin/env bash
# Copyright (C) 2017-2020 The Project X-Ray Authors.
# Copyright (C) 2020 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

echo
echo "==================================="
Expand Down
18 changes: 13 additions & 5 deletions .github/kokoro/db-full.sh
@@ -1,12 +1,20 @@
#!/bin/bash
#
# Copyright (C) 2020 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

set -e

Expand Down
18 changes: 13 additions & 5 deletions .github/kokoro/db-quick.sh
@@ -1,12 +1,20 @@
#!/bin/bash
#
# Copyright (C) 2020 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

set -e

Expand Down
18 changes: 13 additions & 5 deletions .github/kokoro/kokoro-cfg.py
@@ -1,12 +1,20 @@
#!/usr/bin/env python3
# -*- coding: utf-8 -*-
# Copyright (C) 2020-2021 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

get_key = """\
Expand Down
18 changes: 13 additions & 5 deletions .github/kokoro/nothing.sh
@@ -1,10 +1,18 @@
#!/bin/bash
# Copyright (C) 2020-2021 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

echo "Doing nothing!"
18 changes: 13 additions & 5 deletions .github/kokoro/steps/git.sh
@@ -1,12 +1,20 @@
#!/bin/bash
#
# Copyright (C) 2020 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

set -e

Expand Down
18 changes: 13 additions & 5 deletions .github/kokoro/steps/hostinfo.sh
@@ -1,12 +1,20 @@
#!/bin/bash
#
# Copyright (C) 2020 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

set -e

Expand Down
18 changes: 13 additions & 5 deletions .github/kokoro/steps/hostsetup.sh
@@ -1,12 +1,20 @@
#!/bin/bash
#
# Copyright (C) 2020 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

set -e

Expand Down
18 changes: 13 additions & 5 deletions .github/kokoro/steps/prjuray-env.sh
@@ -1,12 +1,20 @@
#!/bin/bash
#
# Copyright (C) 2020 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

set -e

Expand Down
18 changes: 13 additions & 5 deletions .github/kokoro/steps/xilinx.sh
@@ -1,12 +1,20 @@
#!/bin/bash
#
# Copyright (C) 2020 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

# Fix up things related to Xilinx tool chain.

Expand Down
18 changes: 13 additions & 5 deletions .github/kokoro/tests.sh
@@ -1,12 +1,20 @@
#!/bin/bash
#
# Copyright (C) 2020 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

set -e

Expand Down
18 changes: 13 additions & 5 deletions .github/update-contributing.py
@@ -1,11 +1,19 @@
#!/usr/bin/env python3
# Copyright (C) 2020-2021 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

# Header
contrib = ["""\
Expand Down
18 changes: 13 additions & 5 deletions .github/workflows/licensing.yml
@@ -1,10 +1,18 @@
# Copyright (C) 2017-2021 The SymbiFlow Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

name: Licensing

Expand Down
18 changes: 13 additions & 5 deletions .travis.yml
@@ -1,10 +1,18 @@
# Copyright (C) 2020-2021 The Project U-Ray Authors.
# Copyright 2020-2022 F4PGA Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# SPDX-License-Identifier: ISC
# http://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0

os: linux
language: python
Expand Down
2 changes: 1 addition & 1 deletion CONTRIBUTING.md
Expand Up @@ -12,7 +12,7 @@ All contributions should be sent as

All software (code, associated documentation, support files, etc) in the
Project U-Ray repository are licensed under the very permissive
[ISC License](COPYING). A copy can be found in the [`COPYING`](COPYING) file.
[Apache-2.0 License](LICENSE). A copy can be found in the [`LICENSE`](LICENSE) file.

All new contributions must also be released under this license.

Expand Down

0 comments on commit c550b03

Please sign in to comment.