Skip to content

Commit

Permalink
Merge pull request #29 from antmicro/db-api
Browse files Browse the repository at this point in the history
Remove db access code
  • Loading branch information
litghost committed Oct 1, 2020
2 parents 53f3c94 + 40df7e0 commit de71f96
Show file tree
Hide file tree
Showing 68 changed files with 72 additions and 2,137 deletions.
3 changes: 3 additions & 0 deletions Makefile
Expand Up @@ -28,6 +28,9 @@ env:
$(IN_ENV) pip install -r requirements.txt
# Install project's documentation dependencies
$(IN_ENV) pip install -r docs/requirements.txt
# Check prjuray-tools installation
$(IN_ENV) python -c "import prjuray"
$(IN_ENV) python -c "import prjuray.db"
# Check fasm library was installed
$(IN_ENV) python -c "import fasm"
$(IN_ENV) python -c "import fasm.output"
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/bitslice_tiles/top.py
Expand Up @@ -13,7 +13,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/bram/top.py
Expand Up @@ -13,7 +13,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/bram_block/top.py
Expand Up @@ -13,7 +13,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/cle/top.py
Expand Up @@ -13,7 +13,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/clel_int/top.py
Expand Up @@ -13,7 +13,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/clem_int/top.py
Expand Up @@ -13,7 +13,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/clem_r/top.py
Expand Up @@ -13,7 +13,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/cmt_right/top.py
Expand Up @@ -14,7 +14,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_lut_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/intf_r_pcie4_hdio/top.py
Expand Up @@ -13,7 +13,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_lut_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/ps8_intf/top.py
Expand Up @@ -14,7 +14,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database
from utils.lut_maker import LutMaker


Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/pss_alto/top.py
Expand Up @@ -14,7 +14,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/rclk_dsp_intf_clkbuf/top.py
Expand Up @@ -14,7 +14,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_lut_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/rclk_hdio/top.py
Expand Up @@ -14,7 +14,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_lut_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/rclk_int/top.py
Expand Up @@ -14,7 +14,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_lut_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/rclk_other/top.py
Expand Up @@ -14,7 +14,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_lut_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/002-tilegrid/rclk_pss_alto/top.py
Expand Up @@ -14,7 +14,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_lut_sites():
Expand Down
4 changes: 3 additions & 1 deletion fuzzers/004-tileinfo/generate_grid.py
Expand Up @@ -21,9 +21,11 @@
import sys
from collections import namedtuple

from utils import util, lib, connections, tile_sizes
from utils import util, tile_sizes
from utils.xjson import extract_numbers

from prjuray import lib, connections

TileConnection = namedtuple('TileConnection',
'grid_deltas tile_types wire_pair')
WireInfo = namedtuple('WireInfo', 'tile type shortname')
Expand Down
4 changes: 2 additions & 2 deletions fuzzers/004-tileinfo/reduce_site_types.py
Expand Up @@ -17,7 +17,7 @@
"""

import argparse
import utils.lib
import prjuray.lib
import os
import os.path
import re
Expand Down Expand Up @@ -60,7 +60,7 @@ def main():
if proto_site_type is None:
proto_site_type = instance_site_type
else:
utils.lib.compare_prototype_site(
prjuray.lib.compare_prototype_site(
proto_site_type,
instance_site_type,
)
Expand Down
10 changes: 5 additions & 5 deletions fuzzers/004-tileinfo/reduce_tile_types.py
Expand Up @@ -18,7 +18,7 @@
"""

import argparse
import utils.lib
import prjuray.lib
import utils.node_lookup
import datetime
import subprocess
Expand Down Expand Up @@ -179,11 +179,11 @@ def check_wires(wires, sites, pips):

def get_sites(tile, site_pin_node_to_wires):
for site in tile['sites'][:-1]:
min_x_coord, min_y_coord = utils.lib.find_origin_coordinate(
min_x_coord, min_y_coord = prjuray.lib.find_origin_coordinate(
site['site'], (site['site'] for site in tile['sites'][:-1]))

orig_site_name = site['site']
coordinate = utils.lib.get_site_coordinate_from_name(orig_site_name)
coordinate = prjuray.lib.get_site_coordinate_from_name(orig_site_name)

x_coord = coordinate.x_coord - min_x_coord
y_coord = coordinate.y_coord - min_y_coord
Expand Down Expand Up @@ -435,7 +435,7 @@ def reduce_tile(pool, site_types, tile_type, tile_instances, database_file):

for site_type in new_site_types:
if site_type['type'] in site_types:
utils.lib.compare_prototype_site(
prjuray.lib.compare_prototype_site(
site_type, site_types[site_type['type']])
else:
site_types[site_type['type']] = site_type
Expand Down Expand Up @@ -480,7 +480,7 @@ def main():
args = parser.parse_args()

print('{} Reading root.csv'.format(datetime.datetime.now()))
tiles, nodes = utils.lib.read_root_csv(args.root_dir)
tiles, nodes = prjuray.lib.read_root_csv(args.root_dir)

processes = multiprocessing.cpu_count()
print('Running {} processes'.format(processes))
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/010-cle-lutinit/top.py
Expand Up @@ -13,7 +13,7 @@
import random
random.seed(int(os.getenv("SEED"), 16))
from utils import util
from utils.db import Database
from prjuray.db import Database


def gen_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/011-cle-ffconfig/top.py
Expand Up @@ -14,7 +14,7 @@
import re

from utils import util
from utils.db import Database
from prjuray.db import Database

from prims import ff_bels, ff_bels_ffl, ffprims, isff

Expand Down
2 changes: 1 addition & 1 deletion fuzzers/012-cle-ffsr/top.py
Expand Up @@ -15,7 +15,7 @@
import re
from utils import util
from utils import verilog
from utils.db import Database
from prjuray.db import Database


def gen_sites():
Expand Down
2 changes: 1 addition & 1 deletion fuzzers/013-cle-ncy0/top.py
Expand Up @@ -15,7 +15,7 @@
random.seed(int(os.getenv("SEED"), 16))

from utils import util
from utils.db import Database
from prjuray.db import Database

# =============================================================================

Expand Down
2 changes: 1 addition & 1 deletion fuzzers/017-cle-precyinit/top.py
Expand Up @@ -16,7 +16,7 @@
random.seed(int(os.getenv("SEED"), 16))

from utils import util
from utils.db import Database
from prjuray.db import Database

# =============================================================================

Expand Down
1 change: 1 addition & 0 deletions requirements.txt
@@ -1,6 +1,7 @@
-e third_party/fasm
-e third_party/python-sdf-timing
-e third_party/edalize
-e third_party/prjuray-tools
intervaltree
junit-xml
numpy
Expand Down
4 changes: 2 additions & 2 deletions tools/tilegrid_report.py
Expand Up @@ -10,8 +10,8 @@
# SPDX-License-Identifier: ISC

import argparse
from utils.db import Database
from utils.grid import BlockType
from prjuray.db import Database
from prjuray.grid import BlockType
from utils import util


Expand Down
6 changes: 3 additions & 3 deletions utils/annotate_unknown.py
Expand Up @@ -12,9 +12,9 @@

import argparse
import fasm
from utils import bitstream
from utils.db import Database
from utils.grid_types import BlockType
from prjuray import bitstream
from prjuray.db import Database
from prjuray.grid_types import BlockType
from utils import util


Expand Down
2 changes: 1 addition & 1 deletion utils/bit2fasm.py
Expand Up @@ -16,7 +16,7 @@
import os
import fasm
import fasm.output
from db import Database
from prjuray.db import Database
import fasm_disassembler
import bitstream
import subprocess
Expand Down
6 changes: 3 additions & 3 deletions utils/bitstream.py
Expand Up @@ -14,13 +14,13 @@
from utils import util

# Break frames into WORD_SIZE bit words.
WORD_SIZE_BITS = 16
from prjuray.bitstream import WORD_SIZE_BITS

# How many 16-bit words for frame in a US+ bitstream?
FRAME_WORD_COUNT = 93 * 2
from prjuray.bitstream import FRAME_WORD_COUNT

# What alignment is expect for columns.
FRAME_ALIGNMENT = 0x100
from prjuray.bitstream import FRAME_ALIGNMENT
'''
Sample:
bit_0002000f_079_06
Expand Down
2 changes: 1 addition & 1 deletion utils/checkdb.py
Expand Up @@ -19,7 +19,7 @@
'''

from utils import util
from utils import db as prjuraydb
from prjuray import db as prjuraydb
import parsedb
import glob

Expand Down

0 comments on commit de71f96

Please sign in to comment.