Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

VUnit exits with vague exception when GTKwave not available #137

Closed
joshrsmith opened this issue Feb 27, 2016 · 0 comments
Closed

VUnit exits with vague exception when GTKwave not available #137

joshrsmith opened this issue Feb 27, 2016 · 0 comments

Comments

@joshrsmith
Copy link
Contributor

If using GHDL + GTKwave, VUnit will exit with a vague exception is GTKwave is not available. It would be more helpful if a check for GTKWave on the path could be done before it was run. I originally suspected that there might have been a windows path length error, which can also throw this type of exception, before I discovered that GTKwave was not properly on my path.

For example:

(venv) C:\Users\Josh\projects\vunit_workspace\vunit\examples\vhdl\uart>python run.py --gtkwave vcd
Starting tb_uart_lib.tb_uart_rx.test_tvalid_low_at_start
gtkwave C:\Users\Josh\projects\vunit_workspace\vunit\examples\vhdl\uart\vunit_out\tests\tb_uart_lib.tb_uart_rx.test_tvalid_low_at_start\ghdl\wave.vcd
simulation stopped @1ms with status 0
Traceback (most recent call last):
  File "C:\Users\Josh\projects\vunit_workspace\venv\lib\site-packages\vunit\test_runner.py", line 149, in _run_test_suite
    results = test_suite.run(output_path)
  File "C:\Users\Josh\projects\vunit_workspace\venv\lib\site-packages\vunit\test_list.py", line 88, in run
    test_ok = self._test_case.run(output_path)
  File "C:\Users\Josh\projects\vunit_workspace\venv\lib\site-packages\vunit\test_suites.py", line 55, in run
    if not self._test_bench.run(output_path, generics):
  File "C:\Users\Josh\projects\vunit_workspace\venv\lib\site-packages\vunit\test_bench.py", line 48, in run
    self._sim_config)
  File "C:\Users\Josh\projects\vunit_workspace\venv\lib\site-packages\vunit\ghdl_interface.py", line 216, in simulate
    subprocess.call(cmd)
  File "c:\python27\Lib\subprocess.py", line 522, in call
    return Popen(*popenargs, **kwargs).wait()
  File "c:\python27\Lib\subprocess.py", line 710, in __init__
    errread, errwrite)
  File "c:\python27\Lib\subprocess.py", line 958, in _execute_child
    startupinfo)
WindowsError: [Error 2] The system cannot find the file specified
fail (P=0 S=0 F=1 T=6) tb_uart_lib.tb_uart_rx.test_tvalid_low_at_start (0.3 seconds)
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant