Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Added code coverage support to ActiveHDL #461

Merged
merged 3 commits into from
Mar 22, 2019

Conversation

vmfox
Copy link
Contributor

@vmfox vmfox commented Mar 21, 2019

Follow up from #460.

@@ -26,7 +28,7 @@
LOGGER = logging.getLogger(__name__)


class ActiveHDLInterface(SimulatorInterface):
class ActiveHDLInterface(VsimSimulatorMixin, SimulatorInterface):
Copy link
Collaborator

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Why is it necessary to inherit from VsimSimulatorMixin? I think that could be a misunderstanding.

Copy link
Contributor Author

@vmfox vmfox Mar 22, 2019

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

It probably is a misunderstanding as it's a bit of a challenge to jump into such a big project. The assumption was that akin to Riviera Pro and Modelsim, ActiveHDL is also a vsim based simulator and hence would require this inheritance. I have just tested the performance without this inheritance and everything seems to run as it was before, so will update as a part of the next commit.

@kraigher kraigher merged commit e1caab9 into VUnit:master Mar 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

2 participants