Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Fix PSL check for valid fifo in data during write, fixes #750 #766

Merged
merged 1 commit into from
Oct 24, 2021

Conversation

tmeissner
Copy link
Contributor

@tmeissner tmeissner commented Oct 23, 2021

As @JimLewis reported in #750 the result of the test if data is unknown during a FIFO write is not what we want in all cases. I fixed that by using the reduction or in combination with the to_x01() function. That's also better readable code IMHO.

@JimLewis
Copy link

Any reason you did not just use:

assert always (not rst and wr -> is_x( d)@rising_edge(clkw)

@tmeissner
Copy link
Contributor Author

tmeissner commented Oct 24, 2021

The reason was that I didn't remember that is_x() exists 😉 I will update the PR.

@eine eine merged commit da8eb0a into VUnit:master Oct 24, 2021
@eine
Copy link
Collaborator

eine commented Oct 24, 2021

Thanks! Jim for reporting and Torsten for fixing! ❤️

@eine eine added this to the v4.6.0 milestone Oct 24, 2021
@tmeissner tmeissner deleted the fix_array_axi_vcs_fifo_psl branch October 25, 2021 06:13
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

3 participants