Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Riviera fails to compile OSVVM 2021.09 #768

Closed
crdavis12 opened this issue Oct 26, 2021 · 0 comments · Fixed by #769
Closed

Riviera fails to compile OSVVM 2021.09 #768

crdavis12 opened this issue Oct 26, 2021 · 0 comments · Fixed by #769
Labels
Bug Builtins Simulator support ThirdParty: OSVVM Related to OSVVM and/or OSVVMLibraries.
Milestone

Comments

@crdavis12
Copy link
Contributor

crdavis12 commented Oct 26, 2021

Starting with VUnit 4.6.0 I get an error when compiling OSVVM with Riviera (2020.10 and 2019.04):

COMP96 WARNING COMP96_0048: "This function may complete without return statement." "/home/domain/crdavis/virtualenv/msry_env/lib/python3.7/site-packages/vunit/vhdl/osvvm/ScoreboardPkg_slv_c.vhd" 1795 5
COMP96 WARNING COMP96_0048: "This function may complete without return statement." "/home/domain/crdavis/virtualenv/msry_env/lib/python3.7/site-packages/vunit/vhdl/osvvm/ScoreboardPkg_slv_c.vhd" 2225 5
COMP96 ERROR COMP96_0106: "Returned value type does not match the type declared in function declaration." "/home/domain/crdavis/virtualenv/msry_env/lib/python3.7/site-packages/vunit/vhdl/osvvm/ScoreboardPkg_slv_c.vhd" 2619 12
COMP96 ERROR COMP96_0106: "Returned value type does not match the type declared in function declaration." "/home/domain/crdavis/virtualenv/msry_env/lib/python3.7/site-packages/vunit/vhdl/osvvm/ScoreboardPkg_slv_c.vhd" 2627 12
COMP96 Compile failure 2 Errors 2 Warnings  Analysis time :  30.0 [ms]

It looks like this is a non-generic package included for compatability with Cadence. OSVVM has the following in their build script:

if {$::osvvm::ToolVendor ne "Cadence"}  {
  analyze ScoreboardGenericPkg.vhd
  analyze ScoreboardPkg_slv.vhd
  analyze ScoreboardPkg_int.vhd
} else {
  analyze ScoreboardPkg_slv_c.vhd
  analyze ScoreboardPkg_int_c.vhd
}

Which makes me think something similar is needed in builtins._add_osvvm

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Bug Builtins Simulator support ThirdParty: OSVVM Related to OSVVM and/or OSVVMLibraries.
Projects
None yet
Development

Successfully merging a pull request may close this issue.

2 participants