Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Lifting of the codec packages #818

Open
dalex78 opened this issue Apr 20, 2022 · 0 comments
Open

Lifting of the codec packages #818

dalex78 opened this issue Apr 20, 2022 · 0 comments

Comments

@dalex78
Copy link
Contributor

dalex78 commented Apr 20, 2022

Objective:
Lifting of the codec packages:

  • Adding comments
  • Harmonizing names when applicable
  • Documentation
  • Add support for 64bits integer (VHDL 2019) (the codec must adapt itself depending on integer'high for example)
  • Add functions to calculate the size of the encoded data(i.e. number of bytes) it will take to encode the given array.
  • Add functions to retrieve the range of an encoded array. This might be useful for the queue_t package which also push the range of the array I believe. (I need to double check that).

Rational:
The codec packages have a lot of hard coded value, few comments and documentation. There are also some inconsistency with the name of some functions like: encode_array_header which should be encode_range and rename get_range into decode_range. When adding the peek functionality (#814), I have found hard to enter into the code.

What has been done:

Remaining work: (if this feature is wanted/accepted by the community)

  • Finishing the lifting of the codec package,
  • Launch all tests,
  • Documentation

Note: This work will most likely be retro-compatible. I'll get back on that topic later.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

2 participants