Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Timing Simulation not working #19

Open
zee9999 opened this issue Nov 8, 2021 · 3 comments
Open

Timing Simulation not working #19

zee9999 opened this issue Nov 8, 2021 · 3 comments

Comments

@zee9999
Copy link

zee9999 commented Nov 8, 2021

First of all, this project is really good. We ran the functional simulation on ModelSim and worked perfectly however when we ran the timing simulation-after the synthesis on Quartus lite-it kept giving us a RESULT"1111" for a while and no output was given after that. Here is a link with the timing simulation

@ZFTurbo
Copy link
Owner

ZFTurbo commented Nov 9, 2021

The verilog code author says it's ok. Can you check the end of waveform?

@kangliyu1
Copy link

kangliyu1 commented Jan 10, 2022

@zee9999 @ZFTurbo Hi, I also encountered this problem, have you solved it? Can you give me some pointers? Many thanks! !

@ZFTurbo
Copy link
Owner

ZFTurbo commented Jan 12, 2022

Looks like we solved it. Sorry for long response:
#21

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants