Skip to content

Latest commit

 

History

History
21 lines (10 loc) · 6.27 KB

2011 Gary K Yeap Practical Low Power Digital Vlsi Design Kap 2002 How to Apply Low Power Techniques Using Current Generation Design Style and Process Technology.md

File metadata and controls

21 lines (10 loc) · 6.27 KB

A Review of Practical Low Power Digital VLSI Design by Gary K Yeap

Practical Low Power Digital VLSI Design is a book written by Gary K Yeap, a senior staff engineer at Motorola, and published by Springer in 1998. The book aims to provide a comprehensive overview of the principles, techniques and trade-offs involved in designing low power CMOS digital circuits. The book covers a wide range of design abstraction levels, from circuit to system, and presents both qualitative and quantitative analysis methods. The book also discusses some special techniques that are specific to certain domains of digital chip design, such as memory, arithmetic and signal processing. The book is intended for VLSI design engineers and students who have a fundamental knowledge of CMOS digital design.

Download File >>> https://t.co/tlTeXNLHf8

The book consists of eight chapters, each focusing on a different aspect of low power design. The first chapter introduces the motivation and challenges of low power design, as well as some basic concepts and definitions. The second chapter describes simulation power analysis, which is a technique to estimate the power dissipation of a circuit based on its functionality and timing behavior. The third chapter introduces probabilistic power analysis, which is a technique to estimate the average power dissipation of a circuit based on its input signal statistics and switching activities. The fourth chapter discusses circuit-level techniques to reduce power dissipation, such as device sizing, voltage scaling, transistor stacking and adiabatic logic. The fifth chapter covers logic-level techniques to reduce power dissipation, such as logic minimization, signal gating, precomputation logic and dynamic logic. The sixth chapter presents some special techniques that are applicable to certain types of circuits, such as CMOS floating node, delay balancing, dual-edge triggered flip-flop and pass transistor logic. The seventh chapter explores architecture-level and system-level techniques to reduce power dissipation, such as pipelining, parallelism, data encoding, algorithm transformation and power management. The eighth chapter reviews some advanced techniques that are emerging in the field of low power design, such as subthreshold logic, asynchronous logic and reversible logic.

The book is well-written and organized, with clear explanations and examples. The book provides a balanced view of the advantages and disadvantages of each technique, as well as their impact on other design metrics such as area, performance, complexity and testability. The book also includes many references to relevant papers and books for further reading. The book is suitable for both academic and industrial audiences who are interested in learning more about low power digital VLSI design.

One of the main strengths of the book is that it provides a systematic and comprehensive approach to low power design, covering all the major aspects and levels of abstraction. The book also emphasizes the trade-offs and optimization techniques that are essential for achieving low power solutions. The book does not focus on a specific technology or application domain, but rather presents general principles and methods that can be applied to various types of digital circuits. The book also provides many practical examples and case studies to illustrate the concepts and techniques.

One of the possible limitations of the book is that it was published in 1998, and thus may not reflect the latest developments and trends in the field of low power design. Some of the techniques and tools discussed in the book may be outdated or obsolete by now, and some of the newer techniques and challenges may not be covered in the book. For example, the book does not address the issues of leakage power, which has become a significant source of power dissipation in nanoscale CMOS technologies. The book also does not cover some of the emerging topics such as low power design for wireless and embedded systems, which have different requirements and constraints than traditional VLSI systems. Therefore, readers who are interested in learning more about low power design may need to supplement the book with more recent sources of information.

Practical Low Power Digital VLSI Design book by Gary Yeap, How to optimize and trade-off power dissipation in CMOS digital designs, Low power techniques for circuit, logic, architecture and system levels, Simulation and probabilistic power analysis methods for VLSI design, Special techniques for low power digital signal processor design, CMOS leakage current and its impact on power dissipation, Signal gating, precomputation logic and adiabatic logic for low power design, Advanced techniques for low power design such as voltage scaling and subthreshold operation, Reviews and citations of Practical Low Power Digital VLSI Design book, PDF download of Practical Low Power Digital VLSI Design book, Comparison of Practical Low Power Digital VLSI Design with other books on the same topic, Applications and examples of low power digital VLSI design in real-world scenarios, Benefits and challenges of low power digital VLSI design for engineers and students, Entropy, noise and delay balancing issues in low power digital VLSI design, Hardware implementation and testing of low power digital VLSI design, Logic synthesis and characterization tools for low power digital VLSI design, CMOS floating node, pass transistor logic and DETFF for low power design, Power efficiency, performance and area trade-offs in low power digital VLSI design, Static and dynamic power dissipation sources and models in CMOS circuits, Flip-flop, latch and SRAM design for low power digital VLSI design

Overall, Practical Low Power Digital VLSI Design by Gary K Yeap is a valuable and informative book that provides a comprehensive overview of the principles, techniques and trade-offs involved in designing low power CMOS digital circuits. The book is well-written and organized, with clear explanations and examples. The book is suitable for both academic and industrial audiences who have a fundamental knowledge of CMOS digital design. The book is a useful reference for anyone who wants to learn more about low power design or apply low power techniques to their own projects. 8cf37b1e13