Skip to content

Infineon/middleware-capsense

Repository files navigation

Cypress CapSense Middleware Library

Overview

CapSense is a Cypress capacitive sensing solution. Capacitive sensing can be used in a variety of applications and products where conventional mechanical buttons can be replaced with sleek human interfaces to transform the way users interact with electronic systems. These include home appliances, and automotive, IoT, and industrial applications. CapSense supports multiple interfaces (widgets) using both Self-Capacitance (CSD) and Mutual-Capacitance (CSX) sensing methods with robust performance.

CapSense has become a popular technology to replace conventional mechanical- and optical-based user interfaces. There are fewer parts involved, which saves cost and increases reliability with no wear-and-tear. The main advantages of CapSense compared with other solutions are: robust performance in harsh environmental conditions and rejection of a wide range of external noise sources.

Use CapSense for:

  • Touch and gesture detection for various interfaces
  • Proximity detection for innovative user experiences and low-power optimization
  • Contactless liquid-level sensing in a variety of applications
  • Touch-free operations in hazardous materials

Features

  • Offers best-in-class signal-to-noise ratio (SNR)
  • Supports Self-Capacitance (CSD) and Mutual-Capacitance (CSX) sensing methods
  • Features SmartSense auto-tuning technology for CSD sensing to avoid complex manual tuning process
  • Supports various Widgets, such as Buttons, Matrix Buttons, Sliders, Touchpads, and Proximity Sensors
  • Provides ultra-low power consumption and liquid-tolerant capacitive sensing technology
  • Contains the integrated graphical CapSense Tuner tool for real-time tuning, testing, and debugging
  • Provides superior immunity against external noise and low-radiated emission
  • Offers best-in-class liquid tolerance
  • Supports one-finger and two-finger gestures

Quick Start

The ModusToolbox CapSense Configurator Tool Guide describes step-by-step instructions how to configure and launch CapSense in ModusToolbox. The CapSense Configurator Tool can be launched in ModusToolbox IDE from the CSD personality, as well as in stand-alone mode. Refer to ModusToolbox Software Environment, Quick Start Guide, Documentation, and Videos.

More information

The following resources contain more information:


© Cypress Semiconductor Corporation, 2019.