Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

disable default nettype #41

Closed
samsoniuk opened this issue Aug 21, 2021 · 1 comment
Closed

disable default nettype #41

samsoniuk opened this issue Aug 21, 2021 · 1 comment
Assignees

Comments

@samsoniuk
Copy link
Member

good tip to avoid problems:

`default_nettype none

@samsoniuk samsoniuk self-assigned this Aug 21, 2021
@samsoniuk
Copy link
Member Author

I found that this options produces a collateral effect in the input/output declarations: with the default setup, they are assumed as input/output wires, however, when the default is changed, some tools will not assume the input/output as wires, so the option will not be included.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant