You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
The problem is when the generated code is to be used in other software such as Xilinx's Vivado.
It will be difficult to identify when you have several files.
Another thing that I have identified that is confusing is the names given to the entities when exporting in VHDL, these are too long. It could be improved if you put the name of the subcircuit (or name of the block of the standard library).
I attach an example of what I am trying to explain.
When I try to export to VHDL a circuit the entity should have the same name as the circuit.
For example:
instead of main it should be Half_adder.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- Half_adder
entity main is
port (
A: in std_logic;
B: in std_logic;
S: out std_logic;
C: out std_logic);
end main;
architecture Behavioral of main is
begin
S <= (A XOR B);
C <= (A AND B);
end Behavioral;
The text was updated successfully, but these errors were encountered: