Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add the `default_nettype none to begining of all verilog files generated #82

Closed
Obijuan opened this issue Oct 9, 2016 · 0 comments
Closed

Comments

@Obijuan
Copy link
Member

Obijuan commented Oct 9, 2016

By default, al the unkwon labels in a verilog file are defined as wires. This bahaviour is very dangerous. Any typo on the signals name will be not detected.

To solve this, all the verilog files include this command in the beginning:
`default_nettype none

If the tools detect a signal that has not been previously declared, an error will be shown

It very import that icestudio add automatically that statement. It will prevent a lot of hours of debugging

This feature was suggested by Carlos Santiago Díaz in this thread in the FPGAwars group:

https://groups.google.com/d/msg/fpga-wars-explorando-el-lado-libre/lwcM-2Ufejs/I0e9mpHVCAAJ

umarcor pushed a commit to juanmard/icestudio that referenced this issue Jul 27, 2021
Exit code added when building/uploading/simulating
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

1 participant