New issue
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
VHDL 2008 hex notation with defined number of bits #2625
Comments
@arretxe, please provide a complete reproducer:
I just tested the following and it works: library ieee;
use ieee.std_logic_1164.all;
entity ent is
end;
architecture arch of ent is
constant LBR_BCK_STS_AR : std_logic_vector(16 downto 0) := 17x"1-000"; -- "1----000000000000"
begin
process begin
report to_hstring(LBR_BCK_STS_AR);
wait;
end process;
end architecture;
I believe you might have forgotten to specify |
I actually do specify --std=08 but I forgot to mention I use ghdl through YOSYS, does that influence? My command actually looks like this: |
can you try to create a reproducer (maybe starting from umarcor example) ? |
Description
VHDL 2008 allows writting in hex format a number of bits not proportional to 4, by appending the number of bits prior to the x char, like in this example:
constant LBR_BCK_STS_AR : std_logic_vector(16 downto 0) := 17x"1-000"; -- "1----000000000000"
However GHDL does not seem to recognize this:
lb_if_pkg.vhd:121:63: invalid character in bit string constant LBR_BCK_STS_AR : std_logic_vector(16 downto 0) := 17x"1-000";
Context
The text was updated successfully, but these errors were encountered: