Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Package GHDL for MinGW32/MinGW64 #497

Closed
Paebbels opened this issue Dec 17, 2017 · 11 comments
Closed

Package GHDL for MinGW32/MinGW64 #497

Paebbels opened this issue Dec 17, 2017 · 11 comments
Assignees
Labels
CI: AppVeyor Continuous Integration issues related to the AppVeyor platform (Windows-based CI) Package: Windows - MinGW (PKGBUILD)
Milestone

Comments

@Paebbels
Copy link
Member

Paebbels commented Dec 17, 2017

Now we have automatic builds for the MinGW32 (mcode) and MinGW64 (llvm) environments. It would be nice to provide a package for MinGWs package manager pacman.

Here is a description I found: https://github.com/msys2/msys2/wiki/Creating-Packages

If we can provide such a description, it would be the first distro, that could provide GHDL as an official package.

As we are providing MinGW32 and MinGW64 builds, we might need to provide two package descriptions. The MinGW package repository is maintained on GitHub as a repository of package descriptions: https://github.com/alexpux/mingw-packages

We need to provide a package description file: mingw-w64-ghdl/PKGBUILD

@tgingold Can you please clone that repo to your account and grant access to me, so we can try to create such a description file?

@Paebbels Paebbels added Build: MinGW (Makefile) Building GHDL using makefiles in MinGW32, MinGW64 or MSYS2 environments CI: AppVeyor Continuous Integration issues related to the AppVeyor platform (Windows-based CI) labels Dec 17, 2017
@Paebbels Paebbels added this to the v0.36 milestone Dec 17, 2017
@andreygursky
Copy link
Contributor

Pacman based ArchLinux has ghdl package since 2.5 years in AUR:
https://aur.archlinux.org/packages/ghdl/

@Paebbels
Copy link
Member Author

@andreygursky Oh, nice. Who updates that package description to 0.35? Could this person also maintain the MinGW descriptions for GHDL?

@andreygursky
Copy link
Contributor

I just know about its existence, but sorry nothing more.

@Paebbels
Copy link
Member Author

I think this PKGBUILD template could fit our needs. It supports packaging from GitHub.

@tgingold
Copy link
Member

I am not the right assignee for this issue: I don't use windows, so I don't want to maintain the mingw package.

@eine
Copy link
Collaborator

eine commented Dec 18, 2017

Seems that the maintainer of the AUR package can be @vicencb , who already contributed a couple of commits to this repo. Might be worth hearing his opinion on how similar/different AUR and MinGW packages are.

@Paebbels
Copy link
Member Author

Paebbels commented Dec 18, 2017

@tgingold By assigning you, I meant that we need someone to fork the MinGW packages repo :).

Or we could move GHDL to a GitHub organisation on it's own, if GHDl needs more related repositories.

@vicencb
Copy link
Contributor

vicencb commented Dec 18, 2017

Hi,
neither I am a windows user. In fact, I didn't even know the existence of a MinGW repository of PKGBUILDs.
Sorry, but I can not help here.

@eine
Copy link
Collaborator

eine commented Sep 3, 2019

FTR, two PKGBUILD files (one for mcode and another one for llvm) are added in branch actions of my fork (see https://github.com/1138-4EB/ghdl/commits/actions). Moreover, AppVeyor (and subdir dist/windows/appveyor) are deprecated in favour of GitHub Actions. Putting both modifications together, in the workflow which is executed after each push or PR:

  • In a MSYS shell, a ghdl-mcode package is built for mingw32 and a ghdl-llvm package is built for mingw64. Then, each of them is installed.
  • In a corresponding shell (MINGW32 or MINGW64), the testsuite is executed.

See example run: https://github.com/1138-4EB/ghdl/runs/210613752


I proposed adding 'stable' versions of these PKGBUILD files downstream (see msys2/MINGW-packages#5757).


In 1138-4EB/ghdl-packaging (ref #901), three versions are provided for each backend:

  • mcode|llvm: stable. Currently, v0.36.
  • mcode-rc|llvm-rc: release candidate. Some commit SHA between 'stable' and 'git'.
  • mcode-git|llvm-git: latest from master.

@eine eine modified the milestones: v0.37, v1.0 May 8, 2020
@eine
Copy link
Collaborator

eine commented Dec 3, 2020

Apart from the PKGBUILD files available in dist/msys2-mingw of this repo, GHDL is now available in official MSYS2 repos: mingw-w64-ghdl (i686, x86_64). See msys2/MINGW-packages#5757 and msys2/MINGW-packages#6688. See also hdl/MINGW-packages.

@eine eine closed this as completed Dec 3, 2020
@eine eine assigned eine and unassigned tgingold Dec 3, 2020
@tgingold
Copy link
Member

tgingold commented Dec 4, 2020 via email

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
CI: AppVeyor Continuous Integration issues related to the AppVeyor platform (Windows-based CI) Package: Windows - MinGW (PKGBUILD)
Projects
None yet
Development

No branches or pull requests

5 participants