You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
library ieee;
use ieee.std_logic_1164.all;
package a is
function b(ads : integer) return std_logic_vector;
constant asdfg : std_logic_vector(1 downto 0) := "00";
end package;
package body a is
function b(ads : integer) return std_logic_vector is
variable gg : asdfg(1)'subtype;
begin
return "00";
end function;
end package body;
Version:
GHDL 0.36-dev (v0.35-339-g5a97d9f2)
PS: I'am posting this here just for completeness. This language is very strange sometimes. ;-) I don't expect any fix.
The text was updated successfully, but these errors were encountered:
I also came across this, because its hard to parse. I started to create a new tm-grammar and wanted to highlight subtype indications, but with the code above it's quite hard.
Description
The subtype attribute is allowed on any object and can be used as type mark. So the following should be allowed:
Expected behaviour
Compiles with success.
Context
Version:
GHDL 0.36-dev (v0.35-339-g5a97d9f2)
PS: I'am posting this here just for completeness. This language is very strange sometimes. ;-) I don't expect any fix.
The text was updated successfully, but these errors were encountered: