You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
Is your feature request related to a problem? Please describe.
Sometimes i accidentally write a division by zero in a loop, is there a way to get the line number of the affected code?
Describe the solution you'd like
Show line number:
Division by zero at test.vhd:123
Reproducer
library IEEE;
use IEEE.std_logic_1164.all;
entity ent is
end entity;
architecture a of ent is
signal result : integer;
signal clk: std_logic := '0';
begin
clk <= not clk after 10 ns;
process(clk)
variable i : natural range 0 to 127 := 0;
begin
if rising_edge(clk) then
result <= 10 / i;
end if;
end process;
end architecture;
Is your feature request related to a problem? Please describe.
Sometimes i accidentally write a division by zero in a loop, is there a way to get the line number of the affected code?
Describe the solution you'd like
Show line number:
Division by zero at test.vhd:123
Reproducer
GHDL Message now
The text was updated successfully, but these errors were encountered: