Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Lab doesn't show the assertion message when an assertion fails #107

Closed
martinheidegger opened this issue Jun 26, 2014 · 2 comments
Closed
Assignees
Labels
bug Bug or defect
Milestone

Comments

@martinheidegger
Copy link
Contributor

When I run lab with the console reporter and an assertion like this fails:

expect(true).to.eql(false, "hello world");

Then it will not show the message "hello world" in the output but only that true isn't equal false.

Note: This might also occur with other reporters

@hueniverse hueniverse added the bug label Aug 3, 2014
@hueniverse hueniverse added this to the 4.0.0 milestone Aug 3, 2014
@hueniverse hueniverse self-assigned this Aug 3, 2014
@hueniverse
Copy link
Contributor

Seems fixed in 4.0

@lock
Copy link

lock bot commented Jan 9, 2020

This thread has been automatically locked due to inactivity. Please open a new issue for related bugs or questions following the new issue template instructions.

@lock lock bot locked as resolved and limited conversation to collaborators Jan 9, 2020
Sign up for free to subscribe to this conversation on GitHub. Already have an account? Sign in.
Labels
bug Bug or defect
Projects
None yet
Development

No branches or pull requests

2 participants