Skip to content

isabella232/duh-verilog

 
 

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

29 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

NPM version Coverage Status

Verilog generator from DUH document

Install

npm i duh-verilog

Usage

const duhVerilog = require('duh-verilog');

duhVerilog.generate({
  component: {
    name: 'empty',
    model: {ports: {clock: 1, reset_n: 1, irq: -1}}
  }
})
/* =>
module empty (
  input                                      clock,
  input                                      reset_n,
  output logic                               irq
);
endmodule
*/

About

🇻Verilog import / export package

Resources

License

Code of conduct

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages

  • JavaScript 100.0%