-
Notifications
You must be signed in to change notification settings - Fork 0
/
nios2VGA.cmp
33 lines (32 loc) · 3.07 KB
/
nios2VGA.cmp
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
component nios2VGA is
port (
clk_clk : in std_logic := 'X'; -- clk
reset_reset_n : in std_logic := 'X'; -- reset_n
red_led_pio_external_connection_export : out std_logic_vector(17 downto 0); -- export
vga_controller_external_CLK : out std_logic; -- CLK
vga_controller_external_HS : out std_logic; -- HS
vga_controller_external_VS : out std_logic; -- VS
vga_controller_external_BLANK : out std_logic; -- BLANK
vga_controller_external_SYNC : out std_logic; -- SYNC
vga_controller_external_R : out std_logic_vector(9 downto 0); -- R
vga_controller_external_G : out std_logic_vector(9 downto 0); -- G
vga_controller_external_B : out std_logic_vector(9 downto 0); -- B
sram_external_interface_DQ : inout std_logic_vector(15 downto 0) := (others => 'X'); -- DQ
sram_external_interface_ADDR : out std_logic_vector(17 downto 0); -- ADDR
sram_external_interface_LB_N : out std_logic; -- LB_N
sram_external_interface_UB_N : out std_logic; -- UB_N
sram_external_interface_CE_N : out std_logic; -- CE_N
sram_external_interface_OE_N : out std_logic; -- OE_N
sram_external_interface_WE_N : out std_logic; -- WE_N
vga_clock_out_clk_clk : out std_logic; -- clk
green_led_pio_external_connection_export : out std_logic_vector(8 downto 0); -- export
sdram_clock_clk : out std_logic; -- clk
nios_cntrl_in_export : in std_logic_vector(7 downto 0) := (others => 'X'); -- export
nios_cntrl_out_export : out std_logic_vector(7 downto 0); -- export
fft_in_0_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
fft_in_1_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
fft_in_2_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
fft_in_3_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
rotary_in_export : in std_logic_vector(7 downto 0) := (others => 'X') -- export
);
end component nios2VGA;