Skip to content

Commit

Permalink
Slow down the TP SPI clock
Browse files Browse the repository at this point in the history
  • Loading branch information
kisvegabor committed Sep 30, 2019
1 parent a1c0999 commit 30f5772
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion components/drv/tp_spi.c
Original file line number Diff line number Diff line change
Expand Up @@ -51,7 +51,7 @@ void tp_spi_init(void)
};

spi_device_interface_config_t devcfg={
.clock_speed_hz=10*1000*1000, //Clock out at 80 MHz
.clock_speed_hz=2*1000*1000, //Clock out at 80 MHz
.mode=0, //SPI mode 0
.spics_io_num=-1, //CS pin
.queue_size=1,
Expand Down

0 comments on commit 30f5772

Please sign in to comment.