Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

iverilog vpi on windows: (i + nchunks == l) assertion fails #18

Closed
enjoy-digital opened this issue May 11, 2015 · 1 comment
Closed
Labels

Comments

@enjoy-digital
Copy link
Contributor

Simulating on a Windows machine (Windows XP) seems to randomly trigger the following assertion:
https://github.com/m-labs/migen/blob/master/vpi/ipc.c#L195

This can be reproduced with LiteSATA tests:
https://github.com/m-labs/misoc/tree/master/misoclib/mem/litesata/test

  • make scrambler_tb triggers the issue from time to time.
  • make link_tb seems to always trigger the issue.

(Note: to run these tests, the following verilog.py file should probably be used to avoid the verilog hanging issue: https://github.com/enjoy-digital/migen/blob/master/migen/fhdl/verilog.py)

@enjoy-digital
Copy link
Contributor Author

fixed by 98cf103

on large simulations where we received more that one packet in a message, end of the message (and thus next packet(s) or part of) was ignored.

Tested on WinXP, Win8.1 with LiteSATA simulation.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

1 participant