Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

No visible declaration for LIBRARY_NAME when using work reference. #276

Closed
kraigher opened this issue Jan 5, 2016 · 0 comments
Closed

Comments

@kraigher
Copy link
Contributor

kraigher commented Jan 5, 2016

pkg.vhd:

package other_pkg is
  type rec_t is record
    field : integer;
  end record;
end package;

package body other_pkg is
end package body;

package pkg is
  type prot_t is protected
  end protected;
end package;

-- Uncomment to make it work.
-- library library_name;

use work.other_pkg.all;

package body pkg is
  type prot_t is protected body
    variable rec : rec_t;
    procedure method is
    begin
      report integer'image(rec.field);
    end procedure;
  end protected body;
end package body;
> nvc --work=library_name --std=2008 -a pkg.vhd
** Error: no visible declaration for LIBRARY_NAME in name LIBRARY_NAME.PKG.PROT_T.REC
    File pkg.vhd, Line 25
          report integer'image(rec.field);
                               ^^^^^^^^^
@nickg nickg closed this as completed in 67f0f15 Jan 31, 2016
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant