Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Handle remainder for the case that its right input is zero #741

Closed
PHILO-HE opened this issue Dec 20, 2022 · 1 comment
Closed

Handle remainder for the case that its right input is zero #741

PHILO-HE opened this issue Dec 20, 2022 · 1 comment
Labels
bug Something isn't working velox backend works for Velox backend

Comments

@PHILO-HE
Copy link
Contributor

Describe the bug
The expected behavior depends on whether ANSI is enabled. If it is enabled, exception should be thrown. If not, null result should be obtained.

To Reproduce
NUM % 0

@PHILO-HE PHILO-HE added bug Something isn't working velox backend works for Velox backend labels Dec 20, 2022
@PHILO-HE
Copy link
Contributor Author

Currently, velox backend can expectedly handle mod zero case when ANSI off. For ANSI on, gluten has a higher control to let the execution go into fallback path. Let's close this issue.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
bug Something isn't working velox backend works for Velox backend
Projects
None yet
Development

No branches or pull requests

1 participant